WorldWideScience

Sample records for oxide vapor deposition

  1. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  2. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  3. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  4. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  5. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  6. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  7. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  8. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  9. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  10. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  11. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  12. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  13. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  14. Plasma vapor deposited n-indium tin oxide/p-copper indium oxide heterojunctions for optoelectronic device applications

    Science.gov (United States)

    Jaya, T. P.; Pradyumnan, P. P.

    2017-12-01

    Transparent crystalline n-indium tin oxide/p-copper indium oxide diode structures were fabricated on quartz substrates by plasma vapor deposition using radio frequency (RF) magnetron sputtering. The p-n heterojunction diodes were highly transparent in the visible region and exhibited rectifying current-voltage (I-V) characteristics with a good ideality factor. The sputter power during fabrication of the p-layer was found to have a profound effect on I-V characteristics, and the diode with the p-type layer deposited at a maximum power of 200 W exhibited the highest value of the diode ideality factor (η value) of 2.162, which suggests its potential use in optoelectronic applications. The ratio of forward current to reverse current exceeded 80 within the range of applied voltages of -1.5 to +1.5 V in all cases. The diode structure possessed an optical transmission of 60-70% in the visible region.

  15. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  16. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  17. Improved cyclic oxidation resistance of electron beam physical vapor deposited nano-oxide dispersed {beta}-NiAl coatings for Hf-containing superalloy

    Energy Technology Data Exchange (ETDEWEB)

    Guo Hongbo [School of Materials Science and Engineering, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China)], E-mail: Guo.hongbo@buaa.edu.cn; Cui Yongjing; Peng Hui; Gong Shengkai [School of Materials Science and Engineering, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China)

    2010-04-15

    Oxide dispersed (OD) {beta}-NiAl coatings and OD-free {beta}-NiAl coatings were deposited onto a Hf-containing Ni-based superalloy by electron beam physical vapor deposition (EB-PVD). Excessive enrichment of Hf was found in the TGO on the OD-free coating due to outward diffusion of Hf from the superalloy, causing accelerated TGO thickening and spalling. The OD-coating effectively prevented Hf from outward diffusion. Only small amount of Hf diffused to the coating surface and improved the TGO adherence by virtue of the reactive element effect. The OD-coating exhibited an improved oxidation resistance as compared to the OD-free coating.

  18. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  19. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  20. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  1. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  2. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  3. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  4. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  5. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  6. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  7. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  8. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  9. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  10. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  11. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  12. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  13. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  14. Aerosol - assisted Chemical Vapor Deposition of Metal Oxide Structures: Zinc Oxide Rods

    Czech Academy of Sciences Publication Activity Database

    Vallejos, S.; Pizúrová, Naděžda; Čechal, J.; Grácia, I.; Cané, C.

    2017-01-01

    Roč. 2017, Č. 127 (2017), č. článku e56127. ISSN 1940-087X Institutional support: RVO:68081723 Keywords : Zinc oxide * columnar structures * rods * AACVD * non-catalyzed growth * vapor-solid mechanism Subject RIV: CA - Inorganic Chemistry OBOR OECD: Polymer science Impact factor: 1.232, year: 2016 https://www.jove.com/video/56127

  15. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  16. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  17. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  18. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  19. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  20. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  1. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  2. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  5. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  8. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  9. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  10. Developments in hot-filament metal oxide deposition (HFMOD)

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Trasferetti, Benedito C.; Scarminio, Jair; Davanzo, Celso U.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Bica de Moraes, Mario A.

    2008-01-01

    Hot-filament metal oxide deposition (HFMOD) is a variant of conventional hot-filament chemical vapor deposition (HFCVD) recently developed in our laboratory and successfully used to obtain high-quality, uniform films of MO x , WO x and VO x . The method employs the controlled oxidation of a filament of a transition metal heated to 1000 deg. C or more in a rarefied oxygen atmosphere (typically, of about 1 Pa). Metal oxide vapor formed on the surface of the filament is transported a few centimetres to deposit on a suitable substrate. Key system parameters include the choice of filament material and diameter, the applied current and the partial pressures of oxygen in the chamber. Relatively high film deposition rates, such as 31 nm min -1 for MoO x , are obtained. The film stoichiometry depends on the exact deposition conditions. MoO x films, for example, present a mixture of MoO 2 and MoO 3 phases, as revealed by XPS. As determined by Li + intercalation using an electrochemical cell, these films also show a colouration efficiency of 19.5 cm 2 C -1 at a wavelength of 700 nm. MO x and WO x films are promising in applications involving electrochromism and characteristics of their colouring/bleaching cycles are presented. The chemical composition and structure of VO x films examined using IRRAS (infrared reflection-absorption spectroscopy), RBS (Rutherford backscattering spectrometry) and XPS (X-ray photoelectron spectrometry) are also presented

  11. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  12. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  13. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  14. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  15. Developments in hot-filament metal oxide deposition (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista (UNESP), Avenida Tres de Marco, 511, Alto de Boa Vista, 18087-180 Sorocaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Trasferetti, Benedito C. [Departamento de Policia Federal, Superintendencia Regional no Piaui, Setor Tecnico-Cientifico, Avenida Maranhao, 1022/N, 64.000-010, Teresina, PI (Brazil); Scarminio, Jair [Departamento de Fisica, Universidade Estadual de Londrina (UEL), 86051-990, Londrina, PR (Brazil); Davanzo, Celso U. [Instituto de Quimica, Universidade Estadual de Campinas (UNICAMP), 13083-970, Campinas, SP (Brazil); Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Bica de Moraes, Mario A. [Laboratorio de Processos de Plasma, Departamento de Fisica Aplicada, Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas (UNICAMP), 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Hot-filament metal oxide deposition (HFMOD) is a variant of conventional hot-filament chemical vapor deposition (HFCVD) recently developed in our laboratory and successfully used to obtain high-quality, uniform films of MO{sub x}, WO{sub x} and VO{sub x}. The method employs the controlled oxidation of a filament of a transition metal heated to 1000 deg. C or more in a rarefied oxygen atmosphere (typically, of about 1 Pa). Metal oxide vapor formed on the surface of the filament is transported a few centimetres to deposit on a suitable substrate. Key system parameters include the choice of filament material and diameter, the applied current and the partial pressures of oxygen in the chamber. Relatively high film deposition rates, such as 31 nm min{sup -1} for MoO{sub x}, are obtained. The film stoichiometry depends on the exact deposition conditions. MoO{sub x} films, for example, present a mixture of MoO{sub 2} and MoO{sub 3} phases, as revealed by XPS. As determined by Li{sup +} intercalation using an electrochemical cell, these films also show a colouration efficiency of 19.5 cm{sup 2} C{sup -1} at a wavelength of 700 nm. MO{sub x} and WO{sub x} films are promising in applications involving electrochromism and characteristics of their colouring/bleaching cycles are presented. The chemical composition and structure of VO{sub x} films examined using IRRAS (infrared reflection-absorption spectroscopy), RBS (Rutherford backscattering spectrometry) and XPS (X-ray photoelectron spectrometry) are also presented.

  16. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  17. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  18. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  19. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  20. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Oxide vapor distribution from a high-frequency sweep e-beam system

    Science.gov (United States)

    Chow, R.; Tassano, P. L.; Tsujimoto, N.

    1995-03-01

    Oxide vapor distributions have been determined as a function of operating parameters of a high frequency sweep e-beam source combined with a programmable sweep controller. We will show which parameters are significant, the parameters that yield the broadest oxide deposition distribution, and the procedure used to arrive at these conclusions. A design-of-experimental strategy was used with five operating parameters: evaporation rate, sweep speed, sweep pattern (pre-programmed), phase speed (azimuthal rotation of the pattern), profile (dwell time as a function of radial position). A design was chosen that would show which of the parameters and parameter pairs have a statistically significant effect on the vapor distribution. Witness flats were placed symmetrically across a 25 inches diameter platen. The stationary platen was centered 24 inches above the e-gun crucible. An oxide material was evaporated under 27 different conditions. Thickness measurements were made with a stylus profilometer. The information will enable users of the high frequency e-gun systems to optimally locate the source in a vacuum system and understand which parameters have a major effect on the vapor distribution.

  3. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  4. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  5. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  6. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  7. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  8. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  9. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  10. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  11. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  12. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  13. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    electron microscope. The corrosion rate of the nonequilibrium sputtered alloys, as determined by polarization resistance, is significantly reduced compared to the most corrosion resistant commercial magnesium alloys. The open circuit potentials of the sputter deposited alloys are significantly more noble compared to commercial, equilibrium phase magnesium alloys. Galvanic corrosion susceptibility has also been considerably reduced. Nonequilibrium magnesium-yttrium-titanium alloys have been shown to achieve passivity autonomously by alteration of the composition chemistry of the surface oxide/hydroxide layer. Self-healing properties are also evident, as corrosion propagation can be arrested after initial pitting of the material. A clear relationship exists between the corrosion resistance of sputter vapor deposited magnesium alloys and the amount of ion bombardment incurred by the alloy during deposition. Argon pressure, the distance between the source and the substrate, and alloy morphology play important roles in determining the ability of the alloy to develop a passive film. Thermal effects, both during and after alloy deposition, alter the stress state of the alloys, precipitation of second phases, and the mechanical stability of the passive film. An optimal thermal treatment has been developed in order to maximize the corrosion resistance of the magnesium-yttrium-titanium alloys. The significance of the results includes the acquisition of electrochemical data for these novel materials, as well as expanding the utilization of magnesium alloys by the improvement in their corrosion resistance. The magnesium alloys developed in this work are more corrosion resistant than any commercial magnesium alloy. Structural components comprised of these alloys would therefore exhibit unprecedented corrosion performance. Coatings of these alloys on magnesium components would provide a corrosion resistant yet galvanically-compatible coating. The broad impact of these contributions is

  14. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  15. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  16. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  17. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  18. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    Science.gov (United States)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  19. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  20. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  1. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  2. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  3. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  4. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  5. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  6. Chemical vapor deposition and electric characterization of perovskite oxides LaMO3 (M=Co, Fe, Cr and Mn) thin films

    International Nuclear Information System (INIS)

    Ngamou, Patrick Herve Tchoua; Bahlawane, Naoufal

    2009-01-01

    Oxides with a perovskite structure are important functional materials often used for the development of modern devices. In view of extending their applicability, it is necessary to efficiently control their growth as thin films using technologically relevant synthesis methods. Pulsed spray evaporation CVD was used to grow several perovskite-type oxides on planar silicon substrates at temperatures ranging from 500 to 700 deg. C. The optimization of the process control parameters allows the attainment of the perovskite structure as a single phase. The electrical characterization using the temperature-dependent conductivity and thermopower indicates the p-type conduction of the grown films and shows a decreasing concentration of the charge carrier, mobility and band gap energy in the sequence LaCoO 3 >LaMnO 3 >LaCrO 3 >LaFeO 3 . The investigation of the electric properties of the obtained perovskite thin films shows the versatility of CVD as a method for the development of innovative devices. - Graphical abstract: We report a single step deposition of perovskite thin films LaMO 3 (M: Co, Mn, Cr, Fe) using pulsed spray evaporation chemical vapor deposition. Electrical and thermopower properties, similar to these of bulk materials, could promote the development of modern thermoelectric devices based on thin films technology.

  7. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  8. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  9. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  10. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  11. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  12. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  13. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  14. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  15. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    International Nuclear Information System (INIS)

    Shirahata, Takahiro; Kawaharamura, Toshiyuki; Fujita, Shizuo; Orita, Hiroyuki

    2015-01-01

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10"−"4 Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac)_2], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH_3 to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10"−"3 Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac)_2] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10"−"3 Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  16. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shirahata, Takahiro [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan); Kawaharamura, Toshiyuki [Research Institute, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); School of Systems Engineering, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); Fujita, Shizuo, E-mail: fujitasz@kuee.kyoto-u.ac.jp [Photonics and Electronics Science and Engineering Center, Kyoto University, Katsura, Nishikyo-ku, Kyoto 615-8520 (Japan); Orita, Hiroyuki [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan)

    2015-12-31

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10{sup −4} Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac){sub 2}], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH{sub 3} to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10{sup −3} Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac){sub 2}] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10{sup −3} Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  17. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  18. Rapid growth of zinc oxide nanobars in presence of electric field by physical vapor deposition

    Science.gov (United States)

    Jouya, Mehraban; Taromian, Fahime; Siami, Simin

    2017-12-01

    In this contribution, electric field has some effects to increase growth for specific time duration on zinc oxide (ZnO) nanobars. First, the zinc (Zn) thin film has been prepared by 235,000 V/m electric field assisted physical vapor deposition (PVD) at vacuum of 1.33 × 10-5 mbar. Second, strong electric field of 134,000 V/m has been used in ambient for growing ZnO nanobars in term of the time include 2.5 and 10 h. The performances of the ZnO nanostructure in absence and presence of electric field have been determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The results of XRD analysis showed that ZnO has a hexagonal bars structure and a strongly preferred (101) orientation which is strongest than without applying electric field. SEM analysis revealed that physical vapored ZnO thin film in presence of electric field are densely packed with uniform morphological, thinner and denser in distribution. Electric field effect for ZnO growth in 2.5 h is better than it in the 2.5 h without electric field but by passing the time the media influence has good power almost as same as electric field. Through this electric field in PVD, the compact and uniform Zn film has been achieved which is less diameter than ordinary PVD method. Finally, we carry out a series of experiments to grow different-orientation ZnO nanobars with less than 100 nm in diameter, which are the time saving process in base of PVD ever reported. Therefore, the significant conclusion in usage electric field is reducing time of growth.

  19. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  20. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  2. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  3. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  4. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  5. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  6. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  7. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  9. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  10. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  11. Boron-doped zinc oxide thin films for large-area solar cells grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chen, X.L.; Xu, B.H.; Xue, J.M.; Zhao, Y.; Wei, C.C.; Sun, J.; Wang, Y.; Zhang, X.D.; Geng, X.H.

    2007-01-01

    Boron-doped zinc oxide (ZnO:B) films were grown by metal organic chemical vapor deposition using diethylzinc (DEZn), and H 2 O as reactant gases and diborane (B 2 H 6 ) as an n-type dopant gas. The structural, electrical and optical properties of ZnO films doped at different B 2 H 6 flow rates were investigated. X-ray diffraction spectra and scanning electron microscopy images indicate that boron-doping plays an important role on the microstructure of ZnO films, which induced textured morphology. With optimized conditions, low sheet resistance (∼ 30 Ω/□), high transparency (> 85% in the visible light and infrared range) and high mobility (17.8 cm 2 V -1 s -1 ) were obtained for 700-nm ZnO:B films deposited on 20 cm x 20 cm glass substrates at the temperature of 443 K. After long-term exposure in air, the ZnO:B films also showed a better electrical stability than the un-doped samples. With the application of ZnO:B/Al back contacts, the short circuit current density was effectively enhanced by about 3 mA/cm 2 for a small area a-Si:H cell and a high efficiency of 9.1% was obtained for a large-area (20 cm x 20 cm) a-Si solar module

  12. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  13. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  14. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  15. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  16. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  17. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  18. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  19. Plasma Deposition and Characterization of Copper-doped Cobalt Oxide Nanocatalysts

    Directory of Open Access Journals (Sweden)

    Jacek TYCZKOWSKI

    2013-09-01

    Full Text Available A series of pure and copper-doped cobalt oxide films was prepared by plasma-enhanced metalorganic chemical vapor deposition (PEMOCVD. The effect of Cu-doping on the chemical structure and morphology of the deposited films was investigated. Raman and FTIR spectroscopies were used to characterize the chemical structure and morphology of the produced films. The bulk composition and homogeneity of the samples were investigated by energy dispersive X-ray microanalysis (EDX, and X-ray photoelectron spectroscopy (XPS was employed to assess the surface chemical composition of pure and doped materials. The obtained results permit to affirm that the PEMOCVD technique is a simple, versatile and efficient method for providing homogeneous layers of cobalt oxides with a different content of copper. It has been found that pure cobalt oxide films mainly contain Co3O4 in the form of nanoclusters whereas the films doped with Cu are much more complex, and CoOx (also Co3O4, mixed Co-Cu oxides and CuOx nanoclusters are detected in them. Preliminary catalytical tests show that Cu-doped cobalt oxide films allow to initiate catalytic combustion of n-hexane at a lower temperature compared to the pure cobalt oxide (Co3O4 films. From what has been stated above, the plasma-deposited thin films of Cu-doped cobalt oxides pave the way towards a new class of nanomaterials with interesting catalytic properties. DOI: http://dx.doi.org/10.5755/j01.ms.19.3.2320

  20. Water Vapor Permeation of Metal Oxide/Polymer Coated Plastic Films

    Science.gov (United States)

    Numata, Yukihiro; Oya, Toshiyuki; Kuwahara, Mitsuru; Ito, Katsuya

    Barrier performance to water vapor permeation of ceramic coated layers deposited on flexible polymer films is of great interest to food packaging, medical device packaging and flat panel display industries. In this study, a new type film in which a ceramic layer is deposited on a polymer coated film was proposed for lower water vapor permeation. It is important how to control interfacial properties between each layer and film for good barrier performance. Several kinds of polymer coated materials were prepared for changing surface free energy of the films before and after depositing the ceramic layer. The ceramic layer, which is composed of mixed material of SiO2 and Al2O3, was adopted under the same conditions. The following results were obtained; 1) Water vapor permeation is not related to the surface energy of polymer coated films, 2) After depositing the ceramic layer, however, a strong correlation is observed between the water vapor permeation and surface free energy. 3) The phenomenon is considered that the polarity of the polymer layers plays a key role in changing the structure of ceramic coated layers.

  1. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  2. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  3. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  4. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of); An, Tae Kyu [Department of Polymer Science & Engineering, Korea National University of Transportation, 50 Daehak-Ro, Chungju (Korea, Republic of); Nam, Sooji, E-mail: sjnam15@etri.re.kr [Information Control Device Section, Electronics and Telecommunications Research Institute, Daejeon, 305-700 (Korea, Republic of); Kim, Se Hyun, E-mail: shkim97@yu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, North Gyeongsang 712-749 (Korea, Republic of); Jang, Jaeyoung, E-mail: jyjang15@hanyang.ac.kr [Department of Energy Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Park, Chan Eon, E-mail: cep@postech.ac.kr [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of)

    2017-08-31

    Highlights: • Sol-gel-derived aluminum oxide thin films were prepared using ultraviolet (UV) annealing. • UV irradiation dramatically promoted the densification of AlO{sub x} during the annealing stage, thereby forming a close-packed AlO{sub x} film. • The resulting AlO{sub x} films deposited on polymer substrates exhibited good water vapor blocking properties with low water vapor transmission rates (WVTRs). - Abstract: Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlO{sub x}) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlO{sub x} thin film at 180 °C was comparable to that of AlO{sub x} thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlO{sub x} thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10{sup −7} A/cm{sup 2} at 2 MV/cm). Finally, we confirmed that a dense AlO{sub x} thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlO{sub x} thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m{sup −2} day{sup −1} (25 °C, 50% relative humidity) and 0.26 g m{sup −2} day{sup −1}, respectively.

  5. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  6. Vapor Phase Polymerization Deposition Conducting Polymer Nanocomposites on Porous Dielectric Surface as High Performance Electrode Materials

    Institute of Scientific and Technical Information of China (English)

    Ya jie Yang; Luning Zhang; Shibin Li; Zhiming Wang; Jianhua Xu; Wenyao Yang; Yadong Jiang

    2013-01-01

    We report chemical vapor phase polymerization(VPP) deposition of poly(3,4-ethylenedioxythiophene)(PEDOT) and PEDOT/graphene on porous dielectric tantalum pentoxide(Ta2O5) surface as cathode films for solid tantalum electrolyte capacitors. The modified oxidant/oxidant-graphene films were first deposited on Ta2O5 by dip-coating, and VPP process was subsequently utilized to transfer oxidant/oxidant-graphene into PEDOT/PEDOT-graphene films. The SEM images showed PEDOT/PEDOT-graphene films was successfully constructed on porous Ta2O5 surface through VPP deposition, and a solid tantalum electrolyte capacitor with conducting polymer-graphene nano-composites as cathode films was constructed. The high conductivity nature of PEDOT-graphene leads to resistance decrease of cathode films and lower contact resistance between PEDOT/graphene and carbon paste. This nano-composite cathode films based capacitor showed ultralow equivalent series resistance(ESR) ca. 12 m? and exhibited excellent capacitance-frequency performance, which can keep 82% of initial capacitance at 500 KHz. The investigation on leakage current revealed that the device encapsulation process has no influence on capacitor leakage current, indicating the excellent mechanical strength of PEDOT/PEDOT-gaphene films. This high conductivity and mechanical strength of graphene-based polymer films shows promising future for electrode materials such as capacitors, organic solar cells and electrochemical energy storage devices.

  7. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  8. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  9. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  10. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  11. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    International Nuclear Information System (INIS)

    Labidi, A.; Bejaoui, A.; Ouali, H.; Akkari, F. Chaffar; Hajjaji, A.; Gaidi, M.; Kanzari, M.; Bessais, B.; Maaref, M.

    2011-01-01

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  12. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    Energy Technology Data Exchange (ETDEWEB)

    Labidi, A., E-mail: Ahmed_laabidi@yahoo.fr [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Bejaoui, A.; Ouali, H. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Akkari, F. Chaffar [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Hajjaji, A.; Gaidi, M. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Kanzari, M. [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Maaref, M. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia)

    2011-09-15

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  13. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  14. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  15. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  16. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  17. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  18. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  19. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  20. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  1. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  2. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  3. Oxidation of trichloroethylene, toluene, and ethanol vapors by a partially saturated permeable reactive barrier

    Science.gov (United States)

    Mahmoodlu, Mojtaba G.; Hassanizadeh, S. Majid; Hartog, Niels; Raoof, Amir

    2014-08-01

    The mitigation of volatile organic compound (VOC) vapors in the unsaturated zone largely relies on the active removal of vapor by ventilation. In this study we considered an alternative method involving the use of solid potassium permanganate to create a horizontal permeable reactive barrier for oxidizing VOC vapors. Column experiments were carried out to investigate the oxidation of trichloroethylene (TCE), toluene, and ethanol vapors using a partially saturated mixture of potassium permanganate and sand grains. Results showed a significant removal of VOC vapors due to the oxidation. We found that water saturation has a major effect on the removal capacity of the permeable reactive layer. We observed a high removal efficiency and reactivity of potassium permanganate for all target compounds at the highest water saturation (Sw = 0.6). A change in pH within the reactive layer reduced oxidation rate of VOCs. The use of carbonate minerals increased the reactivity of potassium permanganate during the oxidation of TCE vapor by buffering the pH. Reactive transport of VOC vapors diffusing through the permeable reactive layer was modeled, including the pH effect on the oxidation rates. The model accurately described the observed breakthrough curve of TCE and toluene vapors in the headspace of the column. However, miscibility of ethanol in water in combination with produced water during oxidation made the modeling results less accurate for ethanol. A linear relationship was found between total oxidized mass of VOC vapors per unit volume of permeable reactive layer and initial water saturation. This behavior indicates that pH changes control the overall reactivity and longevity of the permeable reactive layer during oxidation of VOCs. The results suggest that field application of a horizontal permeable reactive barrier can be a viable technology against upward migration of VOC vapors through the unsaturated zone.

  4. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  5. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  6. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  7. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  8. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  9. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  10. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  11. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  12. Effect of Co deposition on oxidation behavior and electrical properties of ferritic steel for solid oxide fuel cell interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Kruk, A.; Adamczyk, A.; Gil, A. [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, al. Mickiewicza 30, 30-059 Krakow (Poland); Kąc, S. [AGH University of Science and Technology, Faculty of Metals Engineering and Industrial Computer Science, al. Mickiewicza 30, 30-059 Krakow (Poland); Dąbek, J.; Ziąbka, M. [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, al. Mickiewicza 30, 30-059 Krakow (Poland); Brylewski, T., E-mail: brylew@agh.edu.pl [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, al. Mickiewicza 30, 30-059 Krakow (Poland)

    2015-09-01

    In this work, a Co layer deposited on DIN 50049 steel by means of pulsed laser deposition was applied for the protection of solid oxide fuel cell (SOFC) interconnects operating on the cathode side. The coated and uncoated steel samples were oxidized in air at 1073 K for 500 h, and their microstructures as well as electrical resistances were evaluated using X-ray diffraction, atomic force microscopy, scanning electron microscopy coupled with energy-dispersive X-ray spectroscopy, and the 2-probe 4-point direct current method. It was demonstrated that the Co coating had reduced the oxidation rate of the steel by nearly a half. The area-specific resistance value of the coated steel was 5 × 10{sup −6} Ω·m{sup 2}, which was significantly lower than that of bare steel after 350 h of oxidation at 1073 K. Cr vaporization tests showed that the Co coating was efficient at blocking the outward diffusion of Cr. The obtained results prove that steel coated with a thin film of cobalt was suitable for use as metallic interconnect material in SOFCs operating at intermediate temperatures. - Highlights: • Co layer was deposited on ferritic steel by means of pulsed laser deposition. • Coated and bare ferritic steel samples were exposed to air at 1073 K for 500 h. • Scale growth rate on bare steel is higher than that on coated steel. • Electrical resistance for oxidized coated steel was lower than for bare steel. • Co-coated steel effectively reduced the formation of volatile Cr species.

  13. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  14. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  15. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  16. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  17. Synthesis and in vacuo deposition of iron oxide nanoparticles by microplasma-assisted decomposition of ferrocene

    International Nuclear Information System (INIS)

    Schaefer, Michael; Kumar, Ajay; Mohan Sankaran, R.; Schlaf, Rudy

    2014-01-01

    Microplasma-assisted gas-phase nucleation has emerged as an important new approach to produce high-purity, nanometer-sized, and narrowly dispersed particles. This study aims to integrate this technique with vacuum conditions to enable synthesis and deposition in an ultrahigh vacuum compatible environment. The ultimate goal is to combine nanoparticle synthesis with photoemission spectroscopy-based electronic structure analysis. Such measurements require in vacuo deposition to prevent surface contamination from sample transfer, which can be deleterious for nanoscale materials. A homebuilt microplasma reactor was integrated into an existing atomic layer deposition system attached to a surface science multi-chamber system equipped with photoemission spectroscopy. As proof-of-concept, we studied the decomposition of ferrocene vapor in the microplasma to synthesize iron oxide nanoparticles. The injection parameters were optimized to achieve complete precursor decomposition under vacuum conditions, and nanoparticles were successfully deposited. The stoichiometry of the deposited samples was characterized in situ using X-ray photoelectron spectroscopy indicating that iron oxide was formed. Additional transmission electron spectroscopy characterization allowed the determination of the size, shape, and crystal lattice of the particles, confirming their structural properties.

  18. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  19. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  20. Structural, electrical and optical studies of SILAR deposited cadmium oxide thin films: Annealing effect

    International Nuclear Information System (INIS)

    Salunkhe, R.R.; Dhawale, D.S.; Gujar, T.P.; Lokhande, C.D.

    2009-01-01

    Successive ionic layer adsorption and reaction (SILAR) method has been successfully employed for the deposition of cadmium oxide (CdO) thin films. The films were annealed at 623 K for 2 h in an air and changes in the structural, electrical and optical properties were studied. From the X-ray diffraction patterns, it was found that after annealing, H 2 O vapors from as-deposited Cd(O 2 ) 0.88 (OH) 0.24 were removed and pure cubic cadmium oxide was obtained. The as-deposited film consists of nanocrystalline grains of average diameter about 20-30 nm with uniform coverage of the substrate surface, whereas for the annealed film randomly oriented morphology with slight increase in the crystallite size has been observed. The electrical resistivity showed the semiconducting nature with room temperature electrical resistivity decreased from 10 -2 to 10 -3 Ω cm after annealing. The decrease in the band gap energy from 3.3 to 2.7 eV was observed after the annealing

  1. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  2. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  3. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  4. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  5. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  6. Oxidative vaporization kinetics of chromium (III) oxide in oxygen from 1270 to 1570 K

    Science.gov (United States)

    Stearns, C. A.; Kohl, F. J.; Fryburg, G. C.

    1974-01-01

    Rates of oxidative vaporization of Cr2O3 on preoxidized resistively heated chromium were determined in flowing oxygen at 0.115 torr for temperatures from 1270 to 1570 K. Reaction controlled rates were obtained from experimental rates by a gold calibration technique. These rates were shown to agree with those predicted by thermochemical analysis. The activation energy obtained for the oxidative vaporation reaction corresponded numerically with the thermochemical enthalpy of the reaction. A theoretical equation is given for calculating the rate from thermodynamic data by using boundary layer theory.

  7. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  8. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  9. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  10. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  11. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  12. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  13. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  14. Oxide cathodes produced by plasma deposition

    International Nuclear Information System (INIS)

    Scheitrum, G.; Caryotakis, G.; Pi, T.; Umstattd, R.; Brown, I.; Montiero, O.

    1997-01-01

    These are two distinct applications for high-current-density, long-life thermionic cathodes. The first application is as a substitute for explosive emission cathodes used in high-power microwave (HPM) devices being developed for Air Force programs. The second application is in SLAC's X-band klystrons for the Next Linear Collider (NLC). SLAC, UCD, and LBL are developing a plasma deposition process that eliminates the problems with binders, carbonate reduction, peeling, and porosity. The emission layer is deposited using plasma deposition of metallic barium in vacuum with an oxygen background gas. An applied bias voltage drives the oxide plasma into the nickel surface. Since the oxide is deposited directly, it does not have problems with poisoning from a hydrocarbon binder. The density of the oxide layer is increased from the 40--50% for standard oxide cathodes to nearly 100% for plasma deposition

  15. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  16. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  17. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  18. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  19. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  1. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  2. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  3. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  4. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  5. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  6. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  7. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  8. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  9. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  10. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  11. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  12. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  13. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  14. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  15. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  16. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  17. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  18. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  19. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  20. In situ metrology to characterize water vapor delivery during atomic layer deposition

    International Nuclear Information System (INIS)

    Ahmido, Tariq; Kimes, William A.; Sperling, Brent A.; Hodges, Joseph T.; Maslar, James E.

    2016-01-01

    Water is often employed as the oxygen source in metal oxide atomic layer deposition (ALD) processes. It has been reported that variations in the amount of water delivered during metal oxide ALD can impact the oxide film properties. Hence, one contribution to optimizing metal oxide ALD processes would be to identify methods to better control water dose. The development of rapid, quantitative techniques for in situ water vapor measurements during ALD processes would be beneficial to achieve this goal. In this report, the performance of an in situ tunable diode laser absorption spectroscopy (TDLAS) scheme for performing rapid, quantitative water partial pressure measurements in a representative quarter-inch ALD delivery line is described. This implementation of TDLAS, which utilizes a near-infrared distributed-feedback diode laser and wavelength modulation spectroscopy, provides measurements of water partial pressure on a timescale comparable to or shorter than the timescale of the gas dynamics in typical ALD systems. Depending on the degree of signal averaging, this TDLAS system was capable of measuring the water partial pressure with a detection limit in the range of ∼0.80 to ∼0.08 Pa. The utility of this TDLAS scheme was demonstrated by using it to identify characteristics of a representative water delivery system that otherwise would have been difficult to predict. Those characteristics include (1) the magnitude and time dependence of the pressure transient that can occur during water injection, and (2) the dependence of the steady-state water partial pressure on the carrier gas flow rate and the setting of the water ampoule flow restriction.

  1. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  2. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  3. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  4. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  5. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  6. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  7. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  8. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  9. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  10. Thermal shock behavior of platinum aluminide bond coat/electron beam-physical vapor deposited thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhenhua, E-mail: zhxuciac@163.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Dai, Jianwei [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Niu, Jing [Shenyang Liming Aero-engine (Group) Corporation Ltd., Institute of Metallurgical Technology, Technical Center, Shengyang 110043 (China); Li, Na; Huang, Guanghong; He, Limin [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China)

    2014-12-25

    Highlights: • TBCs of (Ni, Pt)Al bond coat with grit blasting process and YSZ ceramic coating. • Grain boundary ridges are the sites for spallation damage initiation in TBCs. • Ridges removed, cavities formation appeared and the damage initiation deteriorated. • Damage initiation and progression at interface lead to a buckling failure. - Abstract: Thermal barrier coating systems (TBCs) including of chemical vapor deposited (Ni, Pt)Al bond coat with grit blasting process and electron beam physical vapor deposited Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) ceramic coating were investigated. The phase structures, surface and cross-sectional morphologies, thermal shock behaviors and residual stresses of the coatings were studied in detail. Grain boundary ridges still remain on the surface of bond coat prior to the deposition of the ceramic coating, which are shown to be the major sites for spallation damage initiation in TBCs. When these ridges are mostly removed, they appear some of cavities formation and then the damage initiation mode is deteriorated. Damage initiation and progression occurs at the bond coat to thermally grown oxide (TGO) interface leading to a buckling failure behavior. A buckle failure once started may be arrested when it runs into a region of high bond coat to TGO interface toughness. Thus, complete failure requires further loss in toughness of the bond coat to TGO interface during cooling. The suppressed cavities formation, the removed ridges at the grain boundaries, the relative high TGO to bond coat interface toughness, the uniform growth behavior of TGO thickening and the lower of the residual stress are the primary factors for prolonging the lifetime of TBCs.

  11. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  12. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  13. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  14. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  15. Characterizations of arsenic-doped zinc oxide films produced by atmospheric metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Weng, Li-Wei, E-mail: onlyway54@hotmail.com [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming; Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Wu, Chih-Hung; Hong, Hwe-Fen; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Shen, Chin-Chang [Chemical Engineering Division, Institute of Nuclear Energy Research, Longtan Township, Taoyuan 32546, Taiwan (China)

    2013-07-15

    p-type ZnO films were prepared by atmospheric metal-organic chemical vapor deposition technique using arsine (AsH{sub 3}) as the doping source. The electrical and optical properties of arsenic-doped ZnO (ZnO:As) films fabricated at 450–600 °C with various AsH{sub 3} flow rates ranging from 8 to 21.34 μmol/min were analyzed and compared. Hall measurements indicate that stable p-type ZnO films with hole concentrations varying from 7.2 × 10{sup 15} to 5.8 × 10{sup 18} cm{sup −3} could be obtained. Besides, low temperature (17 K) photoluminescence spectra of all ZnO:As films also demonstrate the dominance of the line related to the neutral acceptor-bound exciton. Moreover, the elemental identity and chemical bonding information for ZnO:As films were examined by X-ray photoelectron spectroscopy. Based on the results obtained, the effects of doping conditions on the mechanism responsible for the p-type conduction were studied. Conclusively, a simple technique to fabricate good-quality p-type ZnO films has been recognized in this work. Depositing the film at 550 °C with an AsH{sub 3} flow rate of 13.72 μmol/min is appropriate for producing hole concentrations on the order of 10{sup 17} cm{sup −3} for it. Ultimately, by increasing the AsH{sub 3} flow rate to 21.34 μmol/min for doping and depositing the film at 600 °C, ZnO:As films with a hole concentration over 5 × 10{sup 18} cm{sup −3} together with a mobility of 1.93 cm{sup 2}V{sup −1} s{sup −1} and a resistivity of 0.494 ohm-cm can be achieved.

  16. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  17. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  18. Vapor transport deposition of large-area polycrystalline CdTe for radiation image sensor application

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Keedong; Cha, Bokyung; Heo, Duchang; Jeon, Sungchae [Korea Electrotechnology Research Institute, 111 Hanggaul-ro, Ansan-si, Gyeonggi-do 426-170 (Korea, Republic of)

    2014-07-15

    Vapor transport deposition (VTD) process delivers saturated vapor to substrate, resulting in high-throughput and scalable process. In addition, VTD can maintain lower substrate temperature than close-spaced sublimation (CSS). The motivation of this work is to adopt several advantages of VTD for radiation image sensor application. Polycrystalline CdTe films were obtained on 300 mm x 300 mm indium tin oxide (ITO) coated glass. The polycrystalline CdTe film has columnar structure with average grain size of 3 μm ∝ 9 μm, which can be controlled by changing the substrate temperature. In order to analyze electrical and X-ray characteristics, ITO-CdTe-Al sandwich structured device was fabricated. Effective resistivity of the polycrystalline CdTe film was ∝1.4 x 10{sup 9}Ωcm. The device was operated under hole-collection mode. The responsivity and the μτ product estimated to be 6.8 μC/cm{sup 2}R and 5.5 x 10{sup -7} cm{sup 2}/V. The VTD can be a process of choice for monolithic integration of CdTe thick film for radiation image sensor and CMOS/TFT circuitry. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  20. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  1. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  2. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  3. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  4. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  5. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  6. Oxidación en vapor de agua del acero inoxidable AISI 316 recubierto con Al-Si por deposición química de vapor en lecho fluidizado

    Directory of Open Access Journals (Sweden)

    José Luddey Marulanda Arevalo

    2014-06-01

    Full Text Available Stainless steel AISI 316 was coated with aluminum-silicon by Chemical Vapor Deposition in Fluidized Bed Reactor (CVD-FBR to 540°C and subsequently heat treated to improve its mechanical properties and its behavior against oxidation, by inter diffusion of the alloying elements. Later, oxidation was performed austenitic stainless steel aluminum-silicon coated at temperatures of 700 and 750°C, in an environment with 100% steam, to evaluate their performance. Mass gain graphs were made and oxide films were analyzed by SEM and X-ray diffraction to observe the morphology of the oxides. Thermodynamic simulation was carried out during oxidation in water vapor of the substrates for possible solid phases which could be formed. The form of attack at 750°C is similar to 700°C, although the rate of oxidation is increased, because the diffusion of the alloying elements and the oxidation reactions are faster. These coatings have good resistance to oxidation in water vapor and forming an alumina surface layer which protects the substrate from corrosion attack.

  7. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  8. Cobalt oxide-based catalysts deposited by cold plasma for proton exchange membrane fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Kazimierski, P.; Jozwiak, L.; Sielski, J.; Tyczkowski, J., E-mail: jacek.tyczkowski@p.lodz.pl

    2015-11-02

    In proton exchange membrane fuel cells (PEMFC), both the anodic hydrogen oxidation reaction and the cathodic oxygen reduction reaction (ORR) require appropriate catalysts. So far, platinum-based catalysts are still the best option for this purpose. However, because these catalysts are too expensive for making commercially viable fuel cells, extensive research over the past decade has focused on developing noble metal-free alternative catalysts. In this paper, an approach based on cobalt oxide films fabricated by plasma-enhanced metal-organic chemical vapor deposition is presented. Such a material can be used to prepare catalysts for ORR in PEMFC. The films containing CoO{sub X} were deposited on a carbon paper thereby forming the electrode. Morphology and atomic composition of the films were investigated by scanning electron microscopy and energy-dispersive X-ray spectroscopy, respectively. The possibility of their application as the electro-catalyst for ORR in PEMFC was investigated and the electro-catalytic activities were evaluated by the electrochemical measurements and single cell tests. It was found that the fuel cell with Pt as the anode catalyst and CoO{sub X} deposit as the cathode catalyst was characterized by the open circuit voltage of 635 mV, Tafel slope of approx. 130 mV/dec and the maximum power density of 5.3 W/m{sup 2}. - Highlights: • Cobalt oxide catalyst for proton exchange membrane fuel cells was plasma deposited. • The catalyst exhibits activity for the oxygen reduction reaction. • Morphology and atomic composition of the catalyst were determined.

  9. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  10. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  11. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  12. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  13. GENERATION, TRANSPORT AND DEPOSITION OF TUNGSTEN-OXIDE AEROSOLS AT 1000 C IN FLOWING AIR-STEAM MIXTURES.

    Energy Technology Data Exchange (ETDEWEB)

    GREENE,G.A.; FINFROCK,C.C.

    2001-10-01

    Experiments were conducted to measure the rates of oxidation and vaporization of pure tungsten rods in flowing air, steam and air-steam mixtures in laminar flow. Also measured were the downstream transport of tungsten-oxide condensation aerosols and their region of deposition, including plateout in the superheated flow tube, rainout in the condenser and ambient discharge which was collected on an array of sub-micron aerosol filters. The nominal conditions of the tests, with the exception of the first two tests, were tungsten temperatures of 1000 C, gas mixture temperatures of 200 C and wall temperatures of 150 C to 200 C. It was observed that the tungsten oxidation rates were greatest in all air and least in all steam, generally decreasing non-linearly with increasing steam mole fraction. The tungsten oxidation rates in all air were more than five times greater than the tungsten oxidation rates in all steam. The tungsten vaporization rate was zero in all air and increased with increasing steam mole fraction. The vaporization rate became maximum at a steam mole fraction of 0.85 and decreased thereafter as the steam mole fraction was increased to unity. The tungsten-oxide was transported downstream as condensation aerosols, initially flowing upwards from the tungsten rod through an 18-inch long, one-inch diameter quartz tube, around a 3.5-inch radius, 90{sup o} bend and laterally through a 24-inch horizontal run. The entire length of the quartz glass flow path was heated by electrical resistance clamshell heaters whose temperatures were individually controlled and measured. The tungsten-oxide plateout in the quartz tube was collected, nearly all of which was deposited at the end of the heated zone near the entrance to the condenser which was cold. The tungsten-oxide which rained out in the condenser as the steam condensed was collected with the condensate and weighed after being dried. The aerosol smoke which escaped the condenser was collected on the sub

  14. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  15. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  16. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  17. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  18. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  19. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  20. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  1. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  2. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  3. Ammonia release method for depositing metal oxides

    Energy Technology Data Exchange (ETDEWEB)

    Silver, G.L.; Martin, F.S.

    1993-12-31

    A method of depositing metal oxides on substrates which is indifferent to the electrochemical properties of the substrates and which comprises forming ammine complexes containing metal ions and thereafter effecting removal of ammonia from the ammine complexes so as to permit slow precipitation and deposition of metal oxide on the substrates.

  4. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  5. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  6. Copper Oxidation through Nucleation Sites of Chemical Vapor Deposited Graphene

    DEFF Research Database (Denmark)

    Luo, Birong; Whelan, Patrick Rebsdorf; Shivayogimath, Abhay

    2016-01-01

    We investigate the nucleation defect-triggered oxidation of Cu covered by CVD graphene during postannealing in air. The results reveal that different growth conditions may induce imperfect nucleation of graphene, and cause creation of defects near the nucleation point such as pin holes...... and amorphous carbon. These defects would serve as a pathway for the diffusion of 02 during thermal annealing, allowing oxidation of Cu to progress gradually from the nucleation center toward the growth edge. The oxidation process follows the graphene morphology closely; the shape of the oxidized area of Cu has...... a striking resemblance to that of the graphene flakes. Our work demonstrates that inferior graphene nucleation in CVD processes can compromise the oxidation resistance of a graphene-coated Cu substrate, and indirectly reveal the structure and integrity of graphene, which is of fundamental importance...

  7. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  8. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  9. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  10. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  11. UO{sub 2} surface oxidation by mixtures of water vapor and hydrogen as a function of temperature

    Energy Technology Data Exchange (ETDEWEB)

    Espriu-Gascon, A., E-mail: alexandra.espriu@upc.edu [Department of Chemical Engineering, Universitat Politècnica Catalunya-Barcelona Tech, Diagonal 647, E-08028 Barcelona (Spain); Llorca, J.; Domínguez, M. [Institut de Tècniques Energètiques (INTE), Universitat Politècnica Catalunya-Barcelona Tech, Diagonal 647, E-08028 Barcelona (Spain); Centre for Research in NanoEngineering (CRNE), Universitat Politècnica Catalunya-Barcelona Tech, Diagonal 647, E-08028 Barcelona (Spain); Giménez, J.; Casas, I. [Department of Chemical Engineering, Universitat Politècnica Catalunya-Barcelona Tech, Diagonal 647, E-08028 Barcelona (Spain); Pablo, J. de [Department of Chemical Engineering, Universitat Politècnica Catalunya-Barcelona Tech, Diagonal 647, E-08028 Barcelona (Spain); Fundació CTM Centre Tecnològic, Plaça de la Ciència 2, E-08243 Manresa (Spain)

    2015-12-15

    In the present work, X-Ray Photoelectron Spectroscopy (XPS) was used to study the effect of water vapor on the UO{sub 2} surface as a function of temperature. The experiments were performed in situ inside a high pressure chamber attached to the XPS instrument. UO{sub 2} samples were put in contact with either hydrogen or argon streams, saturated with water at room temperature, and the sample surface evolution was analyzed by XPS. In the case of the water vapor/argon experiments, one experiment at 350 °C was performed and, in the case of the water vapor/hydrogen experiments, the temperatures used inside the reactor were 60, 120, 200 and 350 °C. On one hand, in presence of argon, the results obtained showed that the water vapor in the argon stream oxidized 93% of the U(IV) in the sample surface. On the other hand, the degree of UO{sub 2} surface oxidation showed a different dependence on the temperature in the experiments performed in the presence of hydrogen: the maximum surface oxidation occurred at 120 °C, where 65.4% of U(IV) in the sample surface was oxidized, while at higher temperatures, the surface oxidation decreased. This observation is attributed to the increase of hydrogen reducing effect when temperature increases which prevents part of the oxidation of the UO{sub 2} surface by the water vapor. - Highlights: • UO{sub 2} surface has been oxidized by water vapor in an argon stream at 350 °C. • H{sub 2} reduced more uranium oxidation produced by water at 350 °C when compared to Ar. • In H{sub 2} presence, the uranium oxidation produced by water depends on the temperature.

  12. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  13. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  14. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji; Boccard, Mathieu; Jeangros, Quentin; Rodkey, Nathan; Vresilovic, Daniel; Hessler-Wyser, Aï cha; Dö beli, Max; Franta, Daniel; De Wolf, Stefaan; Morales-Masis, Monica; Ballif, Christophe

    2018-01-01

    demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband

  15. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  16. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  17. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  18. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  19. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  20. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  1. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  2. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  3. Pebax®1657/Graphene oxide composite membranes for improved water vapor separation

    KAUST Repository

    Akhtar, Faheem Hassan; Kumar, Mahendra; Peinemann, Klaus-Viktor

    2016-01-01

    In this study composite mixed matrix membranes containing hydrophilic microphase-separated block copolymer (Pebax® 1657) and graphene oxide nanosheets were prepared using a dip coating method. Water vapor and N2 gas permeation were measured as a function of different parameters: (i) layer thickness, (ii) content of graphene oxide (GO), and (iii) content of reduced GO. Surprisingly, a concentration of only 2 wt% of GO nanosheets well dispersed in the Pebax layer boosted the selectivity 8 times by decreasing the water vapor permeance by only 12% whereas N2 gas permeance decreased by 70%. Using reduced GO instead, the water vapor permeance declined by up to 16% with no influence on the N2 gas permeance. We correlated the permeation properties of the mixed matrix membranes with different models and found, that both the modified Nielsen model and the Cussler model give good correlation with experimental findings.

  4. Pebax®1657/Graphene oxide composite membranes for improved water vapor separation

    KAUST Repository

    Akhtar, Faheem Hassan

    2016-11-02

    In this study composite mixed matrix membranes containing hydrophilic microphase-separated block copolymer (Pebax® 1657) and graphene oxide nanosheets were prepared using a dip coating method. Water vapor and N2 gas permeation were measured as a function of different parameters: (i) layer thickness, (ii) content of graphene oxide (GO), and (iii) content of reduced GO. Surprisingly, a concentration of only 2 wt% of GO nanosheets well dispersed in the Pebax layer boosted the selectivity 8 times by decreasing the water vapor permeance by only 12% whereas N2 gas permeance decreased by 70%. Using reduced GO instead, the water vapor permeance declined by up to 16% with no influence on the N2 gas permeance. We correlated the permeation properties of the mixed matrix membranes with different models and found, that both the modified Nielsen model and the Cussler model give good correlation with experimental findings.

  5. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  6. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  7. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  8. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  9. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  10. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  11. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  12. Study on purification of carbon nano tubes grown on Fe/Ni bimetallic catalyst supported on Mg O by thermal chemical vapor deposition

    International Nuclear Information System (INIS)

    Mirershadi, S.; Mortazavi, Z.; Reyhani, A.; Norouzian, Sh.; Moniri, N.; Novinrooz, A. J.

    2007-01-01

    Carbon nano tubes grown on Fe/Ni bimetallic catalysts supported on Mg O by thermal chemical vapor deposition. Then purification of carbon nano tubes by oxidation under air at atmospheric pressure and acid treatment with HCl, have been studied. The Scanning electron microscopy observation showed impurities with carbon nano tubes. Scanning electron microscopy, XRD, Raman spectroscopy and Thermogravimetric analysis/Differential Scanning Calorimetry techniques have been used to investigate the effect of purification of carbon nano tubes on morphology and structural quality of them. The weight ratio of carbon nano tubes in purified sample re saved to 85/8 %.

  13. Integrating atomic layer deposition and ultra-high vacuum physical vapor deposition for in situ fabrication of tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Elliot, Alan J., E-mail: alane@ku.edu, E-mail: jwu@ku.edu; Malek, Gary A.; Lu, Rongtao; Han, Siyuan; Wu, Judy Z., E-mail: alane@ku.edu, E-mail: jwu@ku.edu [Department of Physics and Astronomy, The University of Kansas, Lawrence, Kansas 66045 (United States); Yu, Haifeng; Zhao, Shiping [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-07-15

    Atomic Layer Deposition (ALD) is a promising technique for growing ultrathin, pristine dielectrics on metal substrates, which is essential to many electronic devices. Tunnel junctions are an excellent example which require a leak-free, ultrathin dielectric tunnel barrier of typical thickness around 1 nm between two metal electrodes. A challenge in the development of ultrathin dielectric tunnel barriers using ALD is controlling the nucleation of dielectrics on metals with minimal formation of native oxides at the metal surface for high-quality interfaces between the tunnel barrier and metal electrodes. This poses a critical need for integrating ALD with ultra-high vacuum (UHV) physical vapor deposition. In order to address these challenges, a viscous-flow ALD chamber was designed and interfaced to an UHV magnetron sputtering chamber via a load lock. A sample transportation system was implemented for in situ sample transfer between the ALD, load lock, and sputtering chambers. Using this integrated ALD-UHV sputtering system, superconductor-insulator-superconductor (SIS) Nb-Al/Al{sub 2}O{sub 2}/Nb Josephson tunnel junctions were fabricated with tunnel barriers of thickness varied from sub-nm to ∼1 nm. The suitability of using an Al wetting layer for initiation of the ALD Al{sub 2}O{sub 3} tunnel barrier was investigated with ellipsometry, atomic force microscopy, and electrical transport measurements. With optimized processing conditions, leak-free SIS tunnel junctions were obtained, demonstrating the viability of this integrated ALD-UHV sputtering system for the fabrication of tunnel junctions and devices comprised of metal-dielectric-metal multilayers.

  14. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  15. The influence of water vapor and sulfur dioxide on the catalytic decomposition of nitrous oxide

    Energy Technology Data Exchange (ETDEWEB)

    Yalamas, C.; Heinisch, R.; Barz, M. [Technische Univ. Berlin (Germany). Inst. fuer Energietechnik; Cournil, M. [Ecole Nationale Superieure des Mines, 42 - Saint-Etienne (France)

    2001-03-01

    For the nitrous oxide decomposition three groups of catalysts such as metals on support, hydrotalcites, and perovskites were studied relating to their activity in the presence of vapor or sulfur dioxide, in the temperature range from 200 to 500 C. It was found that the water vapor strongly inhibates the nitrous oxide decomposition at T=200-400 C. The sulfur dioxide poisons the catalysts, in particular the perovskites. (orig.)

  16. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  17. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  18. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    Science.gov (United States)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  19. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  20. The impact of hydrogen and oxidizing impurities in chemical vapor deposition of graphene on copper

    Science.gov (United States)

    Choubak, Saman

    Graphene, the single-atom layer of carbon, has attracted scientists and technologists due to its outstanding physical and opto/electronic properties. The use of graphene in practical applications requires a reliable and cost-effective method to produce large area graphene films with low defects and controlled thicknesses. Direct growth of graphene using chemical vapor deposition (CVD) on copper, in which carbonaceous gaseous species react with the metal substrate in the presence of hydrogen at high temperatures (850-1100° C), led to high coverage of high quality graphene, opening up a promising future for methods of this type and a large step towards commercial realization of graphene products. The present thesis deals with the synthesis of graphene via low pressure CVD (LP-CVD) on copper catalyst using methane as the carbon precursor. The focus is mainly on the determination of the role of hydrogen and oxidizing impurities during graphene formation with an ultimate purpose: to elucidate a viable and reproducible method for the production of high quality graphene films compatible with industrial manufacturing processes. The role of molecular hydrogen in graphene CVD is explored in the first part of the thesis. Few studies claimed that molecular hydrogen etches graphene films on copper by conducting annealing experiments. On the other hand, we speculated that this graphene etching reaction is due to the presence of trace amount of oxygen in the furnace atmosphere. Thus, we took another approach and designed systematic annealing experiments to investigate the role of hydrogen in the etching reaction of graphene on copper foils. No evidence of graphene etching on copper was observed when purified ultra high purity (UHP) hydrogen was used at 825 °C and 500 mTorr. Nevertheless, graphene films exposed to the unpurified UHP hydrogen were etched due to the presence of oxidizing impurities. Our results show that hydrogen is not responsible for graphene etching reaction

  1. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  2. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  3. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  4. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  5. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  6. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  7. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  8. Hydrogen distribution in oxynitride/oxide structures

    NARCIS (Netherlands)

    Oude Elferink, J.B.; Heide, U.A. van der; Arnold Bik, W.M.; Habraken, F.H.P.M.; Weg, W.F. van der

    1987-01-01

    Silicon oxynitride films with five different O/N ratios were deposited with low pressure chemical vapor deposition on a silicon substrate covered with an oxide. The films were subjected to subsequent post-deposition anneals in N2 and H2 at 1000°C, and a H plasma at 300°C to obtain information about

  9. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  10. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  13. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  14. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  15. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  16. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  17. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  18. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    Science.gov (United States)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  19. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  20. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  1. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  2. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  3. Non-enzymatic hydrogen peroxide detection at NiO nanoporous thin film- electrodes prepared by physical vapor deposition at oblique angles

    International Nuclear Information System (INIS)

    Salazar, Pedro; Rico, Victor; González-Elipe, Agustín R.

    2017-01-01

    Highlights: • A non-enzymatic sensor for H 2 O 2 detection based on nickel thin film is reported. • Nanostructured nickel thin films are prepared by physical vapor deposition at oblique angles. • Main analytical parameters were obtained under optimal operation conditions. • Sensors depict an outstanding selectivity and a high stability. • Sensors are successfully used to determine H 2 O 2 in antiseptic solutions. - Abstract: In this work we report a non-enzymatic sensor for hydrogen peroxide (H 2 O 2 ) detection based on nanostructured nickel thin films prepared by physical vapor deposition at oblique angles. Porous thin films deposited on ITO substrates were characterized by X-ray diffraction analysis, scanning electron microcopy (SEMs), X-ray photoelectron spectroscopy (XPS) and electrochemical techniques such as Cyclic Voltammetry (CV) and Constant Potential Amperometry (CPA). The microstructure of the thin films consisted of inclined and separated Ni nanocolumns forming a porous thin layer of about 500 nm thickness. Prior to their use, the films surface was electrochemically modified and the chemical state studied by CV and XPS analysis. These techniques also showed that Ni 2+ /Ni 3+ species were involved in the electrochemical oxidation and detection of H 2 O 2 in alkaline medium. Main analytical parameters such as sensitivity (807 mA M −1 cm −2 ), limit of detection (3.22 μM) and linear range (0.011–2.4 mM) were obtained under optimal operation conditions. Sensors depicted an outstanding selectivity and a high stability and they were successfully used to determine H 2 O 2 concentration in commercial antiseptic solutions.

  4. Reduction of nitric oxide by arc vaporized carbons (AVC)

    Energy Technology Data Exchange (ETDEWEB)

    Tsang, S C; Chen, Y K; Green, M L.H. [The Catalysis Centre, Inorganic Chemistry Laboratory, University of Oxford, Oxford (United Kingdom)

    1996-07-04

    The reduction of nitric oxide by arc vaporized carbons (AVC) including the compound C{sub 6}0, fullerene soot and carbon nanotubes, giving dinitrogen and carbon oxides has been studied. It is found that the AVC carbons are more active towards oxidation by NO than by oxygen gas at low temperatures (300-400C). In contrast, conventional carbons such as graphite and microporous carbons are more readily oxidised by oxygen than by NO. The addition of copper salts and to a lesser extent, cobalt salts, to fullerene soot substantially promote NO reduction. The high intrinsic activity for NO reduction by AVC carbons compared to graphitic carbons is attributed to the presence of five membered carbon rings in the AVC carbons

  5. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  6. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  7. Chemical vapor deposition of tin oxide: fundamentals and applications

    NARCIS (Netherlands)

    Mol, A.M.B. van; Chae, Y.; McDaniel, A.H.; Allendorf, M.D.

    2006-01-01

    Tin oxide thin layers have very beneficial properties such as a high transparency for visible light and electrical conductivity making these coatings suitable for a wide variety of applications, such as solar cells, and low-emissivity coatings for architectural glass windows. Each application

  8. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  9. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  10. The Comparative Study on Vapor-Polymerization and Pressure-dependent Conductance Behavior in Polypyrrole-hybridized Membranes

    Energy Technology Data Exchange (ETDEWEB)

    Hanif, Zahid; Lee, Seyeong; Arsalani, Nasir; Geckeler, Kurt E.; Hong, Sukwon; Yoon, Myung-Han [Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of)

    2016-02-15

    In this study, commercially available cellulose membranes were hybridized with conjugated polymer via vapor-phase polymerization using pyrrole and iron chloride as a monomer and oxidant, respectively. The iron (III) chloride layer dip-coated on the hydrophilic cell ulose surface oxidized the vaporized pyrrole monomer leading to the polypyrrole-cellulose hybrid membrane. The conductivity of hybrid membrane was optimized by varying the oxidant concentration and the monomer vapor exposure time. The various surface characterizations of polypyrrole-cellulose hybrid membrane show that the conductive polypyrrole layer was uniformly deposited onto the surface of cellulose fibrous networks unlike the polypyrrole-nylonhybrid membrane prepared in the similar way. The polypyrrole-incorporated cellulose networks exhibits steeper electrical conductance increase over the vertical pressure than its nylon counterpart. Our result suggests that the polypyrrole-cellulose hybrid membrane can be applicable for a disposable high-load pressure sensor.

  11. The Comparative Study on Vapor-Polymerization and Pressure-dependent Conductance Behavior in Polypyrrole-hybridized Membranes

    International Nuclear Information System (INIS)

    Hanif, Zahid; Lee, Seyeong; Arsalani, Nasir; Geckeler, Kurt E.; Hong, Sukwon; Yoon, Myung-Han

    2016-01-01

    In this study, commercially available cellulose membranes were hybridized with conjugated polymer via vapor-phase polymerization using pyrrole and iron chloride as a monomer and oxidant, respectively. The iron (III) chloride layer dip-coated on the hydrophilic cell ulose surface oxidized the vaporized pyrrole monomer leading to the polypyrrole-cellulose hybrid membrane. The conductivity of hybrid membrane was optimized by varying the oxidant concentration and the monomer vapor exposure time. The various surface characterizations of polypyrrole-cellulose hybrid membrane show that the conductive polypyrrole layer was uniformly deposited onto the surface of cellulose fibrous networks unlike the polypyrrole-nylonhybrid membrane prepared in the similar way. The polypyrrole-incorporated cellulose networks exhibits steeper electrical conductance increase over the vertical pressure than its nylon counterpart. Our result suggests that the polypyrrole-cellulose hybrid membrane can be applicable for a disposable high-load pressure sensor.

  12. Oxidation-resistant interface coatings for Nicalon/SiC composites

    Energy Technology Data Exchange (ETDEWEB)

    Stinton, D.P.; Besmann, T.M.; Lowden, R.A. [Oak Ridge National Lab., TN (United States); Liaw, P.K.; Shanmugham, S. [Univ. of Tennessee, Knoxville, TN (United States)

    1997-12-01

    Nicalon/SiC composites with thin C and C/oxide/C interfaces were fabricated. The oxide layers, mullite and Al{sub 2}O{sub 3}-TiO{sub 2}, were deposited by a sol-gel process, while the C layer was deposited by a chemical vapor infiltration method. The fabricated composites were flexure tested in both as-processed and oxidized conditions. Composites with C and C/oxide/C interfaces retained graceful failure even after 500 h oxidation at 1000 C, but with reduced flexural strengths.

  13. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  14. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  15. Gas phase deposition of oxide and metal-oxide coatings on fuel particles

    International Nuclear Information System (INIS)

    Patokin, A.P.; Khrebtov, V.L.; Shirokov, B.M.

    2008-01-01

    Production processes and properties of oxide (Al 2 O 3 , ZrO 2 ) and metal-oxide (Mo-Al 2 O 3 , Mo-ZrO 2 , W-Al 2 O 3 , W-ZrO 2 ) coatings on molybdenum substrates and uranium dioxide fuel particles were investigated. It is shown that the main factors that have an effect on the deposition rate, density, microstructure and other properties of coatings are the deposition temperature, the ratio of H 2 and CO 2 flow rates, the total reactor pressure and the ratio of partial pressures of corresponding metal chlorides during formation of metal-oxide coatings

  16. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  17. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  18. Characterization of Niobium Oxide Films Deposited by High Target Utilization Sputter Sources

    International Nuclear Information System (INIS)

    Chow, R; Ellis, A D; Loomis, G E; Rana, S I

    2007-01-01

    High quality, refractory metal, oxide coatings are required in a variety of applications such as laser optics, micro-electronic insulating layers, nano-device structures, electro-optic multilayers, sensors and corrosion barriers. A common oxide deposition technique is reactive sputtering because the kinetic mechanism vaporizes almost any solid material in vacuum. Also, the sputtered molecules have higher energies than those generated from thermal evaporation, and so the condensates are smoother and denser than those from thermally-evaporated films. In the typical sputtering system, target erosion is a factor that drives machine availability. In some situations such as nano-layered capacitors, where the device's performance characteristics depends on thick layers, target life becomes a limiting factor on the maximizing device functionality. The keen interest to increase target utilization in sputtering has been addressed in a variety of ways such as target geometry, rotating magnets, and/or shaped magnet arrays. Also, a recent sputtering system has been developed that generates a high density plasma, directs the plasma beam towards the target in a uniform fashion, and erodes the target in a uniform fashion. The purpose of this paper is to characterize and compare niobia films deposited by two types of high target utilization sputtering sources, a rotating magnetron and a high density plasma source. The oxide of interest in this study is niobia because of its high refractive index. The quality of the niobia films were characterized spectroscopically in optical transmission, ellipsometrically, and chemical stoichiometry with X-ray photo-electron spectroscopy. The refractive index, extinction coefficients, Cauchy constants were derived from the ellipsometric modeling. The mechanical properties of coating density and stress are also determined

  19. Defect-Free Graphene Synthesized Directly at 150 °C via Chemical Vapor Deposition with No Transfer.

    Science.gov (United States)

    Park, Byeong-Ju; Choi, Jin-Seok; Eom, Ji-Ho; Ha, Hyunwoo; Kim, Hyun You; Lee, Seonhee; Shin, Hyunjung; Yoon, Soon-Gil

    2018-02-27

    Direct graphene synthesis on substrates via chemical vapor deposition (CVD) is an attractive approach for manufacturing flexible electronic devices. The temperature for graphene synthesis must be below ∼200 °C to prevent substrate deformation while fabricating flexible devices on plastic substrates. Herein, we report a process whereby defect-free graphene is directly synthesized on a variety of substrates via the introduction of an ultrathin Ti catalytic layer, due to the strong affinity of Ti to carbon. Ti with a thickness of 10 nm was naturally oxidized by exposure to air before and after the graphene synthesis, and the various functions of neither the substrates nor the graphene were influenced. This report offers experimental evidence of high-quality graphene synthesis on Ti-coated substrates at 150 °C via CVD. The proposed methodology was applied to the fabrication of flexible and transparent thin-film capacitors with top electrodes of high-quality graphene.

  20. Vaporization of tungsten-metal in steam at high temperatures

    International Nuclear Information System (INIS)

    Greene, G.A.; Finfrock, C.C.

    2000-01-01

    system. The aerosol formed a fine white smoke of tungsten-oxide which was visible to the eye as it condensed in the laminar boundary layer of steam which flowed along the surface of the rod. The aerosol continued to flow as a smoke tube downstream of the rod, flowing coaxially along the centerline axis of the quartz glass tube and depositing by impaction along the outside of a bend and at sudden area contractions in the piping. The vaporization rate data from the 17 experiments which exceeded the vaporization threshold temperature are shown in Figure 5 in the form of vaporization rates (g/cm 2 s) vs. inverse temperature (K -1 ). Two correlations to the present data are presented and compared to a published correlation by Kilpatrick and Lott. The differences are discussed

  1. Fabrication technology of CNT-Nickel Oxide based planar pseudocapacitor for MEMS and NEMS

    Science.gov (United States)

    Lebedev, E. A.; Kitsyuk, E. P.; Gavrilin, I. M.; Gromov, D. G.; Gruzdev, N. E.; Gavrilov, S. A.; Dronov, A. A.; Pavlov, A. A.

    2015-11-01

    Fabrication technology of planar pseudocapacitor (PsC) based on carbon nanotube (CNT) forest, synthesized using plasma enhanced chemical vapor deposition (PECVD) method, covered with thin nickel oxide layer deposited by successive ionic layer adsorption and reaction (SILAR) method, is demonstrated. Dependences of deposited oxide layers thickness on device specific capacities is studied. It is shown that pseudocapacity of nickel oxide thin layer increases specific capacity of the CNT's based device up to 2.5 times.

  2. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  3. Surface conductivity of the single crystal aluminum oxide in vacuum and caesium vapors

    International Nuclear Information System (INIS)

    Vasilchenko, A.V.; Izhvanov, O.L.

    1996-01-01

    Results of measurements of surface conductivity of single-crystal aluminum oxide samples in vacuum and cesium vapors at T=620 endash 830 K and P Cs =0.13 endash 2 Pa are shown in the paper. Analysis of caesium vapor influence is carried out and ultimate characteristics of samples conductivity under operation conditions in thermionic nuclear power system (NPP) TFE are estimated. copyright 1996 American Institute of Physics

  4. A deposit model for magmatic iron-titanium-oxide deposits related to Proterozoic massif anorthosite plutonic suites

    Science.gov (United States)

    Woodruff, Laurel G.; Nicholson, Suzanne W.; Fey, David L.

    2013-01-01

    This descriptive model for magmatic iron-titanium-oxide (Fe-Ti-oxide) deposits hosted by Proterozoic age massif-type anorthosite and related rock types presents their geological, mineralogical, geochemical, and geoenvironmental attributes. Although these Proterozoic rocks are found worldwide, the majority of known deposits are found within exposed rocks of the Grenville Province, stretching from southwestern United States through eastern Canada; its extension into Norway is termed the Rogaland Anorthosite Province. This type of Fe-Ti-oxide deposit dominated by ilmenite rarely contains more than 300 million tons of ore, with between 10- to 45-percent titanium dioxide (TiO2), 32- to 45-percent iron oxide (FeO), and less than 0.2-percent vanadium (V). The origin of these typically discordant ore deposits remains as enigmatic as the magmatic evolution of their host rocks. The deposits clearly have a magmatic origin, hosted by an age-constrained unique suite of rocks that likely are the consequence of a particular combination of tectonic circumstances, rather than any a priori temporal control. Principal ore minerals are ilmenite and hemo-ilmenite (ilmenite with extensive hematite exsolution lamellae); occurrences of titanomagnetite, magnetite, and apatite that are related to this deposit type are currently of less economic importance. Ore-mineral paragenesis is somewhat obscured by complicated solid solution and oxidation behavior within the Fe-Ti-oxide system. Anorthosite suites hosting these deposits require an extensive history of voluminous plagioclase crystallization to develop plagioclase-melt diapirs with entrained Fe-Ti-rich melt rising from the base of the lithosphere to mid- and upper-crustal levels. Timing and style of oxide mineralization are related to magmatic and dynamic evolution of these diapiric systems and to development and movement of oxide cumulates and related melts. Active mines have developed large open pits with extensive waste-rock piles, but

  5. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  6. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  7. In-reactor oxidation of zircaloy-4 under low water vapor pressures

    Science.gov (United States)

    Luscher, Walter G.; Senor, David J.; Clayton, Kevin K.; Longhurst, Glen R.

    2015-01-01

    Complementary in- and ex-reactor oxidation tests have been performed to evaluate the oxidation and hydrogen absorption performance of Zircaloy-4 (Zr-4) under relatively low partial pressures (300 and 1000 Pa) of water vapor at specified test temperatures (330 and 370 °C). Data from these tests will be used to support the fabrication of components intended for isotope-producing targets and provide information regarding the temperature and pressure dependence of oxidation and hydrogen absorption of Zr-4 over the specified range of test conditions. Comparisons between in- and ex-reactor test results were performed to evaluate the influence of irradiation.

  8. In-reactor oxidation of zircaloy-4 under low water vapor pressures

    International Nuclear Information System (INIS)

    Luscher, Walter G.; Senor, David J.; Clayton, Kevin K.; Longhurst, Glen R.

    2015-01-01

    Complementary in- and ex-reactor oxidation tests have been performed to evaluate the oxidation and hydrogen absorption performance of Zircaloy-4 (Zr-4) under relatively low partial pressures (300 and 1000 Pa) of water vapor at specified test temperatures (330 and 370 ℃). Data from these tests will be used to support the fabrication of components intended for isotope-producing targets and provide information regarding the temperature and pressure dependence of oxidation and hydrogen absorption of Zr- 4 over the specified range of test conditions. Comparisons between in- and ex-reactor test results were performed to evaluate the influence of irradiation.

  9. Oxidative vaporization kinetics of Cr2O3 in oxygen from 1000 to 1300 C

    Science.gov (United States)

    Stearns, C. A.; Kohl, F. J.; Fryburg, G. C.

    1974-01-01

    Rates of oxidative vaporization of Cr2O3 on preoxidized resistively heated chromium were determined in flowing oxygen at a pressure of 0.115 Torr for temperatures from 1000 to 1300 C. Reaction controlled rates were obtained from experimental rates by a gold calibration technique, and these rates were shown to agree with those predicted by thermochemical analysis. The activation energy obtained for the oxidative vaporization reaction corresponded numerically with the thermochemical enthalpy of the reaction. A theoretical equation is given for calculating the rate from thermodynamic data using boundary-layer theory.

  10. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  11. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  12. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  13. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  14. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  15. Enzymatic oxidation of mercury vapor by erythrocytes

    Energy Technology Data Exchange (ETDEWEB)

    Halbach, S; Clarkson, T W

    1978-01-01

    The formation of glutathione radicals, the evolution of nascent oxygen or the peroxidatic reaction with catalase complex I are considered as possible mechanisms for the oxidation of mercury vapor by red blood cells. To select among these, the uptake of atomic mercury by erythrocytes from different species was studied and related to their various activities of catalase (hydrogen-peroxide:hydrogen-peroxide oxidoreductase, EC 1.11.1.6) and glutathione peroxidase (glutathione:hydrogen-peroxide oxidoreductase, EC 1.11.1.9). A slow and continuouus infusion of diluted H/sub 2/O/sub 2/ was used to maintain steady concentrations of complex I. 1% red cell suspensions were found most suitable showing high rates of Hg uptake and yielding still enough cells for subsequent determinations. The results indicate that the oxidation of mercury depends upon the H/sub 2/O/sub 2/-generation rate and upon the specific acticity of red-cell catalase. The oxidation occurred in a range of the catalase-H/sub 2/O/sub 2/ reaction where the evolution of oxygen could be excluded. Compounds reacting with complex I were shown to be effective inhibitors of the mercury uptake. GSH-peroxidase did not participate in the oxidation but rather, was found to inhibit it by competing with catalase for hydrogen peroxide. These findings support the view that elemental mercury is oxidized in erythrocytes by a peroxidatic reaction with complex I only.

  16. Structure and soft magnetic properties of sputter deposited MnZn-ferrite films

    NARCIS (Netherlands)

    Gillies, M.F.; Coehoorn, R.; van Zon, J.B.A.D.; Alders, D.

    1998-01-01

    In this paper we report the soft magnetic properties of thin films of sputtered MnZn ferrite deposited on thermally oxidized Si substrates. A high deposition temperature, 600¿°C, together with the addition of water vapor to the sputtering gas was found to improve the initial ac permeability, µ. The

  17. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  18. Ultra-high temperature oxidation behavior of chemical vapor deposited silicon carbide layers

    International Nuclear Information System (INIS)

    Goto, Takashi

    2003-01-01

    The active oxidation, passive oxidation and bubble formation of CVD SiC were studied in O 2 and CO 2 at temperatures from 1650 to 2000 K. The active oxidation rates in O 2 increased with increasing oxygen partial pressure (P o2 ); however, those in CO 2 showed the maxima at specific P o2 . The passive oxidation kinetics in O 2 were either linear-parabolic or parabolic depending on temperature and P o2 , whereas that in CO 2 was always parabolic. The activation energies for the parabolic oxidation in O 2 and CO 2 were 210 and 150 kJ/mol, respectively, suggesting different rate-determining process between these atmospheres. The bubble formation was controlled by temperature and P o2 being independent of oxidant gas species. (author)

  19. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  20. Kinetics of Chronic Oxidation of NBG-17 Nuclear Graphite by Water Vapor

    Energy Technology Data Exchange (ETDEWEB)

    Contescu, Cristian I [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Burchell, Timothy D [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Mee, Robert [Univ. of Tennessee, Knoxville, TN (United States)

    2015-05-01

    This report presents the results of kinetic measurements during accelerated oxidation tests of NBG-17 nuclear graphite by low concentration of water vapor and hydrogen in ultra-high purity helium. The objective is to determine the parameters in the Langmuir-Hinshelwood (L-H) equation describing the oxidation kinetics of nuclear graphite in the helium coolant of high temperature gas-cooled reactors (HTGR). Although the helium coolant chemistry is strictly controlled during normal operating conditions, trace amounts of moisture (predictably < 0.2 ppm) cannot be avoided. Prolonged exposure of graphite components to water vapor at high temperature will cause very slow (chronic) oxidation over the lifetime of graphite components. This behavior must be understood and predicted for the design and safe operation of gas-cooled nuclear reactors. The results reported here show that, in general, oxidation by water of graphite NBG-17 obeys the L-H mechanism, previously documented for other graphite grades. However, the characteristic kinetic parameters that best describe oxidation rates measured for graphite NBG-17 are different than those reported previously for grades H-451 (General Atomics, 1978) and PCEA (ORNL, 2013). In some specific conditions, certain deviations from the generally accepted L-H model were observed for graphite NBG-17. This graphite is manufactured in Germany by SGL Carbon Group and is a possible candidate for the fuel elements and reflector blocks of HTGR.

  1. Double-ceramic-layer thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/La{sub 2}Ce{sub 2}O{sub 7} deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Z.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); He, L.M., E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Mu, R.D.; He, S.M.; Huang, G.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, X.Q., E-mail: xcao@ciac.jl.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-03-15

    Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and La{sub 2}Ce{sub 2}O{sub 7} (LC) were deposited by electron beam-physical vapor deposition (EB-PVD). The composition, interdiffusion, surface and cross-sectional morphologies, cyclic oxidation behavior of DCL coating were studied. Energy dispersive spectroscopy and X-ray diffraction analyses indicate that both LZ7C3 and LC coatings are effectively fabricated by a single LZ7C3 ingot with properly controlling the deposition energy. The chemical compatibility of LC coating and thermally grown oxide (TGO) layer is unstable. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} which is the main composition of TGO layer. Additionally, the thermal cycling behavior of DCL coating is influenced by the interdiffusion of Zr and Ce between LZ7C3 and LC coatings. The failure of DCL coating is a result of the sintering of LZ7C3 coating surface, the chemical incompatibility of LC coating and TGO layer and the abnormal oxidation of bond coat. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL coating is an important development direction of TBCs.

  2. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Linjie; Wang, Lixin [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Qin, Xiujuan, E-mail: qinxj@ysu.edu.cn [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Cui, Li [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Shao, Guangjie [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China)

    2016-04-30

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  4. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Linjie; Wang, Lixin; Qin, Xiujuan; Cui, Li; Shao, Guangjie

    2016-01-01

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  5. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  6. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  7. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  8. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  9. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  10. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  11. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  12. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  13. Room temperature deposition of crystalline indium tin oxide films by cesium-assisted magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Deuk Yeon; Baik, Hong-Koo

    2008-01-01

    Indium tin oxide (ITO) films were deposited on a Si (1 0 0) substrate at room temperature by cesium-assisted magnetron sputtering. Including plasma characteristics, the structural, electrical, and optical properties of deposited films were investigated as a function of cesium partial vapor pressure controlled by cesium reservoir temperature. We calculated the cesium coverage on the target surface showing maximum formation efficiency of negative ions by means of the theoretical model. Cesium addition promotes the formation efficiency of negative ions, which plays important role in enhancing the crystallinity of ITO films. In particular, the plasma density was linearly increased with cesium concentrations. The resultant decrease in specific resistivity and increase in transmittance (82% in the visible region) at optimum cesium concentration (4.24 x 10 -4 Ω cm at 80 deg. C of reservoir temperature) may be due to enhanced crystallinity of ITO films. Excess cesium incorporation into ITO films resulted in amorphization of its microstructure leading to degradation of ITO crystallinity. We discuss the cesium effects based on the growth mechanism of ITO films and the plasma density

  14. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  15. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  16. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  17. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  18. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  19. Microstructure of oxides in thermal barrier coatings grown under dry/humid atmosphere

    International Nuclear Information System (INIS)

    Zhou Zhaohui; Guo Hongbo; Wang Juan; Abbas, Musharaf; Gong Shengkai

    2011-01-01

    Graphical abstract: The presence of water vapor promoted the formation of spinels in the TBC. Highlights: → Thermal barrier coatings are produced by electron beam physical vapour deposition. → Oxidation behaviour of the coatings at 1100 deg. C has been investigated in dry/humid O 2 . → Thermally grown oxides formed in the coatings are characterized. → The presence of water vapour promotes the formation of spinel in the TBCs. - Abstract: The microstructure of thermally grown oxide (TGO) in thermal barrier coatings (TBCs) oxidized under dry/humid atmosphere at 1100 deg. C has been characterized by transmission electron microscopy. A thin and continuous oxide layer is formed in the as-deposited TBCs produced by electron beam physical vapor deposition. The TGO formed in dry atmosphere consists of an outer layer of fine α-alumina, zirconia grains and an inner layer of columnar α-alumina grains. However, a small amount of spinel is observed in the TGO under humid atmosphere. The presence of water vapour promotes the formation of spinel.

  20. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  1. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  2. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  3. Optical properties of tetrapod nanostructured zinc oxide by chemical ...

    African Journals Online (AJOL)

    ... deposited onto indium tin oxide (ITO) coated glass substrate by thermal chemical vapor deposition (TCVD) technique. This work studies the effects of annealing temperature ranging from 100–500 ºC towards its physical and optical properties. FESEM images showed that the structural properties of tetrapod nanostructured ...

  4. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  5. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  6. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  7. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    Science.gov (United States)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  8. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  9. Amorphous carbon nanofibres inducing high specific capacitance of deposited hydrous ruthenium oxide

    International Nuclear Information System (INIS)

    Barranco, V.; Pico, F.; Ibanez, J.; Lillo-Rodenas, M.A.; Linares-Solano, A.; Kimura, M.; Oya, A.; Rojas, R.M.; Amarilla, J.M.; Rojo, J.M.

    2009-01-01

    Composites consisting of ruthenium oxide particles deposited on amorphous carbon nanofibres are prepared by a repetitive impregnation procedure. The choice of amorphous carbon nanofibres as support of amorphous ruthenium oxide leads to composites in which the deposited oxide consists of aggregates of extremely small primary particles (1-1.5 nm-size) and showing high porosity (specific surface area of 450 m 2 g -1 ). This special deposition of the oxide seems to favour: (i) high oxide capacitance (1000 Fg -1 ) at high oxide loadings (up to 20 wt%) and (ii) high capacitance retention (ca. 80% from the initial oxide capacitance) at high current densities (200 mA cm -2 ). Amorphous carbon nanofibres are suitable supports for amorphous ruthenium oxide and perhaps for other amorphous oxides acting as active electrode materials.

  10. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  11. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  12. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  13. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Thermodynamic functions and vapor pressures of uranium and plutonium oxides at high temperatures

    International Nuclear Information System (INIS)

    Green, D.W.; Reedy, G.T.; Leibowitz, L.

    1977-01-01

    The total energy release in a hypothetical reactor accident is sensitive to the total vapor pressure of the fuel. Thermodynamic functions which are accurate at high temperature can be calculated with the methods of statistical mechanics provided that needed spectroscopic data are available. This method of obtaining high-temperature vapor pressures should be greatly superior to the extrapolation of experimental vapor pressure measurements beyond the temperature range studied. Spectroscopic data needed for these calculations are obtained from infrared spectroscopy of matrix-isolated uranium and plutonium oxides. These data allow the assignments of the observed spectra to specific molecular species as well as the calculation of anharmonicities for monoxides, bond angles for dioxides, and molecular geometries for trioxides. These data are then employed, in combination with data on rotational and electronic molecular energy levels, to determine thermodynamic functions that are suitable for the calculation of high-temperature vapor pressures

  15. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  16. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    Science.gov (United States)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  17. On the deposition parameters of indium oxide (IO) and tin oxide (TO) by reactive evaporation technique

    International Nuclear Information System (INIS)

    Hassan, F.; Abdullah, A.H.; Salam, R.

    1990-01-01

    Thin films of tin oxide (TO) and indium oxide (IO) are prepared by the reactive evaporation technique, where indium or tin sources are evaporated and made to react with oxygen gas injected close to the substrate. In both depositions a substrate temperature of 380 0 C and a chamber pressure of 2x10 -5 mbar are utilized, but however different oxygen flow rates has been maintained. For TO, the deposition rate is found to be constant up to about 55 minutes of deposition time with a deposition rate of about 0.10 A/s, but for longer deposition time the deposition rate increases rapidly up to about 0.30 A/s. The IO displays a higher deposition rate of about 0.80 A/s over a deposition time 30 minutes, beyond which the deposition rate increases gradually

  18. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  19. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  20. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  1. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  2. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  3. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  4. Effect of oxidation on the wear behavior of a ZrN coating

    Energy Technology Data Exchange (ETDEWEB)

    Atar, E. [Gebze Inst. of Tech., Material Science and Engineering Dept., Kocaeli (Turkey); Cimenoglu, H.; Kayali, E.S. [Istanbul Technical Univ., Dept. of Metallurgy and Materials Engineering, Azazaga, Istanbul (Turkey)

    2005-07-01

    In the present study tribological performance of ZrN coatings deposited on hardened AISI D2 quality cold work tool steel by arc-physical vapor deposition technique has been examined in as-deposited and oxidized conditions. ZrN coatings were oxidized at 400 C for various times up to 12 h. Reciprocating wear tests carried out by rubbing Al{sub 2}O{sub 3} balls on the coatings, revealed significant improvement in wear resistance of ZrN coating upon oxidation. Oxidation treatment at 400 C for 12 h yielded seven times higher wear resistance than as-deposited ZrN coating, beside significant reduction in the wear of counterface (Al{sub 2}O{sub 3} ball). (orig.)

  5. Effect of oxidation on the wear behavior of a ZrN coating

    International Nuclear Information System (INIS)

    Atar, E.; Cimenoglu, H.; Kayali, E.S.

    2005-01-01

    In the present study tribological performance of ZrN coatings deposited on hardened AISI D2 quality cold work tool steel by arc-physical vapor deposition technique has been examined in as-deposited and oxidized conditions. ZrN coatings were oxidized at 400 C for various times up to 12 h. Reciprocating wear tests carried out by rubbing Al 2 O 3 balls on the coatings, revealed significant improvement in wear resistance of ZrN coating upon oxidation. Oxidation treatment at 400 C for 12 h yielded seven times higher wear resistance than as-deposited ZrN coating, beside significant reduction in the wear of counterface (Al 2 O 3 ball). (orig.)

  6. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  7. Detection system of sodium oxide vapors

    International Nuclear Information System (INIS)

    Hundal, Rolv.

    1976-01-01

    The description is given of a sodium oxide vapor detection system which comprises a containment, a light source located to send a light beam into and through this containment and a photodetector located to intercept the light from the source after it has covered a given path through the containment. In response to the intensity of the incident light, the photodetector produces an output signal representative of it. The feature of this device is a first polarizer located near the light source, along the path of the light coming from it and designed to polarize the light projected through the containment in a given plane, and a second polarizer located near the photodetector, along the path of the polarized light and designed virtually to prevent all the light rays whose orientation differs from the given polarization plane from reaching the photodetector [fr

  8. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  9. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  10. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  11. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  12. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  13. Rapid Deposition of Oxidized Biogenic Compounds to a Temperate Forest

    Science.gov (United States)

    Nguyen, Tran B.; Crounse, John D.; Teng, Alex P.; St. Clair, Jason M.; Paulot, Fabien; Wolfe, Glenn M.; Wennberg, Paul O.

    2015-01-01

    We report fluxes and dry deposition velocities for 16 atmospheric compounds above a southeastern United States forest, including: hydrogen peroxide (H2O2), nitric acid (HNO3), hydrogen cyanide (HCN), hydroxymethyl hydroperoxide, peroxyacetic acid, organic hydroxy nitrates, and other multifunctional species derived from the oxidation of isoprene and monoterpenes. The data suggest that dry deposition is the dominant daytime sink for small, saturated oxygenates. Greater than 6 wt %C emitted as isoprene by the forest was returned by dry deposition of its oxidized products. Peroxides account for a large fraction of the oxidant flux, possibly eclipsing ozone in more pristine regions. The measured organic nitrates comprise a sizable portion (15%) of the oxidized nitrogen input into the canopy, with HNO3 making up the balance. We observe that water-soluble compounds (e.g., strong acids and hydroperoxides) deposit with low surface resistance whereas compounds with moderate solubility (e.g., organic nitrates and hydroxycarbonyls) or poor solubility (e.g., HCN) exhibited reduced uptake at the surface of plants. To first order, the relative deposition velocities of water-soluble compounds are constrained by their molecular diffusivity. From resistance modeling, we infer a substantial emission flux of formic acid at the canopy level (approx. 1 nmol m(exp.-2)·s(exp.-1)). GEOS-Chem, awidely used atmospheric chemical transport model, currently underestimates dry deposition for most molecules studied in this work. Reconciling GEOS-Chem deposition velocities with observations resulted in up to a 45% decrease in the simulated surface concentration of trace gases.

  14. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  15. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  16. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  17. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  18. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  19. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  20. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  1. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  2. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  3. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  4. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  5. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    Science.gov (United States)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  6. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  7. Numerical evaluation of ABS parts fabricated by fused deposition modeling and vapor smoothing

    Directory of Open Access Journals (Sweden)

    Sung-Uk Zhang

    2017-12-01

    Full Text Available The automotive industry has focused to use polymer materials in order to increase energy efficiency. So, the industry pays attention to use 3D printing technologies using several polymers. Among several 3D printer technologies, fused deposition modeling (FDM is one of the popular 3D printing technologies due to an inexpensive extrusion machine and multi-material printing. FDM could use thermoplastics such as ABS, PLA, ULTEM so on. However, it has a problem related to the post-processing because FDM has relatively poor layer resolution. In this study, the mechanical properties of ABS parts fabricated by FDM were measured. The ABS parts were divided into one with vapor smoothing process and the other without the vapor smoothing process which is one of the post-processing methods. Using dynamic mechanical analysis (DMA and dilatometer, temperature-dependent storage modulus and CTE for ABS specimens were measured. Based on the measured thermo-mechanical properties of ABS parts, finite element analysis was performed for an automotive bumper made of ABS. Moreover, response surface methodology was applied to study relationships among design parameters of thickness of the bumper, ambient temperature, and application of the vapor smoothing process. In result, a design guideline for a ABS product could be provided without time-consuming experiments

  8. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji

    2018-03-02

    Owing to the wide application of metal oxides in energy conversion devices, the fabrication of these oxides using conventional, damage-free, and upscalable techniques is of critical importance in the optoelectronics community. Here, the authors demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband transparency, wide band gap (3.5-4 eV), and low refractive index (1.6 at 500 nm). The authors link this low refractive index to the presence of nanoscale voids enclosing H, as indicated by electron energy-loss spectroscopy. This work opens the path for further metal-oxide developments by low-temperature, scalable and damage-free PECVD processes.

  9. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  10. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  11. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  12. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  13. Mechanism of potentiostatic deposition of MnO2 and electrochemical characteristics of the deposit in relation to carbohydrate oxidation

    International Nuclear Information System (INIS)

    Das, Debasmita; Sen, Pratik Kumar; Das, Kaushik

    2008-01-01

    Cyclic voltammetric (CV) and chronoamperometric (CA) studies on potentiostatic deposition of MnO 2 on Pt from Mn(II) solution in very weakly alkaline media show the process to be controlled by a one-electron transfer step, which means that the deposition proceeds through the generation of Mn(III). The electrocatalytic activity of the deposited electrode towards carbohydrate oxidation is found to be maximum at an optimum amount of deposition. Chronopotentiometric (CP) and CV measurements show that the oxidation of carbohydrates on the deposited electrodes follows a catalytic EC (electrochemical-chemical) mechanism via electrolytic formation of Mn(V) and its subsequent consumption either by disproportionation or by chemical reaction in the presence of carbohydrates. The rate constants of the reaction of Mn(V) with dextrose and fructose have been obtained from CA results. The relative order of the oxidation currents for dextrose and fructose as well as their dependence on carbohydrate concentration has been discussed. Replacement of Pt by carbon as the electrode support material does not affect the electrocatalytic activity of the MnO 2 deposit. The observed linear variation of the steady state oxidation currents with carbohydrate concentration can be exploited for analytical application

  14. Characterization of noble metals deposits and oxides in conditions of BWR reactors

    International Nuclear Information System (INIS)

    Arganis J, C.R.; Aguilar T, J.A.; Contreras R, A.

    2008-01-01

    The oxides deposited on steel 304l under normal chemistry conditions (NWC) and hydrogen chemistry (HWC) with presence of Zn, being that the first ones present hexagonal oxides of Hematite and the second bipyramidal crystals possibly Magnetite with traces of Zn. Deposits of Pt on the oxidized surfaces under NWC conditions were obtained, being glasses from 2 to 4 μm and Pt-Rh deposits were obtained on the oxidized surfaces in presence of Zn, by its size its were not possible to observe them by scanning electron microscopy. The kinetics of the surfaces of Hematite and of the deposits of Pt it was measured by means of the Tafel extrapolation technique, being proven the catalytic effect of the Pt, even in sizes of 11 μm. (Author)

  15. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  16. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  17. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  18. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  19. A deposit model for magmatic iron-titanium-oxide deposits related to Proterozoic massif anorthosite plutonic suites: Chapter K in Mineral Deposit Models for Resource Assessment

    Science.gov (United States)

    Woodruff, Laurel G.; Nicholson, Suzanne W.; Fey, David L.

    2013-01-01

    This descriptive model for magmatic iron-titanium-oxide (Fe-Ti-oxide) deposits hosted by Proterozoic age massif-type anorthosite and related rock types presents their geological, mineralogical, geochemical, and geoenvironmental attributes. Although these Proterozoic rocks are found worldwide, the majority of known deposits are found within exposed rocks of the Grenville Province, stretching from southwestern United States through eastern Canada; its extension into Norway is termed the Rogaland Anorthosite Province. This type of Fe-Ti-oxide deposit dominated by ilmenite rarely contains more than 300 million tons of ore, with between 10- to 45-percent titanium dioxide (TiO2), 32- to 45-percent iron oxide (FeO), and less than 0.2-percent vanadium (V).

  20. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  1. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  2. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  3. Single and multi-layered core-shell structures based on ZnO nanorods obtained by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C.; Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx

    2015-07-15

    Core–shell nanorod structures were prepared by a sequential synthesis using an aerosol assisted chemical vapor deposition technique. Several samples consisting of ZnO nanorods were initially grown over TiO{sub 2} film-coated borosilicate glass substrates, following the synthesis conditions reported elsewhere. Later on, a uniform layer consisting of individual Al, Ni, Ti or Fe oxides was grown onto ZnO nanorod samples forming the so-called single MO{sub x}/ZnO nanorod core–shell structures, where MO{sub x} was the metal oxide shell. Additionally, a three-layer core–shell sample was developed by growing Fe, Ti and Fe oxides alternately, onto the ZnO nanorods. The microstructure of the core–shell materials was characterized by grazing incidence X-ray diffraction, scanning and transmission electron microscopy. Energy dispersive X-ray spectroscopy was employed to corroborate the formation of different metal oxides. X-ray diffraction outcomes for single core–shell structures showed solely the presence of ZnO as wurtzite and TiO{sub 2} as anatase. For the multi-layered shell sample, the existence of Fe{sub 2}O{sub 3} as hematite was also detected. Morphological observations suggested the existence of an outer material grown onto the nanorods and further microstructural analysis by HR-STEM confirmed the development of core–shell structures in all cases. These studies also showed that the individual Al, Fe, Ni and Ti oxide layers are amorphous; an observation that matched with X-ray diffraction analysis where no apparent extra oxides were detected. For the multi-layered sample, the development of a shell consisting of three different oxide layers onto the nanorods was found. Overall results showed that no alteration in the primary ZnO core was produced during the growth of the shells, indicating that the deposition technique used herein was and it is suitable for the synthesis of homogeneous and complex nanomaterials high in quality and purity. In addition

  4. A DMS kinetic study of the boron oxides vapor in the combustion front of SHS system Mo + B

    International Nuclear Information System (INIS)

    Kashireninov, O.E.; Yuranov, I.A.

    1994-01-01

    The distribution of the boron oxides vapor in the combustion wave of the SHS system Mo + B has been studied by the dynamic mass spectrometry technique (DMS) to test the thermodynamically based hypothesis for the key role of gas-phase transport in solid-state combustion. The molecular beam sampling of the gases over the burning tablet was performed by a stationary probe cone from the moving combustion wave. Ion currents of boron oxides were recorded at 10--20 ms intervals that afforded spatial resolution of 0.1--0.2 mm. It has been found that the distribution of the boron oxides vapor pressure along the combustion wave corresponds to the known zones of preheating, reaction, and postcombustion. The rapid increase of B 2 O 2 pressure takes place in the preheating zone as a result of the reaction B(s) + B 2 O 3 (g) = B 2 O 2 (g). Boron oxides are not observed over the reaction zone because of their complete decay in the reaction with Mo(s) to form molybdenum boride(s). The appearance The appearance of boron oxide vapors over the postcombustion zone is due to the evaporation of B 2 O 3 (l). The effective kinetic parameters are estimated from the data obtained. The results show that solid-state combustion of the Mo + B system proceeds predominantly through formation of gas-phase boron oxides

  5. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  6. Investigation of structural, morphological and electrical properties of APCVD vanadium oxide thin films

    International Nuclear Information System (INIS)

    Papadimitropoulos, Georgios; Trantalidis, Stelios; Tsiatouras, Athanasios; Vasilopoulou, Maria; Davazoglou, Dimitrios; Kostis, Ioannis

    2015-01-01

    Vanadium oxide films were chemically vapor deposited (CVD) on oxidized Si substrates covered with CVD tungsten (W) thin films and on glass substrates covered with indium tin oxide (ITO) films, using vanadium(V) oxy-tri-isopropoxide (C 9 H 21 O 4 V) vapors. X-ray diffraction (XRD) measurements showed that the deposited films were composed of a mixture of vanadium oxides; the composition was determined mainly by the deposition temperature and less by the precursor temperature. At temperatures up to 450 C the films were mostly composed by monoclinic VO 2 . Other peaks corresponding to various vanadium oxides were also observed. X-ray microanalysis confirmed the composition of the films. The surface morphology was studied with atomic force microscopy (AFM) and scanning electron microscopy (SEM). These measurements revealed that the morphology strongly depends on the used substrate and the deposition conditions. The well-known metal-insulator transition was observed near 75 C for films mostly composed by monoclinic VO 2 . Films deposited at 450 C exhibited two transitions one near 50 C and the other near 60 C possibly related to the presence of other vanadium phases or of important stresses in them. Finally, the vanadium oxide thin films exhibited significant sensory capabilities decreasing their resistance in the presence of hydrogen gas with response times in the order of a few seconds and working temperature at 40 C. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Zinc oxide nanorod clusters deposited seaweed cellulose sheet for antimicrobial activity.

    Science.gov (United States)

    Bhutiya, Priyank L; Mahajan, Mayur S; Abdul Rasheed, M; Pandey, Manoj; Zaheer Hasan, S; Misra, Nirendra

    2018-06-01

    Seaweed cellulose was isolated from green seaweed Ulva fasciata using a common bleaching agent. Sheet containing porous mesh was prepared from the extracted seaweed crystalline cellulose along with zinc oxide (ZnO) nanorod clusters grown over the sheet by single step hydrothermal method. Seaweed cellulose and zinc oxide nanorod clusters deposited seaweed cellulose sheet was characterized by FT-IR, XRD, TGA, and SEM-EDX. Morphology showed that the diameter of zinc oxide nanorods were around 70nm. Zinc oxide nanorod clusters deposited on seaweed cellulose sheet gave remarkable antibacterial activity towards gram-positive (Staphylococcus aureus, Bacillus ceresus, Streptococcus thermophilis) and gram-negative (Escherichia coli, Pseudomonas aeruginous) microbes. Such deposited sheet has potential applications in pharmaceutical, biomedical, food packaging, water treatment and biotechnological industries. Copyright © 2018 Elsevier B.V. All rights reserved.

  8. Formation conditions of uranium minerals in oxidation zone of uranium deposits

    International Nuclear Information System (INIS)

    Li Youzhu

    2005-01-01

    The paper concerns about the summary and classification of hydrothermal uranium deposit with oxidation zone. Based on the summary of observation results of forty uranium deposits located in CIS and Bulgaria which are of different sizes and industrial-genetic types, analysis on available published information concerning oxidation and uranium mineral enrichment in supergenic zone, oxidation zone classification of hydrothermal uranium had been put forward according to the general system of the exogenetic uranium concentration. (authors)

  9. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  10. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  11. Photo-induced current and its degradation in Al{sub 4}C{sub 3}/Al{sub 2}O{sub 3} (0001) grown by metalorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Dohyung, E-mail: kim@ee.tokushima-u.ac.jp [Graduate School of Advanced Technology and Science, The University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Onishi, Yuya; Oki, Ryuji [Graduate School of Advanced Technology and Science, The University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Sakai, Shiro [Institute of Technology Science, The University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan)

    2014-04-30

    Al{sub 4}C{sub 3} layers have been grown on Al{sub 2}O{sub 3} (0001) by metalorganic chemical vapor deposition. Trimethylaluminum and methane were used as source materials for aluminum and carbon, respectively. Depending on the growth conditions, the growth rate was significantly changed. The most suitable growth temperature was 1150 °C. Fresh samples had a yellowish color. Peaks at 32 and 35° observed by 2θ–ω mode X-ray diffraction scans confirmed the presence of hexagonal Al{sub 4}C{sub 3}. Experiments detected photo-induced current (PIC). PIC measured at 30 V dc was observed at Al{sub 4}C{sub 3}/Al{sub 2}O{sub 3} (0001) at the 10 nA scale. PIC in Al{sub 4}C{sub 3} increased with a decrease in the irradiated wavelength. This phenomenon was also observed in absorption coefficient experiments. It was also verified that the electrical conductivity of Al{sub 4}C{sub 3} significantly deteriorated due to oxidation. PIC was also continuously reduced during Al{sub 4}C{sub 3} oxidation. After a certain period of time, it was observed that the Al{sub 4}C{sub 3} layer separated from the Al{sub 2}O{sub 3} (0001) substrate. These results suggest that PIC can be useful in photodetectors that can be used in vacuum or in other gases that do not contain oxygen. - Highlights: • Al{sub 4}C{sub 3} layers had been grown on Al{sub 2}O{sub 3} (0001) by metalorganic chemical vapor deposition. • The growth rate abruptly increased above the temperature of 1100 °C. • Photo-induced current (PIC) was observed when the light was incident of the devices. • PIC was unrelated to the presence of a metal–semiconductor junction. • PIC was decreased during Al{sub 4}C{sub 3} oxidation process.

  12. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  13. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  14. Analysis of local regions near the interfaces in nanostructured multicomponent cathodic – arc – vapordeposition (CAVD) coatings (Ti-Zr-Hf-V-Nb)N

    International Nuclear Information System (INIS)

    Kraus-Rekhberg, R.; Pogrebnyak, A. D.; Borisyuk, V. N.; Kaverin, M. V.; Belokur, M.A.; Ponomarev, G.; Ojoshi, K.; Takeda, J.; Beresnev, V. M.; Sobol', O. V.

    2013-01-01

    Multicomponent, nanostructure (Ti- Zr-Hf-V-Nb)N coatings derived using cathodic – Arc – VaporDeposition method, were characterized by applying SPB, (μ-PIXE), EDS and SEM-analysis), XRD methods, including ''a-sin 2 φ'' procedure. It was found that through the creation of high elastic strains of compression in coating it is possible to a significant extent enhance its oxidation resistance under high-temperature annealing. During the characterization of coatings the elements and defects’ redistribution was discovered, its segregation through thermally-stimulated diffusion and the spinoidal segregation process end, in the neighborhood of the interfaces, around grains and subgrains, without substantial change of the average nanograin dimension. (authors)

  15. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    Science.gov (United States)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary information (ESI) available: Low-magnification optical images; Raman spectra of 0% and 5% H2 samples; AFM characterization; Schematic of the film before and after sulfurization annealing; Schematic illustrations of two typical Raman-active phonon modes (E12g, A1g); Raman (mapping) spectra for 40% and 80% H2 samples before and after sulfurization annealing; PL spectra. See DOI: 10.1039/c5nr00904a

  16. Novel thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/8YSZ double-ceramic-layer systems deposited by electron beam physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua, E-mail: zhxuciac@yahoo.com.cn [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Shimei; He Limin; Mu Rende; Huang Guanghong [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao Xueqiang [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2011-03-17

    Research highlights: > LZ7C3 and YSZ have good chemical compatibility for the formation of DCL coating. > DCL coating has a longer lifetime than that of single layer coating of LZ7C3 or YSZ. > Similar TECs of LZ7C3 with YSZ coatings and YSZ coating with TGO layer. > Unique growth modes of columns within DCL coating. > Outward diffusion of Cr element (bond coat) into LZ7C3 layer. - Abstract: Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and yttria stabilized zirconia (YSZ) were deposited by electron beam-physical vapor deposition (EB-PVD). The thermal cycling test at 1373 K in an air furnace indicates the DCL coating has a much longer lifetime than the single layer LZ7C3 coating, and even longer than that of the single layer YSZ coating. The superior sintering-resistance of LZ7C3 coating, the similar thermal expansion behaviors of YSZ interlayer with LZ7C3 coating and thermally grown oxide (TGO) layer, and the unique growth modes of columns within DCL coating are all very helpful to the prolongation of thermal cycling life of DCL coating. The failure of DCL coating is mainly a result of the reduction-oxidation of cerium oxide, the crack initiation, propagation and extension, the abnormal oxidation of bond coat, the degradation of t'-phase in YSZ coating and the outward diffusion of Cr alloying element into LZ7C3 coating.

  17. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  18. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  19. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  20. The growth of nanoscale ZnO films by pulsed-spray evaporation chemical vapor deposition and their structural, electric and optical properties

    International Nuclear Information System (INIS)

    Jiang Yinzhu; Bahlawane, Naoufal

    2010-01-01

    Great interest in nanoscale thin films (sub-100 nm) has been stimulated by the developing demands of functional devices. In this paper, nanoscale zinc oxide (ZnO) thin films were deposited on glass substrates at 300 o C by pulsed-spray evaporation chemical vapor deposition. Scanning electron micrographs indicate uniform surface morphologies composed of nanometer-sized spherical particles. The growth kinetics and growth mode are studied and the relationship between the film thickness and the electric properties with respect to the growth mode is interpreted. X-ray diffraction shows that all ZnO films grown by this process were crystallized in a hexagonal structure and highly oriented with their c-axes perpendicular to the plane of the substrate. Optical measurements show transparencies above 85% in the visible spectral range for all films. The absorbance in the UV spectral range respects well the Beer-Lambert law, enabling an accurate optical thickness measurement, and the absorption coefficient was measured for a selected wavelength. The measured band gap energies exhibit an almost constant value of 3.41 eV for all films with different thicknesses, which attributed to the thickness-independent crystallite size.

  1. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  2. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  3. Zirconium oxide deposits (ZrO2) and titanium oxide (TiO2) on 304l stainless steel

    International Nuclear Information System (INIS)

    Davila N, M. L.

    2015-01-01

    This research project aims to carry out the surface and electrochemical characterization to obtain the optimum conditions of the hydrothermal deposits of zirconium oxide ZrO 2 (baddeleyite) and titanium oxide TiO 2 (anatase and rutile phases) on 304l stainless steel, simulating an inhibiting protective layer. 304l steel specimens were cut, pre-oxidized in water at a temperature of 288 degrees Celsius and 8 MPa, similar to those of a typical BWR conditions. From the titanium oxide anatase crystalline phase, the rutile phase was obtained by a heat treatment at 1000 degrees Celsius. The Sigma-Aldrich pre-oxidized powders and steel 304l were characterized using techniques of X-ray diffraction, scanning electron microscopy, X-ray dispersive energy, chemical mapping and Raman spectrometry. The pre-oxidized steel has two oxide layers, an inner layer with nano metric crystals and another outer of larger crystals to 1μm, with the formation of hematite and magnetite, this predominating. The surface that contacted the sample holder has larger crystals. Hydrothermal deposits were carry out from suspensions of 10, 100 and 1000 ppm, of the crystal phases of anatase, rutile and baddeleyite, on the pre-oxidized steel at a temperature of 150 degrees Celsius for 2 and 7 days, samples were analyzed by X-ray diffraction, scanning electron microscopy, X-ray dispersive energy, Raman spectrometry and Tafel polarization. The suspension to 1000 ppm for 7 days coated surface most; the baddeleyite deposit is noticed more homogeneous than anatase and rutile. The deposit is favored when hematite and magnetite crystals are larger. The chemical mapping on deposits show that even after being immersed in water to 288 degrees Celsius during 30 days, the deposits are still present although a loss is observed. A reference electrode was assembled to conduct electrochemical tests of Tafel able to withstand a temperature of 288 degrees Celsius and pressure of 8 MPa. The baddeleyite deposit presented

  4. Thermally induced dispersion mechanisms for aluminum-based plate-type fuels under rapid transient energy deposition

    International Nuclear Information System (INIS)

    Georgevich, V.; Taleyarkham, R.P.; Navarro-Valenti, S.; Kim, S.H.

    1995-01-01

    A thermally induced dispersion model was developed to analyze for dispersive potential and determine onset of fuel plate dispersion for Al-based research and test reactor fuels. Effect of rapid energy deposition in a fuel plate was simulated. Several data types for Al-based fuels tested in the Nuclear Safety Research Reactor in Japan and in the Transient Reactor Test in Idaho were reviewed. Analyses of experiments show that onset of fuel dispersion is linked to a sharp rise in predicted strain rate, which futher coincides with onset of Al vaporization. Analysis also shows that Al oxidation and exothermal chemical reaction between the fuel and Al can significantly affect the energy deposition characteristics, and therefore dispersion onset connected with Al vaporization, and affect onset of vaporization

  5. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  6. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  8. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  9. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  10. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  11. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  12. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  13. Industrial high-rate (~14 nm/s) deposition of low resistive and transparent ZnOx:Al films on glass

    NARCIS (Netherlands)

    Illiberi, A.; Kniknie, B.; Deelen, J. van; Steijvers, H.L.A.H.; Habets, D.; Simons, P.J.P.M.; Janssen, A.C.; Beckers, E.H.A.

    2011-01-01

    Aluminum doped ZnOx (ZnOx:Al) films have been deposited on glass in an in-line industrial-type reactor by a metalorganic chemical vapor deposition process at atmospheric pressure. Tertiary-butanol has been used as oxidant for diethylzinc and trimethylaluminium as dopant gas. ZnOx:Al films can be

  14. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  15. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  16. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  17. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  18. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  19. Effect of the substrate on the properties of ZnO-MgO thin films grown by atmospheric pressure metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Dominguez-Crespo, M.A. [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Brachetti-Sibaja, S.B. [Alumna del postgrado en Tecnologia Avanzada del CICATA-IPN, Unidad Altamira IPN, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Arenas-Alatorre, J. [Instituto de Fisica, UNAM, Apartado Postal 20-364, 01000, D.F. (Mexico); Rodriguez-Pulido, A. [Unidad Profesional Adolfo Lopez Mateos, Luis Enrique Erro s/n, 07738, D. F. (Mexico)

    2011-07-01

    The ZnO-MgO alloys possess attractive properties for possible applications in optoelectronic and display devices; however, the optical properties are strongly dependent on the deposition parameters. In this work, the effect of the glassy and metallic substrates on the structural, morphological and optical properties of ZnO-MgO thin films using atmospheric pressure metal-organic chemical vapor deposition was investigated at relatively low deposition temperature, 500 deg. C. Magnesium and zinc acetylacetonates were used as the metal-organic source. X-ray diffraction experiments provided evidence that the kind of substrates cause a deviation of c-axis lattice constant due to the constitution of a oxide mixture (ZnO and MgO) in combination with different intermetallic compounds(Mg{sub 2}Zn{sub 11} and Mg{sub 4}Zn{sub 7}) in the growth films. The substitutional and interstitial sites of Mg{sup 2+} instead of Zn{sup 2+} ions in the lattice are the most probable mechanism to form intermetallic compounds. The optical parameters as well as thickness of the films were calculated by Spectroscopic Ellipsometry using the classical dispersion model based on the sum of the single and double Lorentz and Drude oscillators in combination with Kato-Adachi equations, as well as X-ray reflectivity.

  20. Microstructural, mechanical and oxidation features of NiCoCrAlY coating produced by plasma activated EB-PVD

    International Nuclear Information System (INIS)

    He, Jian; Guo, Hongbo; Peng, Hui; Gong, Shengkai

    2013-01-01

    NiCoCrAlY coatings produced by electron beam-physical vapor deposition (EB-PVD) have been extensively used as the oxidation resistance coatings or suitable bond coats in thermal barrier coating (TBC) system. However, the inherent imperfections caused by EB-PVD process degrade the oxidation resistance of the coatings. In the present work, NiCoCrAlY coatings were creatively produced by plasma activated electron beam-physical vapor deposition (PA EB-PVD). The novel coatings showed a terraced substructure on the surface of each grain due to the increased energy of metal ions and enhanced mobility of adatoms. Also a strong (1 1 1) crystallographic texture of γ/γ′ grains was observed. The toughness of the coatings got remarkably improved compared with the coatings deposited by conventional EB-PVD and the oxidation behavior at 1373 K showed that the novel coatings had excellent oxidation resistance. The possible mechanism was finally discussed.

  1. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  2. Synthesis of MoS{sub 2} ribbons and their branched structures by chemical vapor deposition in sulfur-enriched environment

    Energy Technology Data Exchange (ETDEWEB)

    Mahyavanshi, Rakesh D., E-mail: rmahyavanshi@gmail.com [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Sharma, Kamal P. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kondo, Masuharu; Dewa, Takeshita [Department of Life Science and Applied Chemistry, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kawahara, Toshio [Department of Electronics and Information Engineering, Chubu University, 1200 Matsumoto-cho, Kasugai 487-8501 (Japan); Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-07-01

    Highlights: • We demonstrate synthesis of monolayer MoS{sub 2} ribbons and their branched structures. • Unidirectional, bi and tri-directional growth of ribbons from the nucleation point are obtained. • Unidirectional and other branched structures can be synthesized controlling the composition of MoO{sub 3} and sulfur vapor. • The ribbons possess uneven edge structures with angles of 60° and 120°, indicating molybdenum and sulfur terminations. - Abstract: Here, we demonstrate the synthesis of monolayer molybdenum disulfide (MoS{sub 2}) ribbons and their branched structures by chemical vapor deposition (CVD) in sulfur-enriched environment. The growth of the MoS{sub 2} ribbons, triangular and other crystals significantly depends on the exposure of sulfur and concentration of molybdenum oxide (MoO{sub 3}) vapor on the substrate surface. The width and length of the synthesized ribbons is around 5–10 and 50–100 μm, respectively, where the width reduces from the nucleation point toward the end of the ribbon. Unidirectional, bi and tri-directional growth of ribbons from the nucleation point with an angle of 60° and 120° were obtained attributing to crystallographic growth orientation of MoS{sub 2} crystals. The directional growth of dichalcogenides ribbons is a significant challenge, our process shows that such unidirectional and other branched structures can be achieved by controlling the stoichiometric composition of MoO{sub 3} and sulfur exposure on the substrate surface. Interestingly, all the individual and branched ribbons possess uneven abundant edge structures, where the edges are formed with angles of 60° and 120°, indicating variation in molybdenum and sulfur edge terminations. The directional growth of MoS{sub 2} ribbons with defined edge structures in particular CVD condition can open up new possibilities for electronic and electrochemical applications.

  3. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  4. Direct Adsorption and Molecular Self-Assembly of Octylthioacetates on Au(111) in the Vapor Phase

    International Nuclear Information System (INIS)

    Park, Tae Sung; Kang, Hun Gu; Kim, You Young; Lee, Seong Keun; Noh, Jae Geun

    2011-01-01

    We demonstrate that the direct adsorption of OTA on Au(111) in ethanol solution led to the formation of a disordered phase, whereas OTA SAMs grown from the vapor phase have an ordered 5 Χ √3 striped phase. Thus, vapor deposition was found to be a more effective technique, as compared to solution deposition, for improving the structural order of SAMs by direct adsorption of thioacetates on gold. Organic thiols are prone to easily oxidize to disulfides or other oxidized species that can affect the formation and structure of SAMs. The presence of disulfides or oxidized compounds in thiol samples often yields poorly ordered SAMs containing a high defect density and disordered phases. An approach that minimizes undesirable thiol oxidation is the use of a protected thiol that is deprotected in situ before or during SAM formation. The protection of thiol groups can be readily accomplished by acetylation. SAMs derived from acetyl protected thiols (thioacetates) on gold have usually been formed via an in situ deprotection process of the acetyl group in strong acidic or basic solutions. Other deprotection techniques have also been developed that use organic compounds such as triethylamine, tetrabutylammonium cyanide, and 1,8-diazabicyclo[5.4.0]undec-7-ene, and organic SAMs with a high degree of structural order have been successfully constructed in solutions containing these deprotection reagents

  5. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  6. Graphene Oxide as a Monoatomic Blocking Layer

    DEFF Research Database (Denmark)

    Petersen, Søren; Glyvradal, Magni; Bøggild, Peter

    2012-01-01

    Monolayer graphene oxide (mGO) is shown to effectively protect molecular thin films from reorganization and function as an atomically thin barrier for vapor-deposited Ti/Al metal top electrodes. Fragile organic Langmuir–Blodgett (LB) films of C22 fatty acid cadmium salts (cadmium(II) behenate) were...

  7. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  8. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  9. Catalytic Reactor For Oxidizing Mercury Vapor

    Science.gov (United States)

    Helfritch, Dennis J.

    1998-07-28

    A catalytic reactor (10) for oxidizing elemental mercury contained in flue gas is provided. The catalyst reactor (10) comprises within a flue gas conduit a perforated corona discharge plate (30a, b) having a plurality of through openings (33) and a plurality of projecting corona discharge electrodes (31); a perforated electrode plate (40a, b, c) having a plurality of through openings (43) axially aligned with the through openings (33) of the perforated corona discharge plate (30a, b) displaced from and opposing the tips of the corona discharge electrodes (31); and a catalyst member (60a, b, c, d) overlaying that face of the perforated electrode plate (40a, b, c) opposing the tips of the corona discharge electrodes (31). A uniformly distributed corona discharge plasma (1000) is intermittently generated between the plurality of corona discharge electrode tips (31) and the catalyst member (60a, b, c, d) when a stream of flue gas is passed through the conduit. During those periods when corona discharge (1000) is not being generated, the catalyst molecules of the catalyst member (60a, b, c, d) adsorb mercury vapor contained in the passing flue gas. During those periods when corona discharge (1000) is being generated, ions and active radicals contained in the generated corona discharge plasma (1000) desorb the mercury from the catalyst molecules of the catalyst member (60a, b, c, d), oxidizing the mercury in virtually simultaneous manner. The desorption process regenerates and activates the catalyst member molecules.

  10. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  11. Depth Profiling Analysis of Aluminum Oxidation During Film Deposition in a Conventional High Vacuum System

    Science.gov (United States)

    Kim, Jongmin; Weimer, Jeffrey J.; Zukic, Muamer; Torr, Douglas G.

    1994-01-01

    The oxidation of aluminum thin films deposited in a conventional high vacuum chamber has been investigated using x-ray photoelectron spectroscopy (XPS) and depth profiling. The state of the Al layer was preserved by coating it with a protective MgF2 layer in the deposition chamber. Oxygen concentrations in the film layers were determined as a function of sputter time (depth into the film). The results show that an oxidized layer is formed at the start of Al deposition and that a less extensively oxidized Al layer is deposited if the deposition rate is fast. The top surface of the Al layer oxidizes very quickly. This top oxidized layer may be thicker than has been previously reported by optical methods. Maximum oxygen concentrations measured by XPS at each Al interface are related to pressure to rate ratios determined during the Al layer deposition.

  12. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  13. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  14. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  15. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  16. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  17. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  18. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  19. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  20. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  1. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  2. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  3. Rose oil (from Rosa × damascena Mill.) vapor attenuates depression-induced oxidative toxicity in rat brain.

    Science.gov (United States)

    Nazıroğlu, Mustafa; Kozlu, Süleyman; Yorgancıgil, Emre; Uğuz, Abdülhadi Cihangir; Karakuş, Kadir

    2013-01-01

    Oxidative stress is a critical route of damage in various physiological stress-induced disorders, including depression. Rose oil may be a useful treatment for depression because it contains flavonoids which include free radical antioxidant compounds such as rutin and quercetin. We investigated the effects of absolute rose oil (from Rosa × damascena Mill.) and experimental depression on lipid peroxidation and antioxidant levels in the cerebral cortex of rats. Thirty-two male rats were randomly divided into four groups. The first group was used as control, while depression was induced in the second group using chronic mild stress (CMS). Oral (1.5 ml/kg) and vapor (0.15 ml/kg) rose oil were given for 28 days to CMS depression-induced rats, constituting the third and fourth groups, respectively. The sucrose preference test was used weekly to identify depression-like phenotypes during the experiment. At the end of the experiment, cerebral cortex samples were taken from all groups. The lipid peroxidation levels in the cerebral cortex in the CMS group were higher than in control whereas their levels were decreased by rose oil vapor exposure. The vitamin A, vitamin E, vitamin C and β-carotene concentrations in the cerebral cortex were lower in the CMS group than in the control group whereas their concentrations were higher in the rose oil vapor plus CMS group. The CMS-induced antioxidant vitamin changes were not modulated by oral treatment. Glutathione peroxidase activity and reduced glutathione did not change statistically in the four groups following CMS or either treatment. In conclusion, experimental depression is associated with elevated oxidative stress while treatment with rose oil vapor induced protective effects on oxidative stress in depression.

  4. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  5. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  6. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  7. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  8. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  9. Transient oxidation of Al-deposited Fe-Cr-Al alloy foil

    International Nuclear Information System (INIS)

    Andoh, A.

    1997-01-01

    The oxide phases formed on an Al-deposited Fe-Cr-Al alloy foil and an Fe-Cr-Al alloy foil of the same levels of Al and (La+Ce) contents, and their oxidation kinetics have been studied in air at 1173 and 1373 K using TGA, XRD and SEM. Al deposition promotes the growth of metastable aluminas (θ-Al 2 O 3 , γ-Al 2 O 3 ). Scales consisting of θ-Al 2 O 3 and a small amount of α-Al 2 O 3 develop on the Al-deposited foil at 1173 K and exhibit the whisker-type morphology. In the early stage of oxidation at 1373 K, thick scales consisting of θ-Al 2 O 3 and α-Al 2 O 3 grow rapidly on the Al-deposited foil. The transformation from θ-Al 2 O 3 to α-Al 2 O 3 is very fast, and the scales result in only α-Al 2 O 3 . In contrast, α-Al 2 O 3 scales containing a minor amount of FeAl 2 O 4 develop on the alloy foil. The growth rate of α-Al 2 O 3 scales on the Al-deposited foil is smaller than that on the alloy foil and very close to that on NiAl at 1373 K. (orig.)

  10. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  11. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  12. Control of microstructure in soldered, brazed, welded, plated, cast or vapor deposited manufactured components

    Science.gov (United States)

    Ripley, Edward B.; Hallman, Russell L.

    2015-11-10

    Disclosed are methods and systems for controlling of the microstructures of a soldered, brazed, welded, plated, cast, or vapor deposited manufactured component. The systems typically use relatively weak magnetic fields of either constant or varying flux to affect material properties within a manufactured component, typically without modifying the alloy, or changing the chemical composition of materials or altering the time, temperature, or transformation parameters of a manufacturing process. Such systems and processes may be used with components consisting of only materials that are conventionally characterized as be uninfluenced by magnetic forces.

  13. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  14. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  15. Deposition of heated whey proteins on a chromium oxide surface.

    NARCIS (Netherlands)

    Jeurnink, Th.; Verheul, M.; Cohen Stuart, M.A.; Kruif, de C.G.

    1996-01-01

    Whey protein solutions were given different heat treatments after which their deposition on a chromium oxide surface (the outer layer of stainless steel) was measured by reflectometry. The deposition was studied under controlled flow conditions by using a stagnation point flow configuration. The

  16. Decoupling photochemical Fe(II) oxidation from shallow-water BIF deposition

    DEFF Research Database (Denmark)

    Konhauser, Kurt; Amskold, Larry; Lalonde, Stefan

    2007-01-01

    to the rise of atmospheric oxygen and the development of a protective ozone layer, the Earth's surface was subjected to high levels of ultraviolet radiation. Bulk ocean waters that were anoxic at this time could have supported high concentrations of dissolved Fe(II). Under such conditions, dissolved ferrous...... for biology [Fran??ois, L.M., 1986, Extensive deposition of banded iron formations was possible without photosynthesis. Nature 320, 352-354]. Here, we evaluate the potential importance of photochemical oxidation using a combination of experiments and thermodynamic models. The experiments simulate......-type systems, then we are driven to conclude that oxide-facies BIF are the product of a rapid, non-photochemical oxidative process, the most likely candidates being direct or indirect biological oxidation, and that a significant fraction of BIF could have initially been deposited as ferrous minerals. ?? 2007...

  17. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  18. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  19. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  20. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010