WorldWideScience

Sample records for oxide semiconductor thin-film

  1. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  3. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  4. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  5. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  6. Semiconductor-nanocrystal/conjugated polymer thin films

    Science.gov (United States)

    Alivisatos, A. Paul; Dittmer, Janke J.; Huynh, Wendy U.; Milliron, Delia

    2014-06-17

    The invention described herein provides for thin films and methods of making comprising inorganic semiconductor-nanocrystals dispersed in semiconducting-polymers in high loading amounts. The invention also describes photovoltaic devices incorporating the thin films.

  7. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  8. Standard-free electron-probe microanalysis of thin films of HTSC-oxide and semiconductors (h<1μm)

    International Nuclear Information System (INIS)

    Kvardakov, A.M.; Mikhajlova, A.Ya.; San'gin, V.P.; Lazarev, V.B.

    1993-01-01

    A simplified variant of the standard-free electron-probe microanalysis is elaborated to carry out rapid analysis of chemical composition of >1μm thickness thin films of high-temperature superconductor oxides and semiconductors on alien substrates. The suggested technique has increased the efficiency of search for optimal conditions of preparation YBa 2 Cu 3 O x thin films existing in magnetron and InSb ion-beam techniques of spraying on SrTiO 3 and α-Al 2 O 3 monocrystal base substrates

  9. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  10. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  11. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  12. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  13. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  14. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  15. Assessing the antimicrobial activity of zinc oxide thin films using disk diffusion and biofilm reactor

    International Nuclear Information System (INIS)

    Gittard, Shaun D.; Perfect, John R.; Monteiro-Riviere, Nancy A.; Wei Wei; Jin Chunming; Narayan, Roger J.

    2009-01-01

    The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices

  16. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  17. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  18. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  19. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  20. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  1. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  2. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio; Gao, Xu; Lin, Meng-Fang; Tsukagoshi, Kazuhito, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp [International Center for Materials Nanoarchitectronics (WPI-MANA), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nabatame, Toshihide [MANA Foundry and MANA Advanced Device Materials Group, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizing controllable high-performance stable transistors.

  3. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  4. General Observation of Photocatalytic Oxygen Reduction to Hydrogen Peroxide by Organic Semiconductor Thin Films and Colloidal Crystals.

    Science.gov (United States)

    Gryszel, Maciej; Sytnyk, Mykhailo; Jakešová, Marie; Romanazzi, Giuseppe; Gabrielsson, Roger; Heiss, Wolfgang; Głowacki, Eric Daniel

    2018-04-25

    Low-cost semiconductor photocatalysts offer unique possibilities for industrial chemical transformations and energy conversion applications. We report that a range of organic semiconductors are capable of efficient photocatalytic oxygen reduction to H 2 O 2 in aqueous conditions. These semiconductors, in the form of thin films, support a 2-electron/2-proton redox cycle involving photoreduction of dissolved O 2 to H 2 O 2 , with the concurrent photooxidation of organic substrates: formate, oxalate, and phenol. Photochemical oxygen reduction is observed in a pH range from 2 to 12. In cases where valence band energy of the semiconductor is energetically high, autoxidation competes with oxidation of the donors, and thus turnover numbers are low. Materials with deeper valence band energies afford higher stability and also oxidation of H 2 O to O 2 . We found increased H 2 O 2 evolution rate for surfactant-stabilized nanoparticles versus planar thin films. These results evidence that photochemical O 2 reduction may be a widespread feature of organic semiconductors, and open potential avenues for organic semiconductors for catalytic applications.

  5. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  6. Amorphous Hafnium-Indium-Zinc Oxide Semiconductor Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Sheng-Po Chang

    2012-01-01

    Full Text Available We reported on the performance and electrical properties of co-sputtering-processed amorphous hafnium-indium-zinc oxide (α-HfIZO thin film transistors (TFTs. Co-sputtering-processed α-HfIZO thin films have shown an amorphous phase in nature. We could modulate the In, Hf, and Zn components by changing the co-sputtering power. Additionally, the chemical composition of α-HfIZO had a significant effect on reliability, hysteresis, field-effect mobility (μFE, carrier concentration, and subthreshold swing (S of the device. Our results indicated that we could successfully and easily fabricate α-HfIZO TFTs with excellent performance by the co-sputtering process. Co-sputtering-processed α-HfIZO TFTs were fabricated with an on/off current ratio of ~106, higher mobility, and a subthreshold slope as steep as 0.55 V/dec.

  7. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  8. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  9. Comprehensive review on the development of high mobility in oxide thin film transistors

    Science.gov (United States)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  10. Semiconductor thin films directly from minerals—study of structural, optical, and transport characteristics of Cu2O thin films from malachite mineral and synthetic CuO

    International Nuclear Information System (INIS)

    Balasubramaniam, K.R.; Kao, V.M.; Ravichandran, J.; Rossen, P.B.; Siemons, W.; Ager, J.W.

    2012-01-01

    We demonstrate the proof-of-concept of using an abundantly occurring natural ore, malachite (Cu 2 CO 3 (OH) 2 ) to directly yield the semiconductor Cu 2 O to be used as an active component of a functional thin film based device. Cu 2 O is an archetype hole-conducting semiconductor that possesses several interesting characteristics particularly useful for solar cell applications, including low cost, non-toxicity, good hole mobility, large minority carrier diffusion length, and a direct energy gap ideal for efficient absorption. In this article, we compare the structural, optical, and electrical transport characteristics of Cu 2 O thin films grown from the natural mineral malachite and synthetic CuO targets. Growth from either source material results in single-phase, fully epitaxial cuprous oxide thin films as determined by x-ray diffraction. The films grown from malachite have strong absorption coefficients ( 10 4 cm −1 ), a direct allowed optical bandgap ( 2.4 eV), and majority carrier hole mobilities ( 35 cm 2 V −1 s −1 at room temperature) that compare well with films grown from the synthetic target as well as with previously reported values. Our work demonstrates that minerals could be useful to directly yield the active components in functional devices and suggests a route for the exploration of low cost energy conversion and storage technologies. - Highlights: ► Semiconductor thin films directly from minerals ► Chemistry and structure evolution of the films obtained from mineral target is very similar to that films obtained from high-purity synthetic targets. ► Quite interestingly, transport and optical characteristics are also found to be similar.

  11. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin, E-mail: junsin@skku.edu

    2017-02-28

    Highlights: • The characteristics of thin film transistors using double active layers are examined. • Electrical characteristics have been improved for the double active layers devices. • The total trap density can be decreased by insert-ion of ultrathin ITO film. - Abstract: This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm{sup 2}/V·s) compared with the ITZO-only TFTs (∼34 cm{sup 2}/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and −2.39 V compared with 6.10 and −6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of E{sub A} were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO{sub 2} reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  12. Structural, optical and electrical properties of tin oxide thin films for application as a wide band gap semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Ahmad, Shabir; Aziz, Anver; Siddiqui, Azher Majid, E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India)

    2015-08-28

    Tin oxide (SnO) thin films were synthesized using thermal evaporation technique. Ultra pure metallic tin was deposited on glass substrates using thermal evaporator under high vacuum. The thickness of the tin deposited films was kept at 100nm. Subsequently, the as-deposited tin films were annealed under oxygen environment for a period of 3hrs to obtain tin oxide films. To analyse the suitability of the synthesized tin oxide films as a wide band gap semiconductor, various properties were studied. Structural parameters were studied using XRD and SEM-EDX. The optical properties were studied using UV-Vis Spectrophotometry and the electrical parameters were calculated using the Hall-setup. XRD and SEM confirmed the formation of SnO phase. Uniform texture of the film can be seen through the SEM images. Presence of traces of unoxidised Sn has also been confirmed through the XRD spectra. The band gap calculated was around 3.6eV and the optical transparency around 50%. The higher value of band gap and lower value of optical transparency can be attributed to the presence of unoxidised Sn. The values of resistivity and mobility as measured by the Hall setup were 78Ωcm and 2.92cm{sup 2}/Vs respectively. The reasonable optical and electrical parameters make SnO a suitable candidate for optoelectronic and electronic device applications.

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  14. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  15. Preparation of Zinc Oxide (ZnO) Thin Film as Transparent Conductive Oxide (TCO) from Zinc Complex Compound on Thin Film Solar Cells: A Study of O2 Effect on Annealing Process

    Science.gov (United States)

    Muslih, E. Y.; Kim, K. H.

    2017-07-01

    Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.

  16. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin filmsOxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  17. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  18. Optoelectronic properties of transparent p-type semiconductor Cu{sub x}S thin films

    Energy Technology Data Exchange (ETDEWEB)

    Parreira, P.; Valente, J. [ICEMS, IST-UTL, Lisboa (Portugal); Lavareda, G. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); Nunes, F.T. [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); Amaral, A. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); ICEMS, IST-UTL, Lisboa (Portugal); Carvalho, C.N. de [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); ICEMS, IST-UTL, Lisboa (Portugal)

    2010-07-15

    Nowadays, among the available transparent semiconductors for device use, the great majority (if not all) have n-type conductivity. The fabrication of a transparent p-type semiconductor with good optoelectronic properties (comparable to those of n-type: InO{sub x}, ITO, ZnO{sub x} or FTO) would significantly broaden the application field of thin films. However, until now no material has yet presented all the required properties. Cu{sub 2}S is a p-type narrow-band-gap material with an average optical transmittance of about 60% in the visible range for 50 nm thick films. However, due to its high conductivity at room temperature, 10 nm in thickness seems to be appropriate for device use. Cu{sub 2}S thin films with 10 nm in thickness have an optical visible transmittance of about 85% rendering them as very good candidates for transparent p-type semiconductors. In this work Cu{sub x}S thin films were deposited on alkali-free (AF) glass by thermal evaporation. The objective was not only the determination of its optoelectronic properties but also the feasibility of an active layer in a p-type thin film transistor. In our Cu{sub x}S thin films, p-type high conductivity with a total visible transmittance of about 50% have been achieved. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  19. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  20. Preparation and characterization of ZnO transparent semiconductor thin films by sol-gel method

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Fan, Kai-Shiung; Chen, Sih-Han; Tsai, Chia-Hao

    2010-01-01

    Transparent semiconductor thin films of zinc oxide (ZnO) were deposited onto alkali-free glass substrates by the sol-gel method and spin-coating technique. In this study, authors investigate the influence of the heating rate of the preheating process (4 or 10 o C/min) on the crystallization, surface morphology, and optical properties of sol-gel derived ZnO thin films. The ZnO sol was synthesized by dissolving zinc acetate dehydrate in ethanol, and then adding monoethanolamine. The as-coated films were preheated at 300 o C for 10 min and annealed at 500 o C for 1 h in air ambiance. Experimental results indicate that the heating rate of the preheating process strongly affected the surface morphology and transparency of ZnO thin film. Specifically, a heating rate of 10 o C/min for the preheating process produces a preferred orientation along the (0 0 2) plane and a high transmittance of 92% at a wavelength of 550 nm. Furthermore, this study reports the fabrication of thin-film transistors (TFTs) with a transparent ZnO active channel layer and evaluates their electrical performance.

  1. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  2. Preparation of n-type semiconductor SnO2 thin films

    International Nuclear Information System (INIS)

    Rahal, Achour; Benramache, Said; Benhaoua, Boubaker

    2013-01-01

    We studied fluorine-doped tin oxide on a glass substrate at 350°C using an ultrasonic spray technique. Tin (II) chloride dehydrate, ammonium fluoride dehydrate, ethanol and NaOH were used as the starting material, dopant source, solvent and stabilizer, respectively. The SnO 2 : F thin films were deposited at 350°C and a pending time of 60 and 90 s. The as-grown films exhibit a hexagonal wurtzite structure and have (101) orientation. The G = 31.82 nm value of the grain size is attained from SnO 2 : F film grown at 90 s, and the transmittance is greater than 80% in the visible region. The optical gap energy is found to measure 4.05 eV for the film prepared at 90 s, and the increase in the electrical conductivity of the film with the temperature of the sample is up to a maximum value of 265.58 (Ω·cm) −1 , with the maximum activation energy value of the films being found to measure 22.85 meV, indicating that the films exhibit an n-type semiconducting nature. (semiconductor materials)

  3. Solid Surfaces, Interfaces and Thin Films

    CERN Document Server

    Lüth, Hans

    2010-01-01

    This book emphasises both experimental and theoretical aspects of surface, interface and thin film physics. As in previous editions the preparation of surfaces and thin films, their atomic and morphological, their vibronic and electronic properties as well as fundamentals of adsorption are treated. Because of their importance in modern information technology and nanostructure physics particular emphasis is paid to electronic surface and interface states, semiconductor space charge layers and heterostructures as well as to superconductor/semiconductor interfaces and magnetic thin films. The latter topic was significantly extended in this new edition by more details about the giant magnetoresistance and a section about the spin-transfer torque mechanism including one new problem as exercise. Two new panels about Kerr-effect and spin-polarized scanning tunnelling microscopy were added, too. Furthermore, the meanwhile important group III-nitride surfaces and high-k oxide/semiconductor interfaces are shortly discu...

  4. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  5. Semiconductor/metal nanocomposites formed by in situ reduction method in multilayer thin films

    International Nuclear Information System (INIS)

    Song Yanli; Wang Enbo; Tian Chungui; Mao Baodong; Wang Chunlei

    2009-01-01

    A layer-by-layer adsorption and in situ reduction method was adopted for synthesizing semiconductor/metal nanocomposites in multilayer ultra-thin films. Alternate adsorption of ZnO nanoparticles modified with poly(ethyleneimine), hydrogentetrachloroaurate and poly(styrenesulfonate) sodium results in the formation of ZnO/AuCl 4 - -loaded multilayer films. In situ reduction of the incorporated metal ions by heating yields ZnO/Au nanocomposites in the films. UV-vis absorption spectroscopy and X-ray photoelectron spectroscopy were used to characterize the components of the composite films. UV-vis spectra indicate regular growth of the films. The electrochemistry behavior of the multilayer films was studied in detail on indium tin oxide electrode. The combined results suggest that the layer-by-layer adsorption and subsequent reduction method used here provides an effective way to synthesize ZnO/Au nanocomposites in the polymer matrix

  6. Magnetron sputtered transparent conductive zinc-oxide stabilized amorphous indium oxide thin films on polyethylene terephthalate substrates at ambient temperature

    International Nuclear Information System (INIS)

    Yan, Y.; Zhang, X.-F.; Ding, Y.-T.

    2013-01-01

    Amorphous transparent conducting zinc-oxide stabilized indium oxide thin films, named amorphous indium zinc oxide (a-IZO), were deposited by direct current magnetron sputtering at ambient temperature on flexible polyethylene terephthalate substrates. It has been demonstrated that the electrical resistivity could attain as low as ∼ 5 × 10 −4 Ω cm, which was noticeably lower than amorphous indium tin oxide films prepared at the same condition, while the visible transmittance exceeded 84% with the refractive index of 1.85–2.00. In our experiments, introduction of oxygen gas appeared to be beneficial to the improvement of the transparency and electrical conductivity. Both free carrier absorption and indirect transition were observed and Burstein–Moss effect proved a-IZO to be a degenerated amorphous semiconductor. However, the linear relation between the optical band gap and the band tail width which usually observed in covalent amorphous semiconductor such as a-Si:H was not conserved. Besides, porosity could greatly determine the resistivity and optical constants for the thickness variation at this deposition condition. Furthermore, a broad photoluminescence peak around 510 nm was identified when more than 1.5 sccm oxygen was introduced. - Highlights: ► Highly conducting amorphous zinc-oxide stabilized indium oxide thin films were prepared. ► The films were fabricated on polyethylene terephthalate at ambient temperature. ► Introduction of oxygen can improve the transparency and electrical conductivity. ► The linear relation between optical band gap and band tail width was not conserved

  7. Relationships among surface processing at the nanometer scale, nanostructure and optical properties of thin oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria

    2004-05-01

    Spectroscopic ellipsometry is used to study the optical properties of nanostructured semiconductor oxide thin films. Various examples of models for the dielectric function, based on Lorentzian oscillators combined with the Drude model, are given based on the band structure of the analyzed oxide. With this approach, the optical properties of thin films are determined independent of the dielectric functions of the corresponding bulk materials, and correlation between the optical properties and nanostructure of thin films is investigated. In particular, in order to discuss the dependence of optical constants on grain size, CeO{sub 2} nanostructured films are considered and parameterized by two-Lorentzian oscillators or two-Tauc-Lorentz model depending on the nanostructure and oxygen deficiency. The correlation among anisotropy, crystalline fraction and optical properties parameterized by a four-Lorentz oscillator model is discussed for nanocrystalline V{sub 2}O{sub 5} thin films. Indium tin oxide thin films are discussed as an example of the presence of graded optical properties related to interfacial reactivity activated by processing conditions. Finally, the example of ZnO shows the potential of ellipsometry in discerning crystal and epitaxial film polarity through the analysis of spectra and the detection of surface reactivity of the two polar faces, i.e. Zn-polarity and O-polarity.

  8. Understanding polymorphism in organic semiconductor thin films through nanoconfinement.

    Science.gov (United States)

    Diao, Ying; Lenn, Kristina M; Lee, Wen-Ya; Blood-Forsythe, Martin A; Xu, Jie; Mao, Yisha; Kim, Yeongin; Reinspach, Julia A; Park, Steve; Aspuru-Guzik, Alán; Xue, Gi; Clancy, Paulette; Bao, Zhenan; Mannsfeld, Stefan C B

    2014-12-10

    Understanding crystal polymorphism is a long-standing challenge relevant to many fields, such as pharmaceuticals, organic semiconductors, pigments, food, and explosives. Controlling polymorphism of organic semiconductors (OSCs) in thin films is particularly important given that such films form the active layer in most organic electronics devices and that dramatic changes in the electronic properties can be induced even by small changes in the molecular packing. However, there are very few polymorphic OSCs for which the structure-property relationships have been elucidated so far. The major challenges lie in the transient nature of metastable forms and the preparation of phase-pure, highly crystalline thin films for resolving the crystal structures and evaluating the charge transport properties. Here we demonstrate that the nanoconfinement effect combined with the flow-enhanced crystal engineering technique is a powerful and likely material-agnostic method to identify existing polymorphs in OSC materials and to prepare the individual pure forms in thin films at ambient conditions. With this method we prepared high quality crystal polymorphs and resolved crystal structures of 6,13-bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene), including a new polymorph discovered via in situ grazing incidence X-ray diffraction and confirmed by molecular mechanic simulations. We further correlated molecular packing with charge transport properties using quantum chemical calculations and charge carrier mobility measurements. In addition, we applied our methodology to a [1]benzothieno[3,2-b][1]1benzothiophene (BTBT) derivative and successfully stabilized its metastable form.

  9. Optical approach to thermopower and conductivity measurements in thin-film semiconductors

    International Nuclear Information System (INIS)

    Dersch, H.; Amer, N.M.

    1984-01-01

    An optical beam deflection technique is applied to measure the Joule and Peltier heat generated by electric currents through thin-film semiconductors. The method yields a spatially resolved conductivity profile and allows the determination of Peltier coefficients. Results obtained on doped hydrogenated amorphous silicon films are presented

  10. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    Science.gov (United States)

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852

  11. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  12. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  13. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  14. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  15. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  16. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  17. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  18. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  19. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  20. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  1. (ZnO) m pellets as cause of variability in thin film transistor

    Indian Academy of Sciences (India)

    Indium–gallium–zinc oxide (IGZO) is a novel amorphous oxide semiconductor, which recently has received much attention for thin film transistors (TFTs) in flat panel displays. Published literature reports significant variations in the properties of thin films and TFTs prepared from IGZO even though the reported process ...

  2. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  3. Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films

    Science.gov (United States)

    Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh

    2017-12-01

    Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.

  4. Transparent megahertz circuits from solution-processed composite thin films.

    Science.gov (United States)

    Liu, Xingqiang; Wan, Da; Wu, Yun; Xiao, Xiangheng; Guo, Shishang; Jiang, Changzhong; Li, Jinchai; Chen, Tangsheng; Duan, Xiangfeng; Fan, Zhiyong; Liao, Lei

    2016-04-21

    Solution-processed amorphous oxide semiconductors have attracted considerable interest in large-area transparent electronics. However, due to its relative low carrier mobility (∼10 cm(2) V(-1) s(-1)), the demonstrated circuit performance has been limited to 800 kHz or less. Herein, we report solution-processed high-speed thin-film transistors (TFTs) and integrated circuits with an operation frequency beyond the megahertz region on 4 inch glass. The TFTs can be fabricated from an amorphous indium gallium zinc oxide/single-walled carbon nanotube (a-IGZO/SWNT) composite thin film with high yield and high carrier mobility of >70 cm(2) V(-1) s(-1). On-chip microwave measurements demonstrate that these TFTs can deliver an unprecedented operation frequency in solution-processed semiconductors, including an extrinsic cut-off frequency (f(T) = 102 MHz) and a maximum oscillation frequency (f(max) = 122 MHz). Ring oscillators further demonstrated an oscillation frequency of 4.13 MHz, for the first time, realizing megahertz circuit operation from solution-processed semiconductors. Our studies represent an important step toward high-speed solution-processed thin film electronics.

  5. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  6. Laser photo-reflectance characterization of resonant nonlinear electro-refraction in thin semiconductor films

    International Nuclear Information System (INIS)

    Chism, Will; Cartwright, Jason

    2012-01-01

    Photo-reflectance (PR) measurements provide a non-contact means for the precise characterization of semiconductor electronic properties. In this paper, we investigate the use of a laser beam as the probe beam in the PR setup. In this case it is seen that the nonlinear refraction is responsible for the amplitude change of the reflected probe field, whereas the phase change is due to nonlinear absorption. The open aperture condition may then be used to eliminate the spatial phase at the detector, thereby isolating the electro-refractive contribution to the PR signal. This greatly simplifies the PR analysis and allows absolute measurements of electro-refraction in thin semiconductor films. We report the application of the laser PR technique to characterize physical strain in thin silicon on silicon-germanium films. - Highlights: ► We describe the theory of laser photoreflectance. ► Laser photoreflectance is used to independently characterize nonlinear refraction. ► We report the characterization of strain in thin strained silicon films.

  7. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  8. In situ X-ray synchrotron study of organic semiconductor ultra-thin films growth

    International Nuclear Information System (INIS)

    Moulin, J.-F.; Dinelli, F.; Massi, M.; Albonetti, C.; Kshirsagar, R.; Biscarini, F.

    2006-01-01

    In this work we present an X-ray diffraction study of the early stages of growth of an organic semiconductor (sexithiophene, T 6 ) thin film prepared by high vacuum sublimation. Specular reflectometry and grazing incidence X-ray diffraction were used to monitor the formation of T 6 films on silicon oxide. Our results show that T 6 grows as a crystalline layer from the beginning of the evaporation. The reflectometry analysis suggests that, in the range of rates and temperatures studied, the growth is never layer by layer but rather 3D in nature. In-plane GIXD has allowed us to observe for the first time a thin film phase of T 6 formed of molecules standing normal to the substrate and arranged in a compressed unit cell with respect to the bulk, i.e. the unit cell parameters b and c are relatively smaller. We have followed the dynamics of formation of this new phase and identified the threshold of appearance of the bulk phase, which occurs above ∼5-6 monolayers. These results are relevant to the problem of organic thin film transistors, for which we have previously demonstrated experimentally that only the first two monolayers of T 6 films are involved in the electrical transport. The layers above the second one do not effectively contribute to charge mobility, either because they are more 'disordered' or because of a screening of the gate field

  9. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices.

    Science.gov (United States)

    Bi, Lei; Hu, Juejun; Jiang, Peng; Kim, Hyun Suk; Kim, Dong Hun; Onbasli, Mehmet Cengiz; Dionne, Gerald F; Ross, Caroline A

    2013-11-08

    Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO₂ -δ , Co- or Fe-substituted SrTiO 3- δ , as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti 0.2 Ga 0.4 Fe 0.4 )O 3- δ and polycrystalline (CeY₂)Fe₅O 12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY₂)Fe₅O 12 /silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  10. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  11. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  12. Electrochemically assisted photocatalysis using nanocrystalline semiconductor thin films

    Energy Technology Data Exchange (ETDEWEB)

    Vinodgopal, K [Department of Chemistry, Indiana University Northwest, Gary, Indiana (United States); Kamat, Prashant V [Notre Dame Radiation Laboratory, Notre Dame, Indiana (United States)

    1995-08-01

    The principle and usefulness of electrochemically assisted photocatalysis has been illustrated with the examples of 4-chlorophenol and Acid Orange 7 degradation in aqueous solutions. Thin nanocrystalline semiconductor films coated on a conducting glass surface when employed as a photoelectrode in an electrochemical cell are effective for degradation of organic contaminants. The degradation rate can be greatly improved even in the absence of oxygen by applying an anodic bias to the TiO{sub 2} film electrodes. A ten-fold enhancement in the degradation rate was observed when TiO{sub 2} particles were coupled with SnO{sub 2} nanocrystallites at an applied bias potential of 0.83 V versus SCE

  13. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Xue Zhang

    2017-07-01

    Full Text Available We investigated the influence of low-concentration indium (In doping on the chemical and structural properties of solution-processed zinc oxide (ZnO films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs. The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  14. Bias-induced migration of ionized donors in amorphous oxide semiconductor thin-film transistors with full bottom-gate and partial top-gate structures

    Directory of Open Access Journals (Sweden)

    Mallory Mativenga

    2012-09-01

    Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.

  15. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  16. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    Science.gov (United States)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  17. Positron Studies of Defects in Thin Films and Semiconductors

    OpenAIRE

    Edwardson, C J

    2013-01-01

    Positron studies of defects in thin films and semiconductors are reviewed. The resultsobtained from experimental studies of Doppler broadening of annihilation radiation (DBAR)from variable energies are presented. Normalisation methods for the DBAR parameters S andW have been developed, allowing for direct comparisons of the results for different samplestaken over long periods of time. The evaluation of the P:V parameter, the peak-to-valley ratioin a full annihilation spectrum, has been improv...

  18. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices

    Directory of Open Access Journals (Sweden)

    Mehmet Cengiz Onbasli

    2013-11-01

    Full Text Available Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO2−δ, Co- or Fe-substituted SrTiO3−δ, as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti0.2Ga0.4Fe0.4O3−δ and polycrystalline (CeY2Fe5O12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY2Fe5O12/silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  19. Finite Element Analysis of Film Stack Architecture for Complementary Metal-Oxide-Semiconductor Image Sensors.

    Science.gov (United States)

    Wu, Kuo-Tsai; Hwang, Sheng-Jye; Lee, Huei-Huang

    2017-05-02

    Image sensors are the core components of computer, communication, and consumer electronic products. Complementary metal oxide semiconductor (CMOS) image sensors have become the mainstay of image-sensing developments, but are prone to leakage current. In this study, we simulate the CMOS image sensor (CIS) film stacking process by finite element analysis. To elucidate the relationship between the leakage current and stack architecture, we compare the simulated and measured leakage currents in the elements. Based on the analysis results, we further improve the performance by optimizing the architecture of the film stacks or changing the thin-film material. The material parameters are then corrected to improve the accuracy of the simulation results. The simulated and experimental results confirm a positive correlation between measured leakage current and stress. This trend is attributed to the structural defects induced by high stress, which generate leakage. Using this relationship, we can change the structure of the thin-film stack to reduce the leakage current and thereby improve the component life and reliability of the CIS components.

  20. Solution processable semiconductor thin films: Correlation between morphological, structural, optical and charge transport properties

    Science.gov (United States)

    Isik, Dilek

    This Ph.D. thesis is a result of multidisciplinary research bringing together fundamental concepts in thin film engineering, materials science, materials processing and characterization, electrochemistry, microfabrication, and device physics. Experiments were conducted by tackling scientific problems in the field of thin films and interfaces, with the aim to correlate the morphology, crystalline structure, electronic structure of thin films with the functional properties of the films and the performances of electronic devices based thereon. Furthermore, novel strategies based on interfacial phenomena at electrolyte/thin film interfaces were explored and exploited to control the electrical conductivity of the thin films. Three main chemical systems were the object of the studies performed during this Ph.D., two types of organic semiconductors (azomethine-based oligomers and polymers and soluble pentacene derivatives) and one metal oxide semiconductor (tungsten trioxide, WO3). To explore the morphological properties of the thin films, atomic force microscopy was employed. The morphological properties were further investigated by hyperspectral fluorescence microscopy and tentatively correlated to the charge transport properties of the films. X-ray diffraction (Grazing incidence XRD, GIXRD) was used to investigate the crystallinity of the film and the effect of the heat treatment on such crystallinity, as well as to understand the molecular arrangement of the organic molecules in the thin film. The charge transport properties of the films were evaluated in thin film transistor configuration. For electrolyte gated thin film transistors, time dependent transient measurements were conducted, in parallel to more conventional transistor characterizations, to explore the specific effects played on the gating by the anion and cation constituting the electrolyte. The capacitances of the electrical double layers at the electrolyte/WO3 interface were obtained from

  1. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  2. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    Science.gov (United States)

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  3. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    Science.gov (United States)

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH IGZO TFTs.

  4. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  5. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  6. Blending crystalline/liquid crystalline small molecule semiconductors: A strategy towards high performance organic thin film transistors

    Science.gov (United States)

    He, Chao; He, Yaowu; Li, Aiyuan; Zhang, Dongwei; Meng, Hong

    2016-10-01

    Solution processed small molecule polycrystalline thin films often suffer from the problems of inhomogeneity and discontinuity. Here, we describe a strategy to solve these problems through deposition of the active layer from a blended solution of crystalline (2-phenyl[1]benzothieno[3,2-b][1]benzothiophene, Ph-BTBT) and liquid crystalline (2-(4-dodecylphenyl) [1]benzothieno[3,2-b]benzothiophene, C12-Ph-BTBT) small molecule semiconductors with the hot spin-coating method. Organic thin film transistors with average hole mobility approaching 1 cm2/V s, much higher than that of single component devices, have been demonstrated, mainly due to the improved uniformity, continuity, crystallinity, and stronger intermolecular π-π stacking in blend thin films. Our results indicate that the crystalline/liquid crystalline semiconductor blend method is an effective way to enhance the performance of organic transistors.

  7. Metal-insulator transition in tin doped indium oxide (ITO thin films: Quantum correction to the electrical conductivity

    Directory of Open Access Journals (Sweden)

    Deepak Kumar Kaushik

    2017-01-01

    Full Text Available Tin doped indium oxide (ITO thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes in low temperatures (25-300 K. The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l is the electron mean free path and degenerate semiconductors. The transport of charge carriers (electrons in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known ‘metal-insulator transition’ (MIT which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC; this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann’s expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  8. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    Science.gov (United States)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  9. Organic semiconductor growth and morphology considerations for organic thin-film transistors.

    Science.gov (United States)

    Virkar, Ajay A; Mannsfeld, Stefan; Bao, Zhenan; Stingelin, Natalie

    2010-09-08

    Analogous to conventional inorganic semiconductors, the performance of organic semiconductors is directly related to their molecular packing, crystallinity, growth mode, and purity. In order to achieve the best possible performance, it is critical to understand how organic semiconductors nucleate and grow. Clever use of surface and dielectric modification chemistry can allow one to control the growth and morphology, which greatly influence the electrical properties of the organic transistor. In this Review, the nucleation and growth of organic semiconductors on dielectric surfaces is addressed. The first part of the Review concentrates on small-molecule organic semiconductors. The role of deposition conditions on film formation is described. The modification of the dielectric interface using polymers or self-assembled mono-layers and their effect on organic-semiconductor growth and performance is also discussed. The goal of this Review is primarily to discuss the thin-film formation of organic semiconducting species. The patterning of single crystals is discussed, while their nucleation and growth has been described elsewhere (see the Review by Liu et. al).([¹]) The second part of the Review focuses on polymeric semiconductors. The dependence of physico-chemical properties, such as chain length (i.e., molecular weight) of the constituting macromolecule, and the influence of small molecular species on, e.g., melting temperature, as well as routes to induce order in such macromolecules, are described.

  10. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  11. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  12. Cuprous oxide thin films prepared by thermal oxidation of copper layer. Morphological and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Karapetyan, Artak, E-mail: karapetyan@cinam.univ-mrs.fr [Aix Marseille Université, CINaM, 13288, Marseille (France); Institute for Physical Research of NAS of Armenia, Ashtarak-2 0203 (Armenia); Reymers, Anna [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Giorgio, Suzanne; Fauquet, Carole [Aix Marseille Université, CINaM, 13288, Marseille (France); Sajti, Laszlo [Laser Zentrum Hannover e.V. Hollerithallee 8, 30419 Hannover (Germany); Nitsche, Serge [Aix Marseille Université, CINaM, 13288, Marseille (France); Nersesyan, Manuk; Gevorgyan, Vladimir [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Marine, Wladimir [Aix Marseille Université, CINaM, 13288, Marseille (France)

    2015-03-15

    Structural and optical characterization of crystalline Cu{sub 2}O thin films obtained by thermal oxidation of Cu films at two different temperatures 800 °C and 900 °C are investigated in this work. X-ray diffraction measurements indicate that synthesized films consist of single Cu{sub 2}O phase without any interstitial phase and show a nano-grain structure. Scanning Electron Microscopy observations indicate that the Cu{sub 2}O films have a micro-scale roughness whereas High Resolution Transmission Electron Microscopy highlights that the nanocrystalline structure is formed by superposition of nearly spherical nanocrystals smaller than 30 nm. Photoluminescence spectra of these films exhibit at room temperature two well-resolved emission peaks at 1.34 eV due to defects energy levels and at 1.97 eV due to phonon-assisted recombination of the 1s orthoexciton in both film series. Emission characteristics depending on the laser power is deeply investigated to determine the origin of recorded emissions. Time-integrated spectra of the 1s orthoexciton emission reveals the presence of oxygen defects below the conduction band edge under non-resonant two-photon excitation using a wide range of excitations wavelengths. Optical absorption coefficients at room temperature are obtained from an accurate analysis of their transmission and reflection spectra, whereas the optical band gap energy is estimated at about 2.11 eV. Results obtained are of high relevance especially for potential applications in semiconductor devices such as solar cells, optical sources and detectors. - Highlights: • Nanostructured Cu{sub 2}O thin films were synthesized by thermal oxidation of Cu films. • The PL spectra of nanostructured thin films revealed two well-resolved emission peaks. • The PL properties were investigated under a broad range of experimental conditions. • Inter-band transition in the infrared range has been associated to V{sub Cu} and V{sub O} vacancies. • Absorption

  13. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  14. Determination of the transport levels in thin films of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Krause, Stefan

    2009-07-27

    The approach of using the combination of Ultraviolet (UPS) and Inverse Photoemission (IPS) to determine the transport levels in thin films of organic semiconductors is the scope of this work. For this matter all influences on the peak position and width in Photoelectron Spectroscopy are discussed with a special focus on organic semiconductors. Many of these influences are shown with experimental results of the investigation of diindenoperylene on Ag(111). These findings are applied to inorganic semiconductors silicon in order to establish the use of UPS and IPS on a well-understood system. Finally, the method is used to determine the transport level of several organic semiconductors (PTCDA, Alq3, CuPc, DIP, PBI-H4) and the corresponding exciton binding energies are calculated by comparison to optical absorption data. (orig.)

  15. A Comprehensive Review of Semiconductor Ultraviolet Photodetectors: From Thin Film to One-Dimensional Nanostructures

    Directory of Open Access Journals (Sweden)

    Liwen Sang

    2013-08-01

    Full Text Available Ultraviolet (UV photodetectors have drawn extensive attention owing to their applications in industrial, environmental and even biological fields. Compared to UV-enhanced Si photodetectors, a new generation of wide bandgap semiconductors, such as (Al, In GaN, diamond, and SiC, have the advantages of high responsivity, high thermal stability, robust radiation hardness and high response speed. On the other hand, one-dimensional (1D nanostructure semiconductors with a wide bandgap, such as β-Ga2O3, GaN, ZnO, or other metal-oxide nanostructures, also show their potential for high-efficiency UV photodetection. In some cases such as flame detection, high-temperature thermally stable detectors with high performance are required. This article provides a comprehensive review on the state-of-the-art research activities in the UV photodetection field, including not only semiconductor thin films, but also 1D nanostructured materials, which are attracting more and more attention in the detection field. A special focus is given on the thermal stability of the developed devices, which is one of the key characteristics for the real applications.

  16. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  17. Field emission mechanism from a single-layer ultra-thin semiconductor film cathode

    International Nuclear Information System (INIS)

    Duan Zhiqiang; Wang Ruzhi; Yuan Ruiyang; Yang Wei; Wang Bo; Yan Hui

    2007-01-01

    Field emission (FE) from a single-layer ultra-thin semiconductor film cathode (SUSC) on a metal substrate has been investigated theoretically. The self-consistent quantum FE model is developed by synthetically considering the energy band bending and electron scattering. As a typical example, we calculate the FE properties of ultra-thin AlN film with an adjustable film thickness from 1 to 10 nm. The calculated results show that the FE characteristic is evidently modulated by varying the film thickness, and there is an optimum thickness of about 3 nm. Furthermore, a four-step FE mechanism is suggested such that the distinct FE current of a SUSC is rooted in the thickness sensitivity of its quantum structure, and the optimum FE properties of the SUSC should be attributed to the change in the effective potential combined with the attenuation of electron scattering

  18. High Photoluminescence Quantum Yields in Organic Semiconductor-Perovskite Composite Thin Films.

    Science.gov (United States)

    Longo, Giulia; La-Placa, Maria-Grazia; Sessolo, Michele; Bolink, Henk J

    2017-10-09

    One of the obstacles towards efficient radiative recombination in hybrid perovskites is a low exciton binding energy, typically in the orders of tens of meV. It has been shown that the use of electron-donor additives can lead to a substantial reduction of the non-radiative recombination in perovskite films. Herein, the approach using small molecules with semiconducting properties, which are candidates to be implemented in future optoelectronic devices, is presented. In particular, highly luminescent perovskite-organic semiconductor composite thin films have been developed, which can be processed from solution in a simple coating step. By tuning the relative concentration of methylammonium lead bromide (MAPbBr 3 ) and 9,9spirobifluoren-2-yl-diphenyl-phosphine oxide (SPPO1), it is possible to achieve photoluminescent quantum yields (PLQYs) as high as 85 %. This is attributed to the dual functions of SPPO1 that limit the grain growth while passivating the perovskite surface. The electroluminescence of these materials was investigated by fabricating multilayer LEDs, where charge injection and transport was found to be severely hindered for the perovskite/SPPO1 material. This was alleviated by partially substituting SPPO1 with a hole-transporting material, 1,3-bis(N-carbazolyl)benzene (mCP), leading to bright electroluminescence. The potential of combining perovskite and organic semiconductors to prepare materials with improved properties opens new avenues for the preparation of simple lightemitting devices using perovskites as the emitter. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Organic semiconductor rubrene thin films deposited by pulsed laser evaporation of solidified solutions

    Science.gov (United States)

    Majewska, N.; Gazda, M.; Jendrzejewski, R.; Majumdar, S.; Sawczak, M.; Śliwiński, G.

    2017-08-01

    Organic semiconductor rubrene (C42H28) belongs to most preferred spintronic materials because of the high charge carrier mobility up to 40 cm2(V·s)-1. However, the fabrication of a defect-free, polycrystalline rubrene for spintronic applications represents a difficult task. We report preparation and properties of rubrene thin films deposited by pulsed laser evaporation of solidified solutions. Samples of rubrene dissolved in aromatic solvents toluene, xylene, dichloromethane and 1,1-dichloroethane (0.23-1% wt) were cooled to temperatures in the range of 16.5-163 K and served as targets. The target ablation was provided by a pulsed 1064 nm or 266 nm laser. For films of thickness up to 100 nm deposited on Si, glass and ITO glass substrates, the Raman and AFM data show presence of the mixed crystalline and amorphous rubrene phases. Agglomerates of rubrene crystals are revealed by SEM observation too, and presence of oxide/peroxide (C42H28O2) in the films is concluded from matrix-assisted laser desorption/ionization time-of-flight spectroscopic analysis.

  20. Production of CdTe Semiconductor Thin Films by Electrodeposition Technique for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Ahmet PEKSÖZ

    2016-08-01

    Full Text Available Electro-deposited cadmium tellurite (CuTe thin film was grown onto ITO-coated glass substrate for 120 seconds at the room temperature and a constant cathodic potential of -0.85 V. Deposition solution was prepared from cadmium chloride (CdCl2, sodium tellurite (Na2TeO3 and pure water. The pH value of the deposition solution was adjusted to 2.0 by adding HCl. The EDX analysis shows that the film has 52% Cd and 48% Te elemental compositions. Film thickness was found to be 140 nm. The CdTe thin film exhibits p-type semiconductor character, and has an energy bandgap of 1.47 eV. 

  1. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    International Nuclear Information System (INIS)

    Li Chensha; Loutfy, Rafik O; Li Yuning; Wu Yiliang; Ong, Beng S

    2008-01-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process

  2. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Li Chensha; Loutfy, Rafik O [Department of Chemical Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario L8S 4L7 (Canada); Li Yuning; Wu Yiliang; Ong, Beng S [Materials Design and Integration Laboratory, Xerox Research Centre of Canada, 2660 Speakman Drive, Mississauga, Ontario L5K 2L1 (Canada)], E-mail: lichnsa@163.com

    2008-06-21

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  3. Low-temperature metal-oxide thin-film transistors formed by directly photopatternable and combustible solution synthesis.

    Science.gov (United States)

    Rim, You Seung; Lim, Hyun Soo; Kim, Hyun Jae

    2013-05-01

    We investigated the formation of ultraviolet (UV)-assisted directly patternable solution-processed oxide semiconductor films and successfully fabricated thin-film transistors (TFTs) based on these films. An InGaZnO (IGZO) solution that was modified chemically with benzoylacetone (BzAc), whose chelate rings decomposed via a π-π* transition as result of UV irradiation, was used for the direct patterning. A TFT was fabricated using the directly patterned IGZO film, and it had better electrical characteristics than those of conventional photoresist (PR)-patterned TFTs. In addition, the nitric acid (HNO3) and acetylacetone (AcAc) modified In2O3 (NAc-In2O3) solution exhibited both strong UV absorption and high exothermic reaction. This method not only resulted in the formation of a low-energy path because of the combustion of the chemically modified metal-oxide solution but also allowed for photoreaction-induced direct patterning at low temperatures.

  4. Synthesis and characterization of three-dimensional transition metal ions doped zinc oxide based dilute magnetic semiconductor thin films

    Science.gov (United States)

    Samanta, Kousik

    Dilute magnetic semiconductors (DMS), especially 3d-transition metal (TM) doped ZnO based DMS materials are the most promising candidates for optoelectronics and spintronics applications; e.g. in spin light emitting diode (SLED), spin transistors, and spin field effect transistors (SFET), etc. In the present dissertation, thin films of Zn1-xTMxO (TM = Co2+, Cu2+, and Mn2+) were grown on (0001) oriented Al2O3 substrates by pulsed laser deposition (PLD) technique. The films were highly c-axis oriented, nearly single crystalline, and defects free for a limited concentration of the dilution of transition metal ions. In particular, we have obtained single crystalline phases of Zn1-xTMxO thin films for up to 10, 3, and 5 stoichiometric percentages of Co2+, Cu2+, and Mn2+ respectively. Raman micro-probe system was used to understand the structural and lattice dynamical properties at different physical conditions. The confinement of optical phonons in the disorder lattice was explained by alloy potential fluctuation (APF) using a spatial correlation (SC) model. The detailed analysis of the optical phonon behavior in disorder lattice confirmed the substitution of the transition metal ions in Zn 2+ site of the ZnO host lattice. The secondary phases of ZnCo 2O4, CuO, and ZnMn2O4 were detected in higher Co, Cu, and Mn doped ZnO thin films respectively; where as, XRD did not detect these secondary phases in the same samples. Room temperature ferromagnetism was observed in Co2+ and Cu2+ ions doped ZnO thin films with maximum saturation magnetization (Ms) of 1.0 and 0.76 muB respectively. The origin of the observed ferromagnetism in Zn1-xCoxO thin films was tested by the controlled introduction of shallow donors (Al) in Zn0.9-x Co0.1O:Alx (x = 0.005 and 0.01) thin films. The saturation magnetization for the 10% Co-doped ZnO (1.0 muB /Co) at 300K reduced (˜0.25 muB/Co) due to Al doping. The observed ferromagnetism and the reduction due to Al doping can be explained by the Bound

  5. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    Science.gov (United States)

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  6. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  7. Interfaces and thin films physics

    International Nuclear Information System (INIS)

    Equer, B.

    1988-01-01

    The 1988 progress report of the Interfaces and Thin Film Physics laboratory (Polytechnic School France) is presented. The research program is focused on the thin films and on the interfaces of the amorphous semiconductor materials: silicon and silicon germanium, silicon-carbon and silicon-nitrogen alloys. In particular, the following topics are discussed: the basic processes and the kinetics of the reactive gas deposition, the amorphous materials manufacturing, the physico-chemical characterization of thin films and interfaces and the electron transport in amorphous semiconductors. The construction and optimization of experimental devices, as well as the activities concerning instrumentation, are also described [fr

  8. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  9. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  10. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    International Nuclear Information System (INIS)

    Likhachev, D.V.

    2015-01-01

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  11. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Likhachev, D.V., E-mail: dmitriy.likhachev@globalfoundries.com

    2015-08-31

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  12. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  13. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  14. Amorphous semiconducting and conducting transparent metal oxide thin films and production thereof

    Science.gov (United States)

    Perkins, John; Van Hest, Marinus Franciscus Antonius Maria; Ginley, David; Taylor, Matthew; Neuman, George A.; Luten, Henry A.; Forgette, Jeffrey A.; Anderson, John S.

    2010-07-13

    Metal oxide thin films and production thereof are disclosed. An exemplary method of producing a metal oxide thin film may comprise introducing at least two metallic elements and oxygen into a process chamber to form a metal oxide. The method may also comprise depositing the metal oxide on a substrate in the process chamber. The method may also comprise simultaneously controlling a ratio of the at least two metallic elements and a stoichiometry of the oxygen during deposition. Exemplary amorphous metal oxide thin films produced according to the methods herein may exhibit highly transparent properties, highly conductive properties, and/or other opto-electronic properties.

  15. Effects of the wet air on the properties of the lanthanum oxide and lanthanum aluminate thin films

    International Nuclear Information System (INIS)

    Jun, Jin Hyung; Choi, Doo Jin

    2006-01-01

    Lanthanum oxide and lanthanum aluminate thin films were deposited on Si substrates. The as-grown films were stored in wet ambient and dry ambient for days and annealed after storage and also the structural and the electrical properties of the films were investigated. As the storage time increased, the La 2 O 3 films stored in wet ambient showed rapid reaction with moisture and the properties degraded. In case of the LAO films, although the thickness of the film also increased during hydration, the properties of the film did not so much changed due to the role of the incorporated aluminum. The LAO films showed better hydration resistance characteristics and so more suitable for conventional wet cleaning process in semiconductor fabrication

  16. Effects of thickness on the nanocrystalline structure and semiconductor-metal transition characteristics of vanadium dioxide thin films

    International Nuclear Information System (INIS)

    Luo, Zhenfei; Zhou, Xun; Yan, Dawei; Wang, Du; Li, Zeyu; Yang, Cunbang; Jiang, Yadong

    2014-01-01

    Nanocrystalline vanadium dioxide (VO 2 ) thin films were grown on glass substrates by using reactive direct current magnetron sputtering and in situ thermal treatments at low preparation temperatures (≤ 350 °C). The VO 2 thin films were characterized by grazing-incidence X-ray diffraction, field emission scanning electron microscope, transmission electron microscopy and spectroscopic ellipsometry (SE). The semiconductor-metal transition (SMT) characteristics of the films were investigated by four-point probe resistivity measurements and infrared spectrometer equipped with heating pads. The testing results showed that the crystal structure, morphology, grain size and semiconductor-metal transition temperature (T SMT ) significantly changed as the film thickness decreased. Multilayer structures were observed in the particles of thinner films whose average particle size is much larger than the film thickness and average VO 2 grain size. A competition mechanism between the suppression effect of decreased thickness and coalescence of nanograins was proposed to understand the film growth and the formation of multilayer structure. The value of T SMT was found to decrease as average VO 2 grain size became smaller, and SE results showed that small nanograin size significantly affected the electronic structure of VO 2 film. - Highlights: • Nanocrystalline vanadium dioxide thin films were prepared. • Multilayer structures were observed in the films with large particles. • The transition temperature of the film is correlated with its electronic structure

  17. Altering properties of cerium oxide thin films by Rh doping

    International Nuclear Information System (INIS)

    Ševčíková, Klára; Nehasil, Václav; Vorokhta, Mykhailo; Haviar, Stanislav; Matolín, Vladimír

    2015-01-01

    Highlights: • Thin films of ceria doped by rhodium deposited by RF magnetron sputtering. • Concentration of rhodium has great impact on properties of Rh–CeO x thin films. • Intensive oxygen migration in films with low concentration of rhodium. • Oxygen migration suppressed in films with high amount of Rh dopants. - Abstract: Ceria containing highly dispersed ions of rhodium is a promising material for catalytic applications. The Rh–CeO x thin films with different concentrations of rhodium were deposited by RF magnetron sputtering and were studied by soft and hard X-ray photoelectron spectroscopies, Temperature programmed reaction and X-ray powder diffraction techniques. The sputtered films consist of rhodium–cerium mixed oxide where cerium exhibits a mixed valency of Ce 4+ and Ce 3+ and rhodium occurs in two oxidation states, Rh 3+ and Rh n+ . We show that the concentration of rhodium has a great influence on the chemical composition, structure and reducibility of the Rh–CeO x thin films. The films with low concentrations of rhodium are polycrystalline, while the films with higher amount of Rh dopants are amorphous. The morphology of the films strongly influences the mobility of oxygen in the material. Therefore, varying the concentration of rhodium in Rh–CeO x thin films leads to preparing materials with different properties

  18. Influence of annealing on texture properties of cerium oxide thin films

    International Nuclear Information System (INIS)

    Arunkumar, P.; Suresh Babu, K.; Ramaseshan, R.; Dash, S.

    2013-01-01

    Future power demand needs an energy source with higher efficiency, better power density, clean energy and fuel flexibility. Solid oxide fuel cell (SOFC) is one of the potential sources for future needs. Though the polymer and direct methanol based electrolyte are much suitable, for versatile applications (portable devices) they are having major challenges such as design, platinum based catalyst, lower power density and fuel flexibility (free from hydrocarbons). However, in SOFC the high operating temperature is the only major issue. Operating temperature of SOFC could be reduced by proper selection of electrolyte material which should have minimum ionic conductivity of 0.1 Scm -1 at reduced activation energy. This can be achieved by thin film based doped cerium oxide electrolyte for SOFC, leads to Intermediate Temperature Solid Oxide Fuel Cell (ITSOFC). In the present work, we focus on the synthesis of cerium oxide and 20 mol % samarium doped cerium oxide (SDC) nanoparticles by co-precipitation method and to synthesis thin films of the same. Pellets of those powders were heat treated at different temperatures and used as targets for e-beam evaporation to fabricate thin film based electrolyte. Stoichiometry of both powders and thin films were confirmed by XRF and EPMA. GIXRD profiles of ceria and SDC thin films are shown below and a preferred orientation effect is observed in SDC films. In SDC films the X-ray peaks have a shift towards lower angles, due to the difference in ionic radii of Ce 4+ and Sm 3+ . The band gap of CeO 2 (2.88 eV) from optical absorption technique indicates the presence of Ce 3+ with Ce 4+ , indirectly shows the concentration of oxygen vacancies which is required for the thin film electrolyte

  19. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    Science.gov (United States)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  20. Investigation of defects in In–Ga–Zn oxide thin film using electron spin resonance signals

    International Nuclear Information System (INIS)

    Nonaka, Yusuke; Kurosawa, Yoichi; Komatsu, Yoshihiro; Ishihara, Noritaka; Oota, Masashi; Nakashima, Motoki; Hirohashi, Takuya; Takahashi, Masahiro; Yamazaki, Shunpei; Obonai, Toshimitsu; Hosaka, Yasuharu; Koezuka, Junichi; Yamauchi, Jun

    2014-01-01

    In–Ga–Zn oxide (IGZO) is a next-generation semiconductor material seen as an alternative to silicon. Despite the importance of the controllability of characteristics and the reliability of devices, defects in IGZO have not been fully understood. We investigated defects in IGZO thin films using electron spin resonance (ESR) spectroscopy. In as-sputtered IGZO thin films, we observed an ESR signal which had a g-value of g = 2.010, and the signal was found to disappear under thermal treatment. Annealing in a reductive atmosphere, such as N 2 atmosphere, generated an ESR signal with g = 1.932 in IGZO thin films. The temperature dependence of the latter signal suggests that the signal is induced by delocalized unpaired electrons (i.e., conduction electrons). In fact, a comparison between the conductivity and ESR signal intensity revealed that the signal's intensity is related to the number of conduction electrons in the IGZO thin film. The signal's intensity did not increase with oxygen vacancy alone but also with increases in both oxygen vacancy and hydrogen concentration. In addition, first-principle calculation suggests that the conduction electrons in IGZO may be generated by defects that occur when hydrogen atoms are inserted into oxygen vacancies

  1. Investigation of defects in In–Ga–Zn oxide thin film using electron spin resonance signals

    Energy Technology Data Exchange (ETDEWEB)

    Nonaka, Yusuke; Kurosawa, Yoichi; Komatsu, Yoshihiro; Ishihara, Noritaka; Oota, Masashi; Nakashima, Motoki; Hirohashi, Takuya; Takahashi, Masahiro; Yamazaki, Shunpei [Semiconductor Energy Laboratory Co., Ltd., 398 Hase, Atsugi, Kanagawa 243-0036 (Japan); Obonai, Toshimitsu; Hosaka, Yasuharu; Koezuka, Junichi [Advanced Film Device, Inc., 161-2 Masuzuka, Tsuga-machi, Tochigi, Tochigi 328-0114 (Japan); Yamauchi, Jun [Semiconductor Energy Laboratory Co., Ltd., 398 Hase, Atsugi, Kanagawa 243-0036 (Japan); Emeritus Professor of Kyoto University, Oiwake-cho, Kitashirakawa, Kyoto 606-8502 (Japan)

    2014-04-28

    In–Ga–Zn oxide (IGZO) is a next-generation semiconductor material seen as an alternative to silicon. Despite the importance of the controllability of characteristics and the reliability of devices, defects in IGZO have not been fully understood. We investigated defects in IGZO thin films using electron spin resonance (ESR) spectroscopy. In as-sputtered IGZO thin films, we observed an ESR signal which had a g-value of g = 2.010, and the signal was found to disappear under thermal treatment. Annealing in a reductive atmosphere, such as N{sub 2} atmosphere, generated an ESR signal with g = 1.932 in IGZO thin films. The temperature dependence of the latter signal suggests that the signal is induced by delocalized unpaired electrons (i.e., conduction electrons). In fact, a comparison between the conductivity and ESR signal intensity revealed that the signal's intensity is related to the number of conduction electrons in the IGZO thin film. The signal's intensity did not increase with oxygen vacancy alone but also with increases in both oxygen vacancy and hydrogen concentration. In addition, first-principle calculation suggests that the conduction electrons in IGZO may be generated by defects that occur when hydrogen atoms are inserted into oxygen vacancies.

  2. Surface morphology modelling for the resistivity analysis of low temperature sputtered indium tin oxide thin films on polymer substrates

    International Nuclear Information System (INIS)

    Yin Xuesong; Tang Wu; Weng Xiaolong; Deng Longjiang

    2009-01-01

    Amorphous or weakly crystalline indium tin oxide (ITO) thin film samples have been prepared on polymethylmethacrylate and polyethylene terephthalate substrates by RF-magnetron sputtering at a low substrate temperature. The surface morphological and electrical properties of the ITO layers were measured by atomic force microscopy (AFM) and a standard four-point probe measurement. The effect of surface morphology on the resistivity of ITO thin films was studied, which presented some different variations from crystalline films. Then, a simplified film system model, including the substrate, continuous ITO layer and ITO surface grain, was proposed to deal with these correlations. Based on this thin film model and the AFM images, a quadratic potential was introduced to simulate the characteristics of the ITO surface morphology, and the classical Kronig-Penney model, the semiconductor electrical theory and the modified Neugebauer-Webb model were used to expound the detailed experimental results. The modelling equation was highly in accord with the experimental variations of the resistivity on the characteristics of the surface morphology.

  3. Surface and sub-surface thermal oxidation of thin ruthenium films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Kokke, S.; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low

  4. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  5. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  6. Thin film ionic conductors based on cerium oxide

    International Nuclear Information System (INIS)

    Haridoss, P.; Hellstrom, E.; Garzon, F.H.; Brown, D.R.; Hawley, M.

    1994-01-01

    Fluorite and perovskite structure cerium oxide based ceramics are a class of materials that may exhibit good oxygen ion and/or protonic conductivity. The authors have successfully deposited thin films of these materials on a variety of substrates. Interesting orientation relationships were noticed between cerium oxide films and strontium titanate bi-crystal substrates. Near lattice site coincidence theory has been used to study these relationships

  7. Charge transport in amorphous InGaZnO thin-film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=ΔV/ΔT) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  8. Charge transport in amorphous InGaZnO thin film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=¿V/¿T) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  9. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  10. Oxide Thin-Film Electronics using All-MXene Electrical Contacts

    KAUST Repository

    Wang, Zhenwei

    2018-02-23

    2D MXenes have shown great promise in electrochemical and electromagnetic shielding applications. However, their potential use in electronic devices is significantly less explored. The unique combination of metallic conductivity and hydrophilic surface suggests that MXenes can also be promising in electronics and sensing applications. Here, it is shown that metallic Ti3C2 MXene with work function of 4.60 eV can make good electrical contact with both zinc oxide (ZnO) and tin monoxide (SnO) semiconductors, with negligible band offsets. Consequently, both n-type ZnO and p-type SnO thin-film transistors (TFTs) have been fabricated entirely using large-area MXene (Ti3C2) electrical contacts, including gate, source, and drain. The n- and p-type TFTs show balanced performance, including field-effect mobilities of 2.61 and 2.01 cm2 V−1 s−1 and switching ratios of 3.6 × 106 and 1.1 × 103, respectively. Further, complementary metal oxide semiconductor (CMOS) inverters are demonstrated. The CMOS inverters show large voltage gain of 80 and excellent noise margin of 3.54 V, which is 70.8% of the ideal value. Moreover, the operation of CMOS inverters is shown to be very stable under a 100 Hz square waveform input. The current results suggest that MXene (Ti3C2) can play an important role as contact material in nanoelectronics.

  11. Structural and optical properties of electrodeposited molybdenum oxide thin films

    International Nuclear Information System (INIS)

    Patil, R.S.; Uplane, M.D.; Patil, P.S.

    2006-01-01

    Electrosynthesis of Mo(IV) oxide thin films on F-doped SnO 2 conducting glass (10-20/Ω/□) substrates were carried from aqueous alkaline solution of ammonium molybdate at room temperature. The physical characterization of as-deposited films carried by thermogravimetric/differential thermogravimetric analysis (TGA/DTA), infrared spectroscopy and X-ray diffraction (XRD) showed the formation of hydrous and amorphous MoO 2 . Scanning electron microscopy (SEM) revealed a smooth but cracked surface with multi-layered growth. Annealing of these films in dry argon at 450 deg. C for 1 h resulted into polycrystalline MoO 2 with crystallites aligned perpendicular to the substrate. Optical absorption study indicated a direct band gap of 2.83 eV. The band gap variation consistent with Moss rule and band gap narrowing upon crystallization was observed. Structure tailoring of as-deposited thin films by thermal oxidation in ambient air to obtain electrochromic Mo(VI) oxide thin films was exploited for the first time by this novel route. The results of this study will be reported elsewhere

  12. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  13. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  14. Determination of bulk and interface density of states in metal oxide semiconductor thin-film transistors by using capacitance-voltage characteristics

    Science.gov (United States)

    Wei, Xixiong; Deng, Wanling; Fang, Jielin; Ma, Xiaoyu; Huang, Junkai

    2017-10-01

    A physical-based straightforward extraction technique for interface and bulk density of states in metal oxide semiconductor thin film transistors (TFTs) is proposed by using the capacitance-voltage (C-V) characteristics. The interface trap density distribution with energy has been extracted from the analysis of capacitance-voltage characteristics. Using the obtained interface state distribution, the bulk trap density has been determined. With this method, for the interface trap density, it is found that deep state density nearing the mid-gap is approximately constant and tail states density increases exponentially with energy; for the bulk trap density, it is a superposition of exponential deep states and exponential tail states. The validity of the extraction is verified by comparisons with the measured current-voltage (I-V) characteristics and the simulation results by the technology computer-aided design (TCAD) model. This extraction method uses non-numerical iteration which is simple, fast and accurate. Therefore, it is very useful for TFT device characterization.

  15. Effects of thickness on the nanocrystalline structure and semiconductor-metal transition characteristics of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Zhenfei, E-mail: zhfluo8@yahoo.com [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Zhou, Xun, E-mail: zx_zky@yahoo.com [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Yan, Dawei [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Wang, Du; Li, Zeyu [Terahertz Research Center, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Yang, Cunbang [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang Sichuan 621900 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2014-01-01

    Nanocrystalline vanadium dioxide (VO{sub 2}) thin films were grown on glass substrates by using reactive direct current magnetron sputtering and in situ thermal treatments at low preparation temperatures (≤ 350 °C). The VO{sub 2} thin films were characterized by grazing-incidence X-ray diffraction, field emission scanning electron microscope, transmission electron microscopy and spectroscopic ellipsometry (SE). The semiconductor-metal transition (SMT) characteristics of the films were investigated by four-point probe resistivity measurements and infrared spectrometer equipped with heating pads. The testing results showed that the crystal structure, morphology, grain size and semiconductor-metal transition temperature (T{sub SMT}) significantly changed as the film thickness decreased. Multilayer structures were observed in the particles of thinner films whose average particle size is much larger than the film thickness and average VO{sub 2} grain size. A competition mechanism between the suppression effect of decreased thickness and coalescence of nanograins was proposed to understand the film growth and the formation of multilayer structure. The value of T{sub SMT} was found to decrease as average VO{sub 2} grain size became smaller, and SE results showed that small nanograin size significantly affected the electronic structure of VO{sub 2} film. - Highlights: • Nanocrystalline vanadium dioxide thin films were prepared. • Multilayer structures were observed in the films with large particles. • The transition temperature of the film is correlated with its electronic structure.

  16. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Bijkerk, Frederik

    2015-01-01

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO2 films were found to show Arrhenius behaviour. However, a

  17. Inhibitory Effect Evaluation of Glycerol-Iron Oxide Thin Films on Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    C. L. Popa

    2015-01-01

    Full Text Available The main purpose of this study was to evaluate the inhibitory effect of glycerol- iron oxide thin films on Methicillin-Resistant Staphylococcus aureus (MRSA. Our results suggest that glycerol-iron oxide thin films could be used in the future for various biomedical and pharmaceutical applications. The glycerol-iron oxide thin films have been deposited by spin coating method on a silicon (111 substrate. The structural properties have been studied by X-ray diffraction (XRD and scanning electron spectroscopy (SEM. The XRD investigations of the prepared thin films demonstrate that the crystal structure of glycerol-iron oxide nanoparticles was not changed after spin coating deposition. On the other hand, the SEM micrographs suggest that the size of the glycerol-iron oxide microspheres increased with the increase of glycerol exhibiting narrow size distributions. The qualitative depth profile of glycerol-iron oxide thin films was identified by glow discharge optical emission spectroscopy (GDOES. The GDOES spectra revealed the presence of the main elements: Fe, O, C, H, and Si. The antimicrobial activity of glycerol-iron oxide thin films was evaluated by measuring the zone of inhibition. After 18 hours of incubation at 37°C, the diameters of the zones of complete inhibition have been measured obtaining values around 25 mm.

  18. Thermoluminescent response of aluminium oxide thin films subject to gamma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Arrieta, A.; Escobar A, L.; Camps, E.; Villagran, E.; Gonzalez, P.R

    2006-07-01

    The thermoluminescent (TL) properties of amorphous aluminium oxide thin films (thicknesses as low as 0.3 {mu}m) subjected to gamma (Co-60) irradiation are reported. Aluminium oxide thin films were prepared by laser ablation from an Al{sub 2}O{sub 3} target using a Nd: YAG laser with emission at the fundamental line. The films were exposed to gamma radiation (Co-60) in order to study their TL response. Thermoluminescence glow curves exhibited two peaks at 110 and 176 C. The high temperature peak shows good stability and 30% fading in the first 5 days after irradiation. A linear relationship between absorbed dose and the thermoluminescent response for doses span from 150 mGy to 100 Gy was observed. These results suggest that aluminium oxide thin films are suitable for detection and monitoring of gamma radiation. (Author)

  19. Picosecond laser registration of interference pattern by oxidation of thin Cr films

    Energy Technology Data Exchange (ETDEWEB)

    Veiko, Vadim; Yarchuk, Michail [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Zakoldaev, Roman, E-mail: zakoldaev@gmail.com [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation); Gedvilas, Mindaugas; Račiukaitis, Gediminas [Center for Physical Sciences and Technology, Savanoriu Ave. 231, LT-02300, Vilnius (Lithuania); Kuzivanov, Michail; Baranov, Alexander [ITMO University, Kronverksky Ave. 49, St. Petersburg, 197101 (Russian Federation)

    2017-05-15

    Highlights: • Periodical patterning of thin films was achieved by combining two technologies. • Selective chemical etching was combined with laser-induced oxidation. • Formation of the protective oxide layer prevented of chromium film from etching. • 1D binary grating with the chromium stripe width of 750 nm was fabricated. - Abstract: The laser oxidation of thin metallic films followed by its selective chemical etching is a promising method for the formation of binary metal structures on the glass substrates. It is important to confirm that even a single ultrashort laser pulse irradiation is able to create the protective oxide layer that makes possible to imprint the thermochemical image. Results of the thermo-chemical treatment of thin chromium films irradiated by picosecond laser pulse utilizing two and four beam interference combined with the chemical etching are presented. The spatial resolution of this method can be high enough due to thermo-chemical sharpening and can be close to the diffraction limit. Micro-Raman spectroscopy was applied for characterization of the chemical composition of the protective oxide layers formed under atmospheric conditions on the surface of thin chromium films.

  20. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    International Nuclear Information System (INIS)

    Predoi, D.; Ciobanu, C.S.; Radu, M.; Costache, M.; Dinischiotu, A.; Popescu, C.; Axente, E.; Mihailescu, I.N.; Gyorgy, E.

    2012-01-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: ► Hybrid, dextran-iron oxide nanoparticles and thin films. ► Laser immobilization. ► Biocompatibility of dextran-iron oxide nanoparticles.

  1. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  2. Nanoporous cerium oxide thin film for glucose biosensor.

    Science.gov (United States)

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  3. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  4. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  5. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  6. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Predoi, D.; Ciobanu, C.S. [National Institute for Physics of Materials, P.O. Box MG 07, Bucharest, Magurele (Romania); Radu, M.; Costache, M.; Dinischiotu, A. [Molecular Biology Center, University of Bucharest, 91-95 Splaiul Independentei, 76201, Bucharest 5 (Romania); Popescu, C.; Axente, E.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Gyorgy, E., E-mail: egyorgy@cin2.es [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Consejo Superior de Investigaciones Cientificas, Centre d' Investigacions en Nanociencia i Nanotecnologia (CSIC-CIN2), Campus UAB, 08193 Bellaterra (Spain)

    2012-02-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: Black-Right-Pointing-Pointer Hybrid, dextran-iron oxide nanoparticles and thin films. Black-Right-Pointing-Pointer Laser immobilization. Black-Right-Pointing-Pointer Biocompatibility of dextran-iron oxide nanoparticles.

  7. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  8. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  9. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  10. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  11. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  12. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  13. Morphology selection for cupric oxide thin films by electrodeposition.

    Science.gov (United States)

    Dhanasekaran, V; Mahalingam, T; Chandramohan, R

    2011-10-01

    Polycrystalline cupric oxide thin films were deposited using alkaline solution bath employing cathodic electrodeposition method. The thin films were electrodeposited at various solution pH. The surface morphology and elemental analyzes of the films were studied using scanning electron microscopy (SEM) and energy dispersive X-ray analysis, respectively. SEM studies revealed that the surface morphology could be tailored suitably by adjusting the pH value during deposition. Mesh average on multiple lattice mode atomic force microscopy image was obtained and reported. Copyright © 2011 Wiley-Liss, Inc.

  14. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  15. Porous Zinc Oxide Thin Films: Synthesis Approaches and Applications

    Directory of Open Access Journals (Sweden)

    Marco Laurenti

    2018-02-01

    Full Text Available Zinc oxide (ZnO thin films have been widely investigated due to their multifunctional properties, i.e., catalytic, semiconducting and optical. They have found practical use in a wide number of application fields. However, the presence of a compact micro/nanostructure has often limited the resulting material properties. Moreover, with the advent of low-dimensional ZnO nanostructures featuring unique physical and chemical properties, the interest in studying ZnO thin films diminished more and more. Therefore, the possibility to combine at the same time the advantages of thin-film based synthesis technologies together with a high surface area and a porous structure might represent a powerful solution to prepare ZnO thin films with unprecedented physical and chemical characteristics that may find use in novel application fields. Within this scope, this review offers an overview on the most successful synthesis methods that are able to produce ZnO thin films with both framework and textural porosities. Moreover, we discuss the related applications, mainly focused on photocatalytic degradation of dyes, gas sensor fabrication and photoanodes for dye-sensitized solar cells.

  16. Optimisation of chemical solution deposition of indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sunde, Tor Olav Løveng; Einarsrud, Mari-Ann; Grande, Tor, E-mail: grande@ntnu.no

    2014-12-31

    An environmentally friendly aqueous sol–gel process has been optimised to deposit indium tin oxide (ITO) thin films, aiming to improve the film properties and reduce the deposition costs. It was demonstrated how parameters such as cation concentration and viscosity could be applied to modify the physical properties of the sol and thereby reduce the need for multiple coatings to yield films with sufficient conductivity. The conductivity of the thin films was enhanced by adjusting the heat treatment temperature and atmosphere. Both increasing the heat treatment temperature of the films from 530 to 800 °C and annealing in reducing atmosphere significantly improved the electrical conductivity, and conductivities close to the state of the art sputtered ITO films were obtained. A pronounced decreased conductivity was observed after exposing the thin films to air and the thermal reduction and ageing of the film was studied by in situ conductivity measurements. - Highlights: • Spin coating of indium tin oxide using an aqueous solution was optimised. • The conductivity was enhanced by thermal annealing in reducing atmosphere. • The conductivity of is comparable to the conductivity of sputtered films. • A relaxation process in the reduced thin film was observed after exposure in air.

  17. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang

    2018-03-13

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  18. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang; Fei, Zhuping; Lin, Yen-Hung; Martin, Jaime; Tuna, Floriana; Anthopoulos, Thomas D.; Heeney, Martin

    2018-01-01

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  19. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  20. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  1. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    Science.gov (United States)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  2. Binary copper oxide semiconductors: From materials towards devices

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)

    2012-08-15

    Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Cadmium-manganese oxide composite thin films: Synthesis, characterization and photoelectrochemical properties

    Energy Technology Data Exchange (ETDEWEB)

    Mansoor, M.A. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Low Dimensional Materials Research Centre, Department of Physics, University of Malaya, Faculty of Science, Kuala Lumpur 50603 (Malaysia); Ebadi, M. [Solar Energy Research Institute, University Kebangsaan Malaysia, Bangi 43600, Selangor (Malaysia); Mazhar, M., E-mail: mazhar42pk@yahoo.com [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Huang, N.M. [Low Dimensional Materials Research Centre, Department of Physics, University of Malaya, Faculty of Science, Kuala Lumpur 50603 (Malaysia); Mun, L.K.; Misran, M. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Basirun, W.J. [Department of Chemistry, Faculty of Science, University of Malaya, Kuala Lumpur 50603 (Malaysia); Institute of Nanotechnology and Catalysis (NanoCat), University Malaya, Kuala Lumpur 50603 (Malaysia)

    2017-01-15

    Ceramic composite CdO–Mn{sub 2}O{sub 3} thin films have been deposited on fluorine doped tin oxide (FTO) coated glass substrates by aerosol assisted chemical vapour deposition (AACVD) using a 1:1 mixture of cadmium complex, [Cd(dmae){sub 2}(OAc){sub 2}]·H{sub 2}O (1) (where dmae = 2-dimethylaminoethanolato and OAc = acetato), and diacetatomanganese (II). The phase purity, stoichiometry and thickness of the films were examined by X-ray diffraction (XRD), Fourier transformed infra-red (FTIR), Raman spectroscopy, field emission gun scanning electron microscopy (FEG-SEM), energy dispersive X-ray spectroscopy (EDX), UV–Vis spectroscopy and profilometer. The FEG-SEM analysis illustrated that the morphology of the fabricated films was influenced by the type of solvent. The optical direct band gap of the film fabricated from THF solution was 1.95 eV. From the current–voltage characteristics it is evident that the CdO–Mn{sub 2}O{sub 3} composite semiconductor electrode exhibits n-type behaviour and the photocurrent density was found to be dependent on the deposition medium. The film deposited from THF solution displayed maximum photocurrent density of 4.80 mA cm{sup −2} at 0.65 V vs. Ag/AgCl/3 M KCl (∼1.23 V vs. RHE) in 0.5 M NaOH electrolyte. - Highlights: • Single crystal X-ray structure of [Cd(dmae){sub 2}(OAc){sub 2}]·H{sub 2}O (1). • CdO-Mn{sub 2}O{sub 3} composite photoanode thin films. • Optical band gap of CdO-Mn{sub 2}O{sub 3} photoanode. • Photoelectrochemical and EIS studies.

  4. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  5. STRUCTURAL, PHOTO-FUNCTIONAL AND SEMICONDUCTOR PROPERTIES OF COPPER OXIDE THIN FILMS PREPARED BY DC REACTIVE METHOD UNDER VARIOUS THICKNESSES Anmar H. Shukur

    Directory of Open Access Journals (Sweden)

    Anmar H. Shukur

    2018-01-01

    Full Text Available Cuprous oxide (Cu2O has been formed on glass substrates by dc reactive magnetron sputtering method, whereas pure target of the solid copper was sputtered with a mixture of plasma for argon gas and oxygen gas was used to form these films. Under vacuum chamber pressure of 1.2×10-5 Pa, thin film thickness was changed from 100 nm to 300 nm while other deposition parameters were fixed. The influence of changing the thickness of thin films on the electrical and the optical properties was investigated in this study. X-ray photoelectron spectroscopy (XPS, X-ray Diffractions system XRD, Atomic Force Microscopy (AFM, hall effect measurement system, UV–VIS spectrophotometer were employed to determine the characteristic of the deposited thin films. Thin film of 200 nm has observed low resistivity of 60.63 Ω cm and direct band gap of 2.5eV. This study has demonstrated that the thickness has direct influence on electrical and optical properties.

  6. Development of wear resistant zirconium oxide thin films on stainless steel substrates

    International Nuclear Information System (INIS)

    Then, I.K.; Mujahid, M.; Zhang, B.

    2005-01-01

    The present work deals specifically with the development of zirconium oxide thin film coatings on the stainless steel orthodontic bracket system by sputtering technique. Thin films of zirconium oxide have been deposited on injection molded stainless steel substrates using sputtering under controlled temperature and environment conditions. The deposited films, 1.5 μm in thickness, were found to have a predominantly tetragonal structure with grain size of about 5 nm. The grain size was found to increase only slightly with increasing heat treatment time at 650 C. It has been shown that thin-film zirconia coatings with stable structure and good adhesion along with very low friction coefficient could be produced. (orig.)

  7. Development of wear resistant zirconium oxide thin films on stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Then, I.K.; Mujahid, M. [School of Materials Engineering, Nanyang Technological Univ. (Singapore); Zhang, B. [Dou Yee Technologies Pte Ltd, Bedok Industrial Park C (Singapore)

    2005-07-01

    The present work deals specifically with the development of zirconium oxide thin film coatings on the stainless steel orthodontic bracket system by sputtering technique. Thin films of zirconium oxide have been deposited on injection molded stainless steel substrates using sputtering under controlled temperature and environment conditions. The deposited films, 1.5 {mu}m in thickness, were found to have a predominantly tetragonal structure with grain size of about 5 nm. The grain size was found to increase only slightly with increasing heat treatment time at 650 C. It has been shown that thin-film zirconia coatings with stable structure and good adhesion along with very low friction coefficient could be produced. (orig.)

  8. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Hyeonju Lee

    2016-10-01

    Full Text Available We report on the morphological influence of solution-processed zinc oxide (ZnO semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs. Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites.

  9. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, Chintalapalle V.; Atuchin, Victor V.; Kesler, V. G.; Kochubey, V. A.; Pokrovsky, L. D.; Shutthanandan, V.; Becker, U.; Ewing, Rodney C.

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of argon-oxygen gas mixture under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of Ts and pO2 on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 *C under 62.3% O2 pressure were stoichiometric and polycrystalline MoO3. Films grown at lower pO2 were nonstoichiometric MoOx films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO3 films.

  10. Multiferroic iron oxide thin films at room temperature

    Czech Academy of Sciences Publication Activity Database

    Gich, M.; Fina, I.; Morelli, Alessio; Sánchez, F.; Alexe, M.; Gazquez, J.; Fontcuberta, J.; Roig, A.

    2014-01-01

    Roč. 26, č. 27 (2014), s. 4645-4652 ISSN 0935-9648 Institutional support: RVO:68378271 Keywords : multiferroic * iron oxide * thin film Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 17.493, year: 2014

  11. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    NARCIS (Netherlands)

    Jin, J.W.; Nathan, A.; Barquinha, P.; Pereira, L.; Fortunato, E.; Martins, R.; Cobb, B.

    2016-01-01

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We

  12. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  13. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  14. Ferroelectric thin films using oxides as raw materials

    Directory of Open Access Journals (Sweden)

    E.B. Araújo

    1999-01-01

    Full Text Available This work describes an alternative method for the preparation of ferroelectric thin films based on pre-calcination of oxides, to be used as precursor material for a solution preparation. In order to show the viability of the proposed method, PbZr0.53Ti0.47O3 and Bi4Ti3O12 thin films were prepared on fused quartz and Si substrates. The results were analyzed by X-ray Diffraction (XRD, Scanning Electron Microscopy (SEM, Infrared Spectroscopy (IR and Rutherford Backscattering Spectroscopy (RBS. The films obtained show good quality, homogeneity and the desired stoichiometry. The estimated thickness for one layer deposition was approximately 1000 Å and 1500 Å for Bi4Ti3O12 and PbZr0.53Ti0.47O3 films, respectively.

  15. Cu2O-based solar cells using oxide semiconductors

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu 2 O heterojunction solar cells fabricated using p-type Cu 2 O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu 2 O sheets under various deposition conditions using a pulsed laser deposition method. In Cu 2 O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa 2 O 4 thin-film layer. In most of the Cu 2 O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga 2 O 3 -Al 2 O 3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (V oc ) were obtained by using a relatively small amount of MgO or Al 2 O 3 , e.g., (ZnO) 0.91 –(MgO) 0.09 and (Ga 2 O 3 ) 0.975 –(Al 2 O 3 ) 0.025 , respectively. When Cu 2 O-based heterojunction solar cells were fabricated using Al 2 O 3 –Ga 2 O 3 –MgO–ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high V oc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu 2 O heterojunction solar cells fabricated using Na-doped Cu 2 O (Cu 2 O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a V oc of 0.84 V were obtained in a MgF 2 /AZO/n-(Ga 2 O 3 –Al 2 O 3 )/p-Cu 2 O:Na heterojunction solar cell fabricated using

  16. Cu2O-based solar cells using oxide semiconductors

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-01-01

    We describe significant improvements of the photovoltaic properties that were achieved in Al-doped ZnO (AZO)/n-type oxide semiconductor/p-type Cu2O heterojunction solar cells fabricated using p-type Cu2O sheets prepared by thermally oxidizing Cu sheets. The multicomponent oxide thin film used as the n-type semiconductor layer was prepared with various chemical compositions on non-intentionally heated Cu2O sheets under various deposition conditions using a pulsed laser deposition method. In Cu2O-based heterojunction solar cells fabricated using various ternary compounds as the n-type oxide thin-film layer, the best photovoltaic performance was obtained with an n-ZnGa2O4 thin-film layer. In most of the Cu2O-based heterojunction solar cells using multicomponent oxides composed of combinations of various binary compounds, the obtained photovoltaic properties changed gradually as the chemical composition was varied. However, with the ZnO-MgO and Ga2O3-Al2O3 systems, higher conversion efficiencies (η) as well as a high open circuit voltage (Voc) were obtained by using a relatively small amount of MgO or Al2O3, e.g., (ZnO)0.91-(MgO)0.09 and (Ga2O3)0.975-(Al2O3)0.025, respectively. When Cu2O-based heterojunction solar cells were fabricated using Al2O3-Ga2O3-MgO-ZnO (AGMZO) multicomponent oxide thin films deposited with metal atomic ratios of 10, 60, 10 and 20 at.% for the Al, Ga, Mg and Zn, respectively, a high Voc of 0.98 V and an η of 4.82% were obtained. In addition, an enhanced η and an improved fill factor could be achieved in AZO/n-type multicomponent oxide/p-type Cu2O heterojunction solar cells fabricated using Na-doped Cu2O (Cu2O:Na) sheets that featured a resistivity controlled by optimizing the post-annealing temperature and duration. Consequently, an η of 6.25% and a Voc of 0.84 V were obtained in a MgF2/AZO/n-(Ga2O3-Al2O3)/p-Cu2O:Na heterojunction solar cell fabricated using a Cu2O:Na sheet with a resistivity of approximately 10 Ω·cm and a (Ga0.975Al0

  17. Electrochromics for smart windows: Oxide-based thin films and devices

    Energy Technology Data Exchange (ETDEWEB)

    Granqvist, Claes G.

    2014-08-01

    possibilities to accomplish further porosity by having suitable thin-film deposition parameters. A number of examples on the importance of the detailed deposition conditions are presented, and Section 4 ends with a presentation of the EC properties of films with compositions across the full tungsten–nickel oxide system. Section 5 is devoted to transparent electrical conductors and electrolytes, both of which are necessary in EC devices. Detailed surveys are given of transparent conductors comprising doped-oxide semiconductors, coinage metals, nanowire meshes and other alternatives, and also of electrolytes based on thin films and on polymers. Particular attention is devoted to electrolyte functionalization by nanoparticles. Section 6 considers one particular device construction: A foil that is suitable for glass lamination and which, in the author's view, holds particular promise for low-cost large-area implementation of EC smart windows. Device data are presented, and a discussion is given of quality assessment by use of 1/f noise. The “battery-type” EC device covered in the major part of this critical review is not the only alternative, and Section 7 consists of brief discussions of a number of more or less advanced alternatives such as metal hydrides, suspended particle devices, polymer-dispersed liquid crystals, reversible electroplating, and plasmonic electrochromism based on transparent conducting oxide nanoparticles. Finally, Section 8 provides a brief summary and outlook. The aim of this critical review is not only to paint a picture of the state-of-the-art for electrochromics and its applications in smart windows, but also to provide ample references to current literature of particular relevance and thereby, hopefully, an easy entrance to the research field. - Highlights: • Critical review of electrochromic oxide thin films and devices. • Variable transmittance of visible light and solar energy. • Fenestration in energy efficient buildings.

  18. Electrochromics for smart windows: Oxide-based thin films and devices

    International Nuclear Information System (INIS)

    Granqvist, Claes G.

    2014-01-01

    possibilities to accomplish further porosity by having suitable thin-film deposition parameters. A number of examples on the importance of the detailed deposition conditions are presented, and Section 4 ends with a presentation of the EC properties of films with compositions across the full tungsten–nickel oxide system. Section 5 is devoted to transparent electrical conductors and electrolytes, both of which are necessary in EC devices. Detailed surveys are given of transparent conductors comprising doped-oxide semiconductors, coinage metals, nanowire meshes and other alternatives, and also of electrolytes based on thin films and on polymers. Particular attention is devoted to electrolyte functionalization by nanoparticles. Section 6 considers one particular device construction: A foil that is suitable for glass lamination and which, in the author's view, holds particular promise for low-cost large-area implementation of EC smart windows. Device data are presented, and a discussion is given of quality assessment by use of 1/f noise. The “battery-type” EC device covered in the major part of this critical review is not the only alternative, and Section 7 consists of brief discussions of a number of more or less advanced alternatives such as metal hydrides, suspended particle devices, polymer-dispersed liquid crystals, reversible electroplating, and plasmonic electrochromism based on transparent conducting oxide nanoparticles. Finally, Section 8 provides a brief summary and outlook. The aim of this critical review is not only to paint a picture of the state-of-the-art for electrochromics and its applications in smart windows, but also to provide ample references to current literature of particular relevance and thereby, hopefully, an easy entrance to the research field. - Highlights: • Critical review of electrochromic oxide thin films and devices. • Variable transmittance of visible light and solar energy. • Fenestration in energy efficient buildings

  19. Structural and Electrochemical Properties of Lithium Nickel Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Gyu-bong Cho

    2014-01-01

    Full Text Available LiNiO2 thin films were fabricated by RF magnetron sputtering. The microstructure of the films was determined by X-ray diffraction and field-emission scanning electron microscopy. The electrochemical properties were investigated with a battery cycler using coin-type half-cells. The LiNiO2 thin films annealed below 500°C had the surface carbonate. The results suggest that surface carbonate interrupted the Li intercalation and deintercalation during charge/discharge. Although the annealing process enhanced the crystallization of LiNiO2, the capacity did not increase. When the annealing temperature was increased to 600°C, the FeCrNiO4 oxide phase was generated and the discharge capacity decreased due to an oxygen deficiency in the LiNiO2 thin film. The ZrO2-coated LiNiO2 thin film provided an improved discharge capacity compared to bare LiNiO2 thin film suggesting that the improved electrochemical characteristic may be attributed to the inhibition of surface carbonate by ZrO2 coating layer.

  20. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  1. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  2. Host thin films incorporating nanoparticles

    Science.gov (United States)

    Qureshi, Uzma

    The focus of this research project was the investigation of the functional properties of thin films that incorporate a secondary nanoparticulate phase. In particular to assess if the secondary nanoparticulate material enhanced a functional property of the coating on glass. In order to achieve this, new thin film deposition methods were developed, namely use of nanopowder precursors, an aerosol assisted transport technique and an aerosol into atmospheric pressure chemical vapour deposition system. Aerosol assisted chemical vapour deposition (AACVD) was used to deposit 8 series of thin films on glass. Five different nanoparticles silver, gold, ceria, tungsten oxide and zinc oxide were tested and shown to successfully deposit thin films incorporating nanoparticles within a host matrix. Silver nanoparticles were synthesised and doped within a titania film by AACVD. This improved solar control properties. A unique aerosol assisted chemical vapour deposition (AACVD) into atmospheric pressure chemical vapour deposition (APCVD) system was used to deposit films of Au nanoparticles and thin films of gold nanoparticles incorporated within a host titania matrix. Incorporation of high refractive index contrast metal oxide particles within a host film altered the film colour. The key goal was to test the potential of nanopowder forms and transfer the suspended nanopowder via an aerosol to a substrate in order to deposit a thin film. Discrete tungsten oxide nanoparticles or ceria nanoparticles within a titanium dioxide thin film enhanced the self-cleaning and photo-induced super-hydrophilicity. The nanopowder precursor study was extended by deposition of zinc oxide thin films incorporating Au nanoparticles and also ZnO films deposited from a ZnO nanopowder precursor. Incorporation of Au nanoparticles within a VO: host matrix improved the thermochromic response, optical and colour properties. Composite VC/TiC and Au nanoparticle/V02/Ti02 thin films displayed three useful

  3. Raman and XPS characterization of vanadium oxide thin films with temperature

    Energy Technology Data Exchange (ETDEWEB)

    Ureña-Begara, Ferran, E-mail: ferran.urena@uclouvain.be [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium); Crunteanu, Aurelian [XLIM Research Institute, UMR 7252, CNRS/Université de Limoges, Limoges (France); Raskin, Jean-Pierre [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium)

    2017-05-01

    Highlights: • Comprehensive study of the oxidation of VO{sub 2} thin films from R.T. up to 550 °C. • Phase changes and mixed-valence vanadium oxides formed during the oxidation process. • Reported Raman and XPS signatures for each vanadium oxide. • Monitoring of the current and resistance evolution at the surface of the films. • Oxidation model describing the evolution of the vanadium oxides and phase changes. - Abstract: The oxidation mechanisms and the numerous phase transitions undergone by VO{sub 2} thin films deposited on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates when heated from room temperature (R.T.) up to 550 °C in air are investigated by Raman and X-ray photoelectron spectroscopy. The results show that the films undergo several intermediate phase transitions between the initial VO{sub 2} monoclinic phase at R.T. and the final V{sub 2}O{sub 5} phase at 550 °C. The information about these intermediate phase transitions is scarce and their identification is important since they are often found during the synthesis of vanadium dioxide films. Significant changes in the film conductivity have also been observed to occur associated to the phase transitions. In this work, current and resistance measurements performed on the surface of the films are implemented in parallel with the Raman measurements to correlate the different phases with the conductivity of the films. A model to explain the oxidation mechanisms and phenomena occurring during the oxidation of the films is proposed. Peak frequencies, full-width half-maxima, binding energies and oxidation states from the Raman and X-ray photoelectron spectroscopy experiments are reported and analyzed for all the phases encountered in VO{sub 2} films prepared on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates.

  4. Semiconductor- to metallic-like behavior in Bi thin films on KCl substrate

    International Nuclear Information System (INIS)

    Bui, Thanh Nhan; Raskin, Jean-Pierre; Hackens, Benoit

    2016-01-01

    Bi thin films, with a thickness of 100 nm, are deposited by electron-beam evaporation on a freshly cleaved (100) KCl substrate. The substrate temperature during film growth (T_d_e_p) ranges from room temperature up to 170 °C. Films deposited at room temperature exhibit a maze-like microstructure typical of the rhombohedral (110) texture, as confirmed by X-ray diffraction. For T_d_e_p above 80 °C, a different microstructure appears, characterized by concentric triangular shapes corresponding to the trigonal (111) texture. Temperature dependence of the resistivity shows a transition from a semiconductor-like behavior for films deposited at room temperature to a metallic-like behavior for T_d_e_p above 80 °C. From magnetoresistance measurements between room temperature and 1.6 K, we extract the electron and hole mobilities, concentrations, and mean free paths, which allow to draw a complete picture of the transport properties of both types of films.

  5. Elevated transition temperature in Ge doped VO2 thin films

    Science.gov (United States)

    Krammer, Anna; Magrez, Arnaud; Vitale, Wolfgang A.; Mocny, Piotr; Jeanneret, Patrick; Guibert, Edouard; Whitlow, Harry J.; Ionescu, Adrian M.; Schüler, Andreas

    2017-07-01

    Thermochromic GexV1-xO2+y thin films have been deposited on Si (100) substrates by means of reactive magnetron sputtering. The films were then characterized by Rutherford backscattering spectrometry (RBS), four-point probe electrical resistivity measurements, X-ray diffraction, and atomic force microscopy. From the temperature dependent resistivity measurements, the effect of Ge doping on the semiconductor-to-metal phase transition in vanadium oxide thin films was investigated. The transition temperature was shown to increase significantly upon Ge doping (˜95 °C), while the hysteresis width and resistivity contrast gradually decreased. The precise Ge concentration and the film thickness have been determined by RBS. The crystallinity of phase-pure VO2 monoclinic films was confirmed by XRD. These findings make the use of vanadium dioxide thin films in solar and electronic device applications—where higher critical temperatures than 68 °C of pristine VO2 are needed—a viable and promising solution.

  6. Structural and optical properties of Ag-doped copper oxide thin films on polyethylene napthalate substrate prepared by low temperature microwave annealing

    Energy Technology Data Exchange (ETDEWEB)

    Das, Sayantan; Alford, T. L. [Department of Chemistry and Biochemistry, Arizona State University, Tempe, Arizona 85287, USA and School for Engineering of Matter, Transport and Energy, Arizona State University, Tempe, Arizona 85287 (United States)

    2013-06-28

    Silver doped cupric oxide thin films are prepared on polyethylene naphthalate (flexible polymer) substrates. Thin films Ag-doped CuO are deposited on the substrate by co-sputtering followed by microwave assisted oxidation of the metal films. The low temperature tolerance of the polymer substrates led to the search for innovative low temperature processing techniques. Cupric oxide is a p-type semiconductor with an indirect band gap and is used as selective absorption layer solar cells. X-ray diffraction identifies the CuO phases. Rutherford backscattering spectrometry measurements confirm the stoichiometry of each copper oxide formed. The surface morphology is determined by atomic force microscopy. The microstructural properties such as crystallite size and the microstrain for (-111) and (111) planes are calculated and discussed. Incorporation of Ag led to the lowering of band gap in CuO. Consequently, it is determined that Ag addition has a strong effect on the structural, morphological, surface, and optical properties of CuO grown on flexible substrates by microwave annealing. Tauc's plot is used to determine the optical band gap of CuO and Ag doped CuO films. The values of the indirect and direct band gap for CuO are found to be 2.02 eV and 3.19 eV, respectively.

  7. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  8. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, C.V. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)]. E-mail: ramanacv@umich.edu; Atuchin, V.V. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Technical Centre, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kochubey, V.A. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Pokrovsky, L.D. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Shutthanandan, V. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Becker, U. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States); Ewing, R.C. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of an argon-oxygen gas mixture under varying conditions of substrate temperature (T {sub s}) and oxygen partial pressure (pO{sub 2}). The effect of T {sub s} and pO{sub 2} on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy-dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of T {sub s} and pO{sub 2} on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 deg. C under 62.3% O{sub 2} pressure were stoichiometric and polycrystalline MoO{sub 3}. Films grown at lower pO{sub 2} were non-stoichiometric MoO {sub x} films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO{sub 3} films.

  9. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  10. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Huang, Tzu-Teng

    2013-01-01

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm −2 ) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm −2 ) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm 2 had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10 3 Ω cm) was lower than that of TA thin films (1.39 × 10 4 Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films

  11. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Tsay, Chien-Yie, E-mail: cytsay@fcu.edu.tw; Huang, Tzu-Teng

    2013-06-15

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm{sup −2}) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm{sup −2}) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm{sup 2} had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10{sup 3} Ω cm) was lower than that of TA thin films (1.39 × 10{sup 4} Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films.

  12. Thermoelectric effects of amorphous Ga-Sn-O thin film

    Science.gov (United States)

    Matsuda, Tokiyoshi; Uenuma, Mutsunori; Kimura, Mutsumi

    2017-07-01

    The thermoelectric effects of an amorphous Ga-Sn-O (a-GTO) thin film have been evaluated as a physical parameter of a novel oxide semiconductor. Currently, a-GTO thin films are greatly desired not only because they do not contain rare metals and are therefore free from problems on the exhaustion of resources and the increase in cost but also because their initial characteristics and performance stabilities are excellent when they are used in thin-film transistors. In this study, an a-GTO thin film was deposited on a quartz substrate by RF magnetron sputtering and postannealing was performed in air at 350 °C for 1 h using an annealing furnace. The Seebeck coefficient and electrical conductivity of the a-GTO thin film were -137 µV/K and 31.8 S/cm at room temperature, and -183 µV/K and 43.8 S/cm at 397 K, respectively, and as a result, the power factor was 1.47 µW/(cm·K2) at 397 K; these values were roughly as high as those of amorphous In-Ga-Zn-O (a-IGZO) thin films. Therefore, a-GTO thin films will be a candidate material for thermoelectric devices fabricated in a large area at a low cost by controlling the carrier mobility, carrier density, device structures, and so forth.

  13. Thermoluminescent characterization of thin films of aluminium oxide submitted to beta and gamma radiation

    International Nuclear Information System (INIS)

    Villagran, E.; Escobar A, L.; Camps, E.; Gonzalez, P.R.; Martinez A, L.

    2002-01-01

    By mean of the laser ablation technique, thin films of aluminium oxide have been deposited on kapton substrates. These films present thermoluminescent response (Tl) when they are exposed to beta and gamma radiation. The brilliance curves show two peaks between 112 C and 180 C. A dose-response relationship study was realized and the Tl kinetic parameters were determined using the computerized deconvolution of the brilliance curve (CGCD). The thin films of aluminium oxide have potential applications as ultra.thin radiation dosemeters. (Author)

  14. Electrical characterization of reduced graphene oxide (rGO) on organic thin film transistor (OTFT)

    Science.gov (United States)

    Musa, Nurhazwani; Halim, Nurul Farhanah Ab.; Ahmad, Mohd Noor; Zakaria, Zulkhairi; Hashim, Uda

    2017-03-01

    A green method and eco-friendly solution were used to chemically reduce graphene oxide (GO) to graphene using green reductant. In this study, graphene oxide (GO) were prepared by using Tours method. Then, reduced graphene oxides (rGO) were prepared by using three typical reduction agents: L-ascorbic acid (L-AA), formamidinesulfinic acid (FAS) and sodium sulfite (Na2SO3). The reduced materials were characterized by Fourier transform infrared spectroscopy (FTIR), Thermo gravimetric analysis (TGA) and X-ray diffraction (XRD). Graphene based organic thin film transistor (G-OTFT) was prepared by a spin coating and thermal evaporation technique. The electrical characterization of G-OTFT was analyzed by using semiconductor parameter analyzer (SPA). The G-OTFT devices show p-type semiconducting behaviour. This article focuses on the synthesis and reduction of graphene oxide using three different reductants in order to maximise its electrical conductivity. The rGO product demonstrated a good electrical conductivity performance with highly sensitivity sensor.

  15. Thin Film Photovoltaic/Thermal Solar Panels

    Institute of Scientific and Technical Information of China (English)

    David JOHNSTON

    2008-01-01

    A solar panel is described.in which thin films of semiconductor are deposited onto a metal substrate.The semiconductor-metal combination forms a thin film photovoltaic cell,and also acts as a reflector,absorber tandem, which acts as a solar selective surface,thus enhancing the solar thermal performance of the collector plate.The use of thin films reduces the distance heat is required to flow from the absorbing surface to the metal plate and heat exchange conduits.Computer modelling demonstrated that,by suitable choice of materials,photovohaic efficiency call be maintained,with thermal performance slishtly reduced,compared to that for thermal-only panels.By grading the absorber layer-to reduce the band gap in the lower region-the thermal performance can be improved,approaching that for a thermal-only solar panel.

  16. Formation of VO{sub 2} by rapid thermal annealing and cooling of sputtered vanadium thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ba, Cheikhou O. F., E-mail: cheikhou.ba.1@ulaval.ca; Fortin, Vincent; Bah, Souleymane T.; Vallée, Réal [Centre d' optique, photonique et laser (COPL), Université Laval, Québec G1V 0A6 (Canada); Pandurang, Ashrit [Thin Films and Photonics Research Group (GCMP), Department of Physics and Astronomy, Université de Moncton, Moncton, New Brunswick E1A 3E9 (Canada)

    2016-05-15

    Sputtered vanadium-rich films were subjected to rapid thermal annealing-cooling (RTAC) in air to produce vanadium dioxide (VO{sub 2}) thin films with thermochromic switching behavior. High heating and cooling rates in the thermal oxidation process provided an increased ability to control the film's microstructure. X-ray diffraction patterns of the films revealed less intense VO{sub 2} peaks compared to traditional polycrystalline samples fabricated with a standard (slower) cooling time. Such films also exhibit a high optical switching reflectance contrast, unlike the traditional polycrystalline VO{sub 2} thin films, which show a more pronounced transmittance switching. The authors find that the RTAC process stabilizes the VO{sub 2} (M2) metastable phase, enabling a rutile-semiconductor phase transition (R-M2), followed by a semiconductor–semiconductor phase transition (M2-M1).

  17. LaF3 thin films as chemically sensitive material for semiconductor sensors

    International Nuclear Information System (INIS)

    Szeponik, J.; Moritz, W.; Sellam, F.

    1991-01-01

    A new kind of semiconductor based fluoride sensor was prepared by growing thin polycrystalline LaF 3 films directly on silicon substrates using vacuum vapour deposition technique. The EICS (Electrolyte Ion Conductor Semiconductor) structure was investigated by means of impedance spectroscopy, C-V measurements and exchange measurements with labeled ions ( 18 F). Whereas charge and potential conditions at the LaF 3 /electrolyte interface are governed by the fast fluoride exchange the LaF 3 bulk and the blocked Si/LaF 3 interface determine the electrical behavior. Although the Si/LaF 3 contact is not reversible the potential stability of the EICS structure is surprisingly high. Additional results at epitaxial LaF 3 layers, prepared by MBE, were taken into account for comparision with those at polycrystalline layers. (orig.)

  18. Room temperature deposition of magnetite thin films on organic substrate

    International Nuclear Information System (INIS)

    Arisi, E.; Bergenti, I.; Cavallini, M.; Murgia, M.; Riminucci, A.; Ruani, G.; Dediu, V.

    2007-01-01

    We report on the growth of magnetite films directly on thin layers of organic semiconductors by means of an electron beam ablation method. The deposition was performed at room temperature in a reactive plasma atmosphere. Thin films show ferromagnetic (FM) hysteresis loops and coercive fields of hundreds of Oersted. Micro Raman analysis indicates no presence of spurious phases. The morphology of the magnetite film is strongly influenced by the morphology of the underlayer of the organic semiconductor. These results open the way for the application of magnetite thin films in the field of organic spintronics

  19. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  20. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. thin films

    Indian Academy of Sciences (India)

    microscopy (SEM) studies, respectively. The Fourier transform ... Thin films; chemical synthesis; hydrous tin oxide; FTIR; electrical properties. 1. Introduction ... dehydrogenation of organic compounds (Hattori et al 1987). .... SEM images of (a) bare stainless steel and (b) SnO2:H2O thin film on stainless steel substrate at a ...

  2. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  3. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    Science.gov (United States)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  4. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  5. Cathodic arc sputtering of functional titanium oxide thin films, demonstrating resistive switching

    Energy Technology Data Exchange (ETDEWEB)

    Shvets, Petr, E-mail: pshvets@innopark.kantiana.ru; Maksimova, Ksenia; Demin, Maxim; Dikaya, Olga; Goikhman, Alexander

    2017-05-15

    The formation of thin films of the different stable and metastable titanium oxide phases is demonstrated by cathode arc sputtering of a titanium target in an oxygen atmosphere. We also show that sputtering of titanium in vacuum yields the formation of titanium silicides on the silicon substrate. The crystal structure of the produced samples was investigated using Raman spectroscopy and X-ray diffraction. We conclude that cathode arc sputtering is a flexible method suitable for producing the functional films for electronic applications. The functionality is verified by the memory effect demonstration, based on the resistive switching in the titanium oxide thin film structure.

  6. Electrical properties of thermally evaporated nickel-dimethylglyoxime thin films

    Science.gov (United States)

    Dakhel, A. A.; Ali-Mohamed Ahmed, Y.

    2005-06-01

    Thin Bis-(dimethylglyoximato)nickel(II) [Ni(DMG)2] films of amorphous and crystalline structures were prepared by vacuum deposition on Si (P) substrates. The films were characterised by X-ray fluorescence and X-ray diffraction. The constructed Al/Ni(DMG)2/Si(P) metal-insulator-semiconductor devices were characterised by the measurement of the gate-voltage dependence of their capacitance and ac conductance, from which the surface states density Dit of insulator/semiconductor interface and the density of the fixed charges in the oxide were determined. The ac electrical conduction and dielectric properties of the Ni(DMG)2-Silicon structure were studied at room temperature. The data of the ac measurements of the annealed films follow the correlated barrier-hopping CBH mode, from which the fundamental absorption bandgap, the minimum hopping distance, and other parameters of the model were determined.

  7. Degradation of zinc oxide thin films in aqueous environment. Pt. II. Coated films

    Energy Technology Data Exchange (ETDEWEB)

    Rosa, L. de; Mitton, D.B.; Monetta, T.; Bellucci, F. [Naples Univ. (Italy). Dept. of Materials and Production Engineering; Springer, J. [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), Stuttgart (Germany)

    2001-12-01

    cn Part I of this research, the degradation mechanism of two different bare ZnO thin films was assessed. Degradation of the electrical properties of ZnO as well as changes in morphology were observed for both films. In the current paper, the degradation of zinc oxide thin films coated with protective acrylic paint is addressed during exposure to (i) an aqueous 3.5% NaCl solution at 85 C and (ii) a standard damp heat test at 85% R.H. and 85 C. Electrical and electrochemical techniques were employed to monitor zinc oxide degradation during exposure to the test environments. Electrochemical Impedance Spectroscopy was employed to investigate the delamination phenomena at the ZnO/coating interface and a simple equivalent circuit was developed to quantitatively measure the delamination ratio. The effect of different silane based adhesion promoters (glycidil-oxypropyl-trimethoxy-silane and aminopropyl-trimethoxy-silane) was also investigated. (orig.)

  8. Synthesis of Cu2ZnSnS4 thin films by a precursor solution paste for thin film solar cell applications.

    Science.gov (United States)

    Cho, Jin Woo; Ismail, Agus; Park, Se Jin; Kim, Woong; Yoon, Sungho; Min, Byoung Koun

    2013-05-22

    Cu2ZnSnS4 (CZTS) is a very promising semiconductor material when used for the absorber layer of thin film solar cells because it consists of only abundant and inexpensive elements. In addition, a low-cost solution process is applicable to the preparation of CZTS absorber films, which reduces the cost when this film is used for the production of thin film solar cells. To fabricate solution-processed CZTS thin film using an easily scalable and relatively safe method, we suggest a precursor solution paste coating method with a two-step heating process (oxidation and sulfurization). The synthesized CZTS film was observed to be composed of grains of a size of ~300 nm, showing an overall densely packed morphology with some pores and voids. A solar cell device with this film as an absorber layer showed the highest efficiency of 3.02% with an open circuit voltage of 556 mV, a short current density of 13.5 mA/cm(2), and a fill factor of 40.3%. We also noted the existence of Cd moieties and an inhomogeneous Zn distribution in the CZTS film, which may have been triggered by the presence of pores and voids in the CZTS film.

  9. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  10. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  11. Dithienocoronenediimide-based copolymers as novel ambipolar semiconductors for organic thin-film transistors.

    Science.gov (United States)

    Usta, Hakan; Newman, Christopher; Chen, Zhihua; Facchetti, Antonio

    2012-07-17

    A new class of ambipolar donor-acceptor π-conjugated polymers based on a dithienocoronenediimide core is presented. Solution-processed top-gate/bottom-contact thin film transistors (TFTs) exhibit electron and hole mobilities of up to 0.30 cm(2)/V·s and 0.04 cm(2)/V·s, respectively, which are the highest reported to date for an ambipolar polymer in ambient conditions. The polymers presented here are the first examples of coronenediimide-based semiconductors showing high organic TFT performances. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Oxide thin films for spintronics application growth and characterization

    OpenAIRE

    Popovici, Nicoleta, 1973-

    2009-01-01

    Tese de doutoramento, Física (Física), Universidade de Lisboa, Faculdade de Ciências, 2009 During my PhD research I have synthesized thin films of a material known as a diluted magnetic semiconductor (DMS) using the pulsed laser deposition (PLD) technique. This material is envisioned to be of importance in the emerging field of spintronics where both the charge and the spin of the carriers can be combined to yield unique functionalities. It was envisaged that if spin polarized charge carri...

  13. Study of thin metal films and oxide materials for nanoelectronics applications

    OpenAIRE

    De Los Santos Valladares, Luis

    2012-01-01

    Appendix A Pages 132-134 have been removed from this online version of the thesis for publisher copyright reasons. These had contained page images from the cover of Nanotechnology, Vol. 21, Nov 2010 and its corresponding web alert Different types of thin metal films and oxide materials are studied for their potential application in nanoelectronics: gold and copper films, nickel nanoelectrodes, oxide nanograin superconductors, carboxyl ferromagnetic microspheres and graphene oxide...

  14. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  15. Surface and sub-surface thermal oxidation of ruthenium thin films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    For next generation Extreme UV photolithography, multilayer coatings may require protective capping layers against surface contamination. Ruthenium, as a low-oxidation metal, is often used as a reference material. The oxidation behaviour of Ru thin films has been studied using X-ray reflectometry

  16. TiO 2 Thin Films Prepared via Adsorptive Self-Assembly for Self-Cleaning Applications

    KAUST Repository

    Xi, Baojuan

    2012-02-22

    Low-cost controllable solution-based processes for preparation of titanium oxide (TiO 2) thin films are highly desirable, because of many important applications of this oxide in catalytic decomposition of volatile organic compounds, advanced oxidation processes for wastewater and bactericidal treatments, self-cleaning window glass for green intelligent buildings, dye-sensitized solar cells, solid-state semiconductor metal-oxide solar cells, self-cleaning glass for photovoltaic devices, and general heterogeneous photocatalysis for fine chemicals etc. In this work, we develop a solution-based adsorptive self-assembly approach to fabricate anatase TiO 2 thin films on different glass substrates such as simple plane glass and patterned glass at variable compositions (normal soda lime glass or solar-grade borofloat glass). By tuning the number of process cycles (i.e., adsorption-then-heating) of TiO 2 colloidal suspension, we could facilely prepare large-area TiO 2 films at a desired thickness and with uniform crystallite morphology. Moreover, our as-prepared nanostructured TiO 2 thin films on glass substrates do not cause deterioration in optical transmission of glass; instead, they improve optical performance of commercial solar cells over a wide range of incident angles of light. Our as-prepared anatase TiO 2 thin films also display superhydrophilicity and excellent photocatalytic activity for self-cleaning application. For example, our investigation of photocatalytic degradation of methyl orange indicates that these thin films are indeed highly effective, in comparison to other commercial TiO 2 thin films under identical testing conditions. © 2012 American Chemical Society.

  17. Micro-patterned ZnO semiconductors for high performance thin film transistors via chemical imprinting with a PDMS stamp.

    Science.gov (United States)

    Seong, Kieun; Kim, Kyongjun; Park, Si Yun; Kim, Youn Sang

    2013-04-07

    Chemical imprinting was conducted on ZnO semiconductor films via a chemical reaction at the contact regions between a micro-patterned PDMS stamp and ZnO films. In addition, we applied the chemical imprinting on Li doped ZnO thin films for high performance TFTs fabrication. The representative micro-patterned Li doped ZnO TFTs showed a field effect mobility of 4.2 cm(2) V(-1) s(-1) after sintering at 300 °C.

  18. Indium Sulfide and Indium Oxide Thin Films Spin-Coated from Triethylammonium Indium Thioacetate Precursor for n-Channel Thin Film Transistor

    Energy Technology Data Exchange (ETDEWEB)

    Tung, Duy Dao; Jeong, Hyun Dam [Chonnam Natioal University, Gwangju (Korea, Republic of)

    2014-09-15

    The In{sub 2}S{sub 3} thin films of tetragonal structure and In{sub 2}O{sub 3} films of cubic structure were synthesized by a spin coating method from the organometallic compound precursor triethylammonium indium thioacetate ([(Et){sub 3}NH]+ [In(SCOCH{sub 3}){sub 4}]''-; TEA-InTAA). In order to determine the electron mobility of the spin-coated TEA-InTAA films, thin film transistors (TFTs) with an inverted structure using a gate dielectric of thermal oxide (SiO{sub 2}) was fabricated. These devices exhibited n-channel TFT characteristics with a field-effect electron mobility of 10.1 cm''2 V''-1s''-1 at a curing temperature of 500 o C, indicating that the semiconducting thin film material is applicable for use in low-cost, solution-processed printable electronics.

  19. Photosensitive srtuctures on the basis of Pb1-xMnxTe semimagnetic semiconductors thin films

    International Nuclear Information System (INIS)

    Mehrabova, M.A.; Kerimova, T.I.; Memishova, R.M.; Nuriyev, I.R.; Ismayilov, T.G.

    2010-01-01

    Full text : Narrow-band semimagnetic semiconductors (SMS) Pb 1 -xMn x Te are unique materials for infrared optoelectronics. The investigation of Faraday effect in Pb 1 -xMn x Te thin films of SMS is of a special interest. So it can be used at the construction of optic isolators, amplifiers, IR detectors and other equipments. In the given work Pb 1 -xMn x Te thin films (SMS) have been produced, the interband Faraday effect in these semiconductors has been theoretically and experimentally studied. Opportunities of making IR detectors have been studied. Pb 1 -xMn x Te thin films have been grown at BaF 2 substrates by the method of molecular beam condensation. The optimal conditions of producing thin films with high crystallic perfection, electrophysical and optical parameters have been determined. The energy spectrum and wave functions have been theoretically calculated for quantum-sized films of Pb 1 -xMn x Te SMS in the case when the surface of the film is perpendicular to the axis X and the spin-spin exchange interaction occurs between the electrons in the conductivity band (valence band) and the electrons of half-filled d-shells of manganese ions as well as taking into account electron spins and the band nonparabolicity. For the calculation of the spectra and wave functions double-band Kane model has been used. On the basis of the found formulae an analytical equation has been found out for interband Faraday rotation (IFR) depending on the energy of the incident photon, band gap and the film thickness. It has been shown that by the decrease in the band gap the value of the IFR angle increases too. The formula of parabolic approximation has been also obtained. The dependence of IFR angle on photon energy, band gap and the film thickness has been built. It has been specified that the decrease of the film thickness leads to a strong increase of IFR angle. The contribution of nonparabolicity into IFR angle has been established, so taking into consideration the band

  20. Defect-mediated magnetism of transition metal doped zinc oxide thin films

    Science.gov (United States)

    Roberts, Bradley Kirk

    Magnetism in transition metal doped wide band-gap materials is of interest to further the fundamental science of materials and future spintronics applications. Large inter-dopant separations require mediation of ferromagnetism by some method; carrier-mediated mechanisms are typically applicable to dilute magnetic semiconductors with low Curie temperatures. Dilute magnetic oxides, commonly with poor conductivity and TC above room temperature, cannot be described within this theory. Recent experiment and theory developments suggest that ferromagnetic exchange in these materials can be mediated by defects. This research includes experimental results justifying and developing this approach. Thin films of Cr doped ZnO (band gap ˜3.3 eV) were deposited with several processing variations to enhance the effects of either 0-dimensional (vacancy, hydrogen-related defect) or two-dimensional defects (surface/interface) and thereby affect magnetism and conductivity. We observe surface magnetism in dielectric thin films of oxygen-saturated ZnO:Cr with spontaneous magnetic moment and conductance dropping approximately exponentially with increasing thickness. Uniform defect concentrations would not result in such magnetic ordering behavior indicating that magnetism is mediated either by surface defects or differing concentrations of point defects near the surface. Polarized neutron reflectivity profiling confirms a magnetically active region of ˜8 nm at the film surface. Hydrogen is notoriously present as a defect and carrier dopant in ZnO, and artificial introduction of hydrogen in dielectric ZnO:Cr films results in varying electronic and magnetic behavior. Free carriers introduced with hydrogen doping are not spin-polarized requiring an alternative explanation for ferromagnetism. We find from positron annihilation spectroscopy measurements that hydrogen doping increases the concentration of an altered VZn-related defect (a preliminary interpretation) throughout the film, which

  1. Hard X-ray photoemission spectroscopy of transition-metal oxide thin films and interfaces

    International Nuclear Information System (INIS)

    Wadati, H.; Fujimori, A.

    2013-01-01

    Highlights: •Photoemission spectroscopy is a powerful technique to study the electronic structures of transition-metal oxides. •Hard X-ray photoemission spectroscopy (HXPES) is a new type of photoemission spectroscopy which can probe bulk states. •HXPES is very suitable for studying oxide thin films such as the composition dependence and the film thickness dependence. -- Abstract: Photoemission spectroscopy is a powerful experimental technique to study the electronic structures of solids, especially of transition-metal oxides. Recently, hard X-ray photoemission spectroscopy (HXPES) has emerged as a more relevant experimental technique to obtain clear information about bulk states. Here, we describe how HXPES can be conveniently applied to study the interesting subjects on oxide thin films such as the composition dependence and the film thickness dependence of the electronic structures and the interfacial electronic structure of multilayers

  2. Indium tin oxide thin film strain gages for use at elevated temperatures

    Science.gov (United States)

    Luo, Qing

    A robust ceramic thin film strain gage based on indium-tin-oxide (ITO) has been developed for static and dynamic strain measurements in advanced propulsion systems at temperatures up to 1400°C. These thin film sensors are ideally suited for in-situ strain measurement in harsh environments such as those encountered in the hot sections of gas turbine engines. A novel self-compensation scheme was developed using thin film platinum resistors placed in series with the active strain element (ITO) to minimize the thermal effect of strain or apparent strain. A mathematical model as well as design rules were developed for the self-compensated circuitry using this approach and close agreement between the model and actual static strain results has been achieved. High frequency dynamic strain tests were performed at temperatures up to 500°C and at frequencies up to 2000Hz to simulate conditions that would be encountered during engine vibration fatigue. The results indicated that the sensors could survive extreme test conditions while maintaining sensitivity. A reversible change in sign of the piezoresistive response from -G to +G was observed in the vicinity of 950°C, suggesting that the change carrier responsible for conduction in the ITO gage had been converted from a net "n-carrier" to a net "p-carrier" semiconductor. Electron spectroscopy for chemical analysis (ESCA) of the ITO films suggested they experienced an interfacial reaction with the Al2O3 substrate at 1400°C. It is likely that oxygen uptake from the substrate is responsible for stabilizing the ITO films to elevated temperatures through the interfacial reaction. Thermo gravimetric analysis of ITO films on alumina at elevated temperatures showed no sublimation of ITO films at temperature up to 1400°C. The surface morphology of ITO films heated to 800, 1200 and 1400°C were also evaluated by atomic force microscopy (AFM). A linear current-voltage (I--V) characteristic indicated that the contact interface

  3. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    OpenAIRE

    Ruijin Hong; Jialin Ji; Chunxian Tao; Daohua Zhang; Dawei Zhang

    2017-01-01

    Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO) and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD), optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B ...

  4. Study on the Preparation and Properties of Colored Iron Oxide Thin Films

    International Nuclear Information System (INIS)

    Zhao Xianhui; Li Changhong; Liu Qiuping; He Junjing; Wang Hai; Liang Song; Duan Yandong; Liu Su

    2013-01-01

    Colored iron oxide thin films were prepared using Sol-gel technique. The raw materials were tetraethyl orthosilicate (TEOS), etoh ehanol (EtOH), iron nitrate, and de-ionized water. Various properties were measured and analysed, including the colour of thin films, surface topography, UV-Visible spectra, corrosion resistance and hydrophobicity. To understand how these properties influenced the structural and optical properties of Fe 2 O 3 thin films, Scanning Electron Microscope (SEM), UV Spectrophotometer and other facilities were employed. Many parameters influence the performance of thin films, such as film layers, added H 2 O content, and the amount of polydimethylsiloxane (PDMS). When the volume ratio of TEOS, EtOH and H 2 O was 15: 13: 1, the quality of Fe(NO 3 ) 3 ·9H 2 O was 6g, and pH value was 3, reddish and uniform Fe 2 O 3 thin films with excellent properties were produced. Obtained thin films possessed corrosion resistance in hydrochloric acid with pH=l and the absorption edge wavelength was ∼350.2nm. Different H 2 O contents could result in different morphologies of Fe 2 O 3 nanoparticles. When 1.5 ml PDMS was added into the Sol, thin films possessed hydrophobiliry without dropping. Coating with different layers, thin films appeared different morphologies. Meanwhile, with the increment of film layers, the absorbance increased gradually.

  5. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  6. Voltage transients in thin-film InSb Hall sensor

    Directory of Open Access Journals (Sweden)

    Alexey Bardin

    Full Text Available The work is reached to study temperature transients in thin-film Hall sensors. We experimentally study InSb thin-film Hall sensor. We find transients of voltage with amplitude about 10 μV on the sensor ports after current switching. We demonstrate by direct measurements that the transients is caused by thermo-e.m.f., and both non-stationarity and heterogeneity of temperature in the film. We find significant asymmetry of temperature field for different direction of the current, which is probably related to Peltier effect. The result can be useful for wide range of scientist who works with switching of high density currents in any thin semiconductor films. 2000 MSC: 41A05, 41A10, 65D05, 65D17, Keywords: Thin-films, Semiconductors, Hall sensor, InSb, thermo-e.m.f.

  7. Dry lithography of large-area, thin-film organic semiconductors using frozen CO(2) resists.

    Science.gov (United States)

    Bahlke, Matthias E; Mendoza, Hiroshi A; Ashall, Daniel T; Yin, Allen S; Baldo, Marc A

    2012-12-04

    To address the incompatibility of organic semiconductors with traditional photolithography, an inert, frozen CO(2) resist is demonstrated that forms an in situ shadow mask. Contact with a room-temperature micro-featured stamp is used to pattern the resist. After thin film deposition, the remaining CO(2) is sublimed to lift off unwanted material. Pixel densities of 325 pixels-per-inch are shown. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    Science.gov (United States)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  9. The Microstructures and Electrical Resistivity of (Al, Cr, TiFeCoNiOx High-Entropy Alloy Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Chun-Huei Tsau

    2015-01-01

    Full Text Available The (Al, Cr, TiFeCoNi alloy thin films were deposited by PVD and using the equimolar targets with same compositions from the concept of high-entropy alloys. The thin films became metal oxide films after annealing at vacuum furnace for a period; and the resistivity of these thin films decreased sharply. After optimum annealing treatment, the lowest resistivity of the FeCoNiOx, CrFeCoNiOx, AlFeCoNiOx, and TiFeCoNiOx films was 22, 42, 18, and 35 μΩ-cm, respectively. This value is close to that of most of the metallic alloys. This phenomenon was caused by delaminating of the alloy oxide thin films because the oxidation was from the surfaces of the thin films. The low resistivity of these oxide films was contributed to the nonfully oxidized elements in the bottom layers and also vanishing of the defects during annealing.

  10. Introduction to thin film transistors physics and technology of TFTs

    CERN Document Server

    Brotherton, S D

    2013-01-01

    Introduction to Thin Film Transistors reviews the operation, application, and technology of the main classes of thin film transistor (TFT) of current interest for large area electronics. The TFT materials covered include hydrogenated amorphous silicon (a-Si:H), poly-crystalline silicon (poly-Si), transparent amorphous oxide semiconductors (AOS), and organic semiconductors. The large scale manufacturing of a-Si:H TFTs forms the basis of the active matrix flat panel display industry. Poly-Si TFTs facilitate the integration of electronic circuits into portable active matrix liquid crystal displays, and are increasingly used in active matrix organic light emitting diode (AMOLED) displays for smart phones. The recently developed AOS TFTs are seen as an alternative option to poly-Si and a-Si:H for AMOLED TV and large AMLCD TV applications, respectively. The organic TFTs are regarded as a cost effective route into flexible electronics. As well as treating the highly divergent preparation and properties of these mat...

  11. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  12. Hafnium carbide formation in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)

    2016-06-20

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.

  13. Effect of Annealing on Tungsten Oxide Thin Films for Acetone Gas ...

    Indian Academy of Sciences (India)

    11

    Abstract: The gas sensing properties and topology of tungsten oxide thin films ..... Figure 3: Atomic force microscopy images of sensing film for (a) as-deposited (a) .... the surface, it forms compounds with the oxygen ions species present on the ...

  14. Sputtering materials for VLSI and thin film devices

    CERN Document Server

    Sarkar, Jaydeep

    2010-01-01

    An important resource for students, engineers and researchers working in the area of thin film deposition using physical vapor deposition (e.g. sputtering) for semiconductor, liquid crystal displays, high density recording media and photovoltaic device (e.g. thin film solar cell) manufacturing. This book also reviews microelectronics industry topics such as history of inventions and technology trends, recent developments in sputtering technologies, manufacturing steps that require sputtering of thin films, the properties of thin films and the role of sputtering target performance on overall p

  15. Transparent conductive zinc oxide basics and applications in thin film solar cells

    CERN Document Server

    Klein, Andreas; Rech, Bernd

    2008-01-01

    Zinc oxide (ZnO) belongs to the class of transparent conducting oxides which can be used as transparent electrodes in electronic devices or heated windows. In this book the material properties of, the deposition technologies for, and applications of zinc oxide in thin film solar cells are described in a comprehensive manner. Structural, morphological, optical and electronic properties of ZnO are treated in this review. The editors and authors of this book are specialists in deposition, analysis and fabrication of thin-film solar cells and especially of ZnO. This book is intended as an overview and a data collection for students, engineers and scientist.

  16. Effect of post annealing treatment on electrochromic properties of spray deposited niobium oxide thin films

    International Nuclear Information System (INIS)

    Mujawar, S.H.; Inamdar, A.I.; Betty, C.A.; Ganesan, V.; Patil, P.S.

    2007-01-01

    Niobium oxide thin films were deposited on the glass and fluorine doped tin oxide (FTO) coated glass substrates using simple and inexpensive spray pyrolysis technique. During deposition of the films various process parameters like nozzle to substrate distance, spray rate, concentration of sprayed solution were optimized to obtain well adherent and transparent films. The films prepared were further annealed and effect of post annealing on the structural, morphological, optical and electrochromic properties was studied. Structural and morphological characterizations of the films were carried out using scanning electron microscopy, atomic force microscopy and X-ray diffraction techniques. Electrochemical properties of the niobium oxide thin films were studied by using cyclic-voltammetry, chronoamperometry and chronocoulometry

  17. Experimental studies on the nonlinear dynamics of ferroelectric thin films and layered ferroelectricum/semiconductor structures in oscillating systems

    International Nuclear Information System (INIS)

    Barz, Kay

    2010-01-01

    In this work experimental techniques for characterization of ferroelectric nm-thin films and ferroelectric/semiconductor structures by means of nonlinear phenomena are discussed. The thin film sample is applied in a series resonant circuit. By recording time series data and amplitude-frequency-characteristics (resonance frequency shift), the nonlinear behavior can be analyzed with respect to the theoretical aspects of these effects in the framework of nonlinear dynamics. The evolving ferroelectric hysteresis is represented by the amplitude-frequency-characteristic in a very detailed form. Interpretations are presented on how transient alterations like fatigue or retention loss, affect the amplitude-frequency-characteristics. Time series analysis allows to separate the specific influence of the nonlinear components and their corresponding time constants. The work closes with suggestions for a systematic application of the presented techniques for an extended characterization of ferroelectric thin films. (orig.)

  18. Tungsten oxide thin films obtained by anodisation in low electrolyte concentration

    Energy Technology Data Exchange (ETDEWEB)

    Costa, Nadja B.D. da [Centro de Ciências Químicas, Farmacêuticas e de Alimentos, Universidade Federal de Pelotas, Campus Capão do Leão, s/n, Pelotas, RS (Brazil); Pazinato, Julia C.O. [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Sombrio, Guilherme; Pereira, Marcelo B.; Boudinov, Henri [Instituto de Física, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Gündel, André; Moreira, Eduardo C. [Universidade Federal do Pampa, Travessa 45, 1650 Bagé, RS (Brazil); Garcia, Irene T.S., E-mail: irene.garcia@ufrgs.br [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil)

    2015-03-02

    Tungsten oxide nanostructured films were grown on tungsten substrates by anodisation under a fixed voltage and with sodium fluoride as electrolyte. The effect of the anion chloride and the influence of the modifying agent disodium hydrogen phosphate in the tungsten oxide films were also investigated. The structural characterisation of the films was performed by scanning electron microscopy, atomic force microscopy and Raman spectroscopy. The band gap was determined through diffuse reflectance spectroscopy. The thin films were photoluminescent and emitted in the range of 300 to 630 nm when irradiated at 266 nm. The synthesised films efficiently degraded of methyl orange dye in the presence of hydrogen peroxide and 250 nm radiation. The modifying agent was responsible for the improvement of the photocatalytic activity. Films with similar photocatalytic performance were obtained when the system sodium fluoride and disodium hydrogen phosphate were replaced by sodium chloride. The porous structure and low band gap values were responsible for the photocatalytic behaviour. - Highlights: • Tungsten oxide thin films were obtained by anodisation of tungsten in aqueous media. • The performance of the NaCl, NaF and NaF/Na{sub 2}HPO{sub 4} as electrolytes was investigated. • The relation between structure and optical behaviour has been discussed. • Films obtained with NaCl and NaF/Na{sub 2}HPO{sub 4} present similar photocatalytic activity.

  19. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    Science.gov (United States)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  20. Achieving superior band gap, refractive index and morphology in composite oxide thin film systems violating the Moss rule

    International Nuclear Information System (INIS)

    Sahoo, N K; Thakur, S; Tokas, R B

    2006-01-01

    The interrelation between energy gap and high frequency refractive index in semiconductors and dielectrics is manifested by an inverse law which is popularly known as the Moss rule. This semi-empirical relationship is based on the fundamental principle that in a dielectric medium all energy levels are scaled down by a factor of the square of the dielectric constant. Such a rule is obeyed by most pure semiconductors and dielectrics with a few rare violations in composite materials which display several interesting parametric and microstructural evolutions. The present results are based on some specific oxide composite thin films involving Gd 2 O 3 /SiO 2 and ZrO 2 /SiO 2 codeposited systems that have displayed a superior refractive index and energy gaps violating the semi-empirical Moss rule. Also, morphological supremacy is also distinctly noticed in these composites. The novel microstructural and polarizability properties of such composite systems were probed through multi-mode atomic force microscopy and phase modulated spectroscopic ellipsometry using refractive index modelling, autocorrelation and height-height correlation functional analyses. These binary composite thin films have shown their potential as well as the possibility of meeting expectations in satisfying the challenging optical coating requirements of the deep ultraviolet spectral region

  1. Cholesterol biosensor based on rf sputtered zinc oxide nanoporous thin film

    International Nuclear Information System (INIS)

    Singh, S. P.; Arya, Sunil K.; Pandey, Pratibha; Malhotra, B. D.; Saha, Shibu; Sreenivas, K.; Gupta, Vinay

    2007-01-01

    Cholesterol oxidase (ChOx) has been immobilized onto zinc oxide (ZnO) nanoporous thin films grown on gold surface. A preferred c-axis oriented ZnO thin film with porous surface morphology has been fabricated by rf sputtering under high pressure. Optical studies and cyclic voltammetric measurements show that the ChOx/ZnO/Au bioelectrode is sensitive to the detection of cholesterol in 25-400 mg/dl range. A relatively low value of enzyme's kinetic parameter (Michaelis-Menten constant) ∼2.1 mM indicates enhanced enzyme affinity of ChOx to cholesterol. The observed results show promising application of nanoporous ZnO thin film for biosensing application without any functionalization

  2. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  3. Synthesis and characterization of zinc oxide thin films prepared by ...

    African Journals Online (AJOL)

    Zinc oxide thin films were prepared with ammonia/ammonium chloride buffer as the reaction moderating agent in the chemical bath deposition technique. An observable color change during the reaction due to variations in the reactants concentration indicated the existence of the cupric (CuO) and cuprous (Cu2O) oxides ...

  4. Fabrication and characterization of 6,13-bis(triisopropylsilylethynyl)-pentacene active semiconductor thin films prepared by flow-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Mohamad, Khairul Anuar; Rusnan, Fara Naila; Seria, Dzulfahmi Mohd Husin; Saad, Ismail; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia); Katsuhiro, Uesugi; Hisashi, Fukuda [Division of Engineering for Composite Functions, Muroran Institute of Technology 27-1 Mizumoto, Muroran 050-8585 Hokkaido (Japan)

    2015-08-28

    Investigation on the physical characterization and comparison of organic thin film based on a soluble 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene is reported. Oriented thin-films of pentacene have been successfully deposited by flow-coating method, in which the chloroform solution is sandwiched between a transparent substrate and a slide glass, followed by slow-drawing of the substrate with respect to the slide glass. Molecular orientation of flow-coated TIPS-pentacene is comparable to that of the thermal-evaporated pentacene thin film by the X-ray diffraction (XRD) results. XRD results showed that the morphology of flow-coated soluble pentacene is similar to that of the thermal-evaporated pentacene thin films in series of (00l) diffraction peaks where the (001) diffraction peaks are strongest in the nominally out-of-plane intensity and interplanar spacing located at approximately 2θ = 5.33° (d-spacing, d{sub 001} = 16 Å). Following that, ITO/p-TIPS-pentacene/n-ZnO/Au vertical diode was fabricated. The diode exhibited almost linear characteristics at low voltage with nonlinear characteristics at higher voltage which similar to a pn junction behavior. The results indicated that the TIPS-pentacene semiconductor active thin films can be used as a hole injection layer for fabrication of a vertical organic transistor.

  5. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  6. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  7. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  8. All solution-processed high-resolution bottom-contact transparent metal-oxide thin film transistors

    International Nuclear Information System (INIS)

    Park, Sung Kyu; Kim, Yong-Hoon; Han, Jeong-In

    2009-01-01

    We report all solution-processed high-resolution bottom-contact indium-gallium-zinc-oxide (IGZO) thin film transistors (TFTs) using a simple surface patterning and dip-casting process. High-resolution nanoparticulate Ag source/drain electrodes and a sol-gel processed IGZO semiconductor were deposited by a simple dip-casting along with a photoresist-free, non-relief-pattern lithographic process. The deposited Ag and IGZO solution can be steered into the desired hydrophilic areas by a low surface energy self-assembled monolayer, resulting in source/drain electrodes and semiconducting layer, respectively. The all solution-processed bottom-contact IGZO TFTs including a channel length of 10 μm typically showed a mobility range 0.05-0.2 cm 2 V -1 s -1 with an on/off ratio of more than 10 6 .

  9. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  11. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  12. TiFeCoNi oxide thin film - A new composition with extremely low electrical resistivity at room temperature

    International Nuclear Information System (INIS)

    Yang, Ya-Chu; Tsau, Chun-Huei; Yeh, Jien-Wei

    2011-01-01

    We show the electrical resistivity of a TiFeCoNi oxide thin film. The electrical resistivity of the TiFeCoNi thin film decreased sharply after a suitable period of oxidation at high temperature. The lowest resistivity of the TiFeCoNi oxide film was 35 ± 3 μΩ-cm. The low electrical resistivity of the TiFeCoNi oxide thin film was attributed to Ti, which is more reactive than the other elements, reacting with oxygen at the initial stage of annealing. The low resistivity is caused by the remaining electrons.

  13. Investigation of structural, morphological and electrical properties of APCVD vanadium oxide thin films

    International Nuclear Information System (INIS)

    Papadimitropoulos, Georgios; Trantalidis, Stelios; Tsiatouras, Athanasios; Vasilopoulou, Maria; Davazoglou, Dimitrios; Kostis, Ioannis

    2015-01-01

    Vanadium oxide films were chemically vapor deposited (CVD) on oxidized Si substrates covered with CVD tungsten (W) thin films and on glass substrates covered with indium tin oxide (ITO) films, using vanadium(V) oxy-tri-isopropoxide (C 9 H 21 O 4 V) vapors. X-ray diffraction (XRD) measurements showed that the deposited films were composed of a mixture of vanadium oxides; the composition was determined mainly by the deposition temperature and less by the precursor temperature. At temperatures up to 450 C the films were mostly composed by monoclinic VO 2 . Other peaks corresponding to various vanadium oxides were also observed. X-ray microanalysis confirmed the composition of the films. The surface morphology was studied with atomic force microscopy (AFM) and scanning electron microscopy (SEM). These measurements revealed that the morphology strongly depends on the used substrate and the deposition conditions. The well-known metal-insulator transition was observed near 75 C for films mostly composed by monoclinic VO 2 . Films deposited at 450 C exhibited two transitions one near 50 C and the other near 60 C possibly related to the presence of other vanadium phases or of important stresses in them. Finally, the vanadium oxide thin films exhibited significant sensory capabilities decreasing their resistance in the presence of hydrogen gas with response times in the order of a few seconds and working temperature at 40 C. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Al-Sn doped ZnO thin film nanosensor for monitoring NO2 concentration

    Directory of Open Access Journals (Sweden)

    G.S. Hikku

    2017-07-01

    Full Text Available The metal oxide semiconductor gas sensor technology is robust and has quick response times. In this work, aluminium and tin co-doped zinc oxide (ASZO thin films were synthesized by a sol–gel dip-coating process as sensors for the greenhouse gas nitrogen dioxide (NO2. The prepared ASZO thin films were characterized using such techniques as X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and photoluminescence (PL emission studies in order to analyze the elemental confirmation, particle size, surface roughness and optical emission properties, respectively. The XRD data reveals the hexagonal structure of ASZO and that the preferential orientation is along 2θ = 36.19°. SEM images of the ASZO thin film exhibit rod-like formations of ASZO on the substrate. The ASZO films show enhanced sensing behaviour, sensing NO2 gas even at 2 ppm at an operating temperature of 170 °C. The response and recovery times were determined to be 30 and 20 s, respectively.

  15. Superconducting oxide thin films by ion beam sputtering

    International Nuclear Information System (INIS)

    Kobrin, P.H.; DeNatale, J.F.; Housley, R.M.; Flintoff, J.F.; Harker, A.B.

    1987-01-01

    Superconducting thin films of ternary copper oxides from the Y-Ba-Cu-O and La-Sr-Cu-O systems have been deposited by ion beam sputtering of ceramic targets. Crystallographic orientation of the polycrystalline films has been shown to vary with substrate identity, deposition temperature and annealing temperature. The onset of the superconductive transition occurs near 90K in the Y-Ba-Cu-O system. Fe impurities of < 0.2% have been found to inhibit the superconducting transition, probably by migrating to the grain boundaries

  16. PHOTOSENSITIVE STRUCTURES ON THE BASIS OF THIN FILMS OF SEMIMAGNETIC SEMICONDUCTORS Pb1-x Mnx Te

    International Nuclear Information System (INIS)

    Mehrabova, M.A; Nuriyev, I.R; Ismayilov, T.G; Kerimova, T.I; Mamishova, R.M

    2011-01-01

    Full text: Narrow-band semimagnetic semiconductors (SMS) Pb1-xMnxTe are unique materials for infrared (IR) optoelectronics.The investigation of Faraday effect in Pb1-xMnxTe thin films of SMS is of a special interest. So it can be used at the construction of optic isolators, amplifiers, IR detectors and other equipments.In the given work Pb1-xMnxTe thin films (SMS) have been produced, the interband Faraday effect in these semiconductors has been theoretically and experimentally studied. Opportunities of making IR detectors have been studied. Pb1-xMnxTe (x=0.005 0.06) thin films have been grown at BaF2 substrates by the method of molecular beam condensation. The optimal conditions of producing thin films with high crystallic perfection, electrophysical and optical parameters have been determined [1]. The energy spectrum and wave functions have been theoretically calculated for quantum-sized films of Pb1-xMnxTe SMS in the case when the surface of the film is perpendicular to the axis X and the spin-spin exchange interaction occurs between the electrons in the conductivity band (valence band) and the electrons of half-filled d-shells of manganese ions as well as taking into account electron spins and the band nonparabolicity. For the calculation of the spectra and wave functions double-band Kane model has been used. On the basis of the found formulae an analytical equation has been found out for interband Faraday rotation (IFR) depending on the energy of the incident photon, band gap and the film thickness. It has been shown that by the decrease in the band gap the value of the IFR angle increases too [2]. The formula of parabolic approximation has been also obtained. The dependence of IFR angle on photon energy, band gap and the film thickness has been built. It has been specified that the decrease of the film thickness leads to a strong increase of IFR angle. The contribution of nonparabolicity into IFR angle has been established, so taking into consideration the

  17. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  18. Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A crystalline structure and a semiconductor device includes a substrate of a semiconductor-based material and a thin film of an anisotropic crystalline material epitaxially arranged upon the surface of the substrate so that the thin film couples to the underlying substrate and so that the geometries of substantially all of the unit cells of the thin film are arranged in a predisposed orientation relative to the substrate surface. The predisposition of the geometries of the unit cells of the thin film is responsible for a predisposed orientation of a directional-dependent quality, such as the dipole moment, of the unit cells. The predisposed orientation of the unit cell geometries are influenced by either a stressed or strained condition of the lattice at the interface between the thin film material and the substrate surface.

  19. Synthesis of thin films and materials utilizing a gaseous catalyst

    Science.gov (United States)

    Morse, Daniel E; Schwenzer, Birgit; Gomm, John R; Roth, Kristian M; Heiken, Brandon; Brutchey, Richard

    2013-10-29

    A method for the fabrication of nanostructured semiconducting, photoconductive, photovoltaic, optoelectronic and electrical battery thin films and materials at low temperature, with no molecular template and no organic contaminants. High-quality metal oxide semiconductor, photovoltaic and optoelectronic materials can be fabricated with nanometer-scale dimensions and high dopant densities through the use of low-temperature biologically inspired synthesis routes, without the use of any biological or biochemical templates.

  20. Recent advances in ZnO nanostructures and thin films for biosensor applications: Review

    International Nuclear Information System (INIS)

    Arya, Sunil K.; Saha, Shibu; Ramirez-Vick, Jaime E.; Gupta, Vinay; Bhansali, Shekhar; Singh, Surinder P.

    2012-01-01

    Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: ► This review highlights various approaches to synthesize ZnO nanostructures and thin films. ► Article highlights the importance of ZnO nanostructures as biosensor matrix. ► Article highlights the advances in various biosensors based on ZnO nanostructures. ► Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes ZnO nanostructures suitable candidate for future small integrated biosensor devices. This review

  1. Recent advances in ZnO nanostructures and thin films for biosensor applications: Review

    Energy Technology Data Exchange (ETDEWEB)

    Arya, Sunil K., E-mail: sunilarya333@gmail.com [Bioelectronics Program, Institute of Microelectronics, A-Star 11 Science Park Road, Singapore Science Park II, Singapore 117685 (Singapore); Saha, Shibu [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Ramirez-Vick, Jaime E. [Engineering Science and Materials Department, University of Puerto Rico, Mayaguez, PR 00681 (United States); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhansali, Shekhar [Department of Electrical and Computer Engineering, Florida International University, Miami, FL (United States); Singh, Surinder P., E-mail: singh.uprm@gmail.com [National Physical Laboratory, Dr K.S. Krishnan Marg, New Delhi 110012 (India)

    2012-08-06

    Graphical abstract: ZnO nanostructures have shown binding of biomolecules in desired orientation with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, their compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes them suitable candidate for future small integrated biosensor devices. This review highlights various approaches to synthesize ZnO nanostructures and thin films, and their applications in biosensor technology. Highlights: Black-Right-Pointing-Pointer This review highlights various approaches to synthesize ZnO nanostructures and thin films. Black-Right-Pointing-Pointer Article highlights the importance of ZnO nanostructures as biosensor matrix. Black-Right-Pointing-Pointer Article highlights the advances in various biosensors based on ZnO nanostructures. Black-Right-Pointing-Pointer Article describes the potential of ZnO based biosensor for new generation healthcare devices. - Abstract: Biosensors have shown great potential for health care and environmental monitoring. The performance of biosensors depends on their components, among which the matrix material, i.e., the layer between the recognition layer of biomolecule and transducer, plays a crucial role in defining the stability, sensitivity and shelf-life of a biosensor. Recently, zinc oxide (ZnO) nanostructures and thin films have attracted much interest as materials for biosensors due to their biocompatibility, chemical stability, high isoelectric point, electrochemical activity, high electron mobility, ease of synthesis by diverse methods and high surface-to-volume ratio. ZnO nanostructures have shown the binding of biomolecules in desired orientations with improved conformation and high biological activity, resulting in enhanced sensing characteristics. Furthermore, compatibility with complementary metal oxide semiconductor technology for constructing integrated circuits makes Zn

  2. Preparation and spectroscopic analysis of zinc oxide nanorod thin films of different thicknesses

    Directory of Open Access Journals (Sweden)

    Mia Nasrul Haque

    2017-10-01

    Full Text Available Zinc oxide thin films with different thicknesses were prepared on microscopic glass slides by sol-gel spin coating method, then hydrothermal process was applied to produce zinc oxide nanorod arrays. The nanorod thin films were characterized by various spectroscopic methods of analysis. From the images of field emission scanning electron microscope (FESEM, it was observed that for the film thickness up to 200 nm the formed nanorods with wurtzite hexagonal structure were uniformly distributed over the entire surface substrate. From X-ray diffraction analysis it was revealed that the thin films had good polycrystalline nature with highly preferred c-axis orientation along (0 0 2 plane. The optical characterization done by UV-Vis spectrometer showed that all the films had high transparency of 83 % to 96 % in the visible region and sharp cut off at ultraviolet region of electromagnetic spectrum. The band gap of the films decreased as their thickness increased. Energy dispersive X-ray spectroscopy (EDS showed the presence of zinc and oxygen elements in the films and Fourier transform infrared spectroscopy (FT-IR revealed the chemical composition of ZnO in the film.

  3. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    International Nuclear Information System (INIS)

    Panky, Sreedevi; Thandavan, Kavitha; Sivalingam, Durgajanani; Sethuraman, Swaminathan; Krishnan, Uma Maheswari; Jeyaprakash, Beri Gopalakrishnan; Rayappan, John Bosco Balaguru

    2013-01-01

    Nanostructured cerium oxide (CeO 2 ) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO 3 ) 3 ·6H 2 O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO 2 and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film to form the lipase/nano-CeO 2 /TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO 2 /TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film and hence the lipase/nano-CeO 2 /TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6

  4. Structure evolution of zinc oxide thin films deposited by unbalance DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aryanto, Didik, E-mail: didi027@lipi.go.id [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Marwoto, Putut; Sugianto [Physics Department, Faculty of Mathematics and Science, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Materials Research Group, Physics Department, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Sudiro, Toto [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); Birowosuto, Muhammad D. [Research Center for Physics, Indonesian Institute of Sciences, Serpong 15314, Tangerang Selatan (Indonesia); CINTRA UMI CNRS/NTU/THALES 3288 Research Techno Plaza, 50 Nanyang Drive, Border X Block, level 6, 637553 (Singapore); Sulhadi [Physics Department, Faculty of Mathematics and Science, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia)

    2016-04-19

    Zinc oxide (ZnO) thin films are deposited on corning glass substrates using unbalanced DC magnetron sputtering. The effect of growth temperature on surface morphology and crystallographic orientation of ZnO thin film is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The surface morphology and crystallographic orientation of ZnO thin film are transformed against the increasing of growth temperature. The mean grain size of film and the surface roughness are inversely and directly proportional towards the growth temperature from room temperature to 300 °C, respectively. The smaller grain size and finer roughness of ZnO thin film are obtained at growth temperature of 400 °C. The result of AFM analysis is in good agreement with the result of XRD analysis. ZnO thin films deposited in a series of growth temperatures have hexagonal wurtzite polycrystalline structures and they exhibit transformations in the crystallographic orientation. The results in this study reveal that the growth temperature strongly influences the surface morphology and crystallographic orientation of ZnO thin film.

  5. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  6. Differential reflectometry of thin film metal oxides on copper, tungsten, molybdenum and chromium

    International Nuclear Information System (INIS)

    Urban, F.K. III; Hummel, R.E.; Verink, E.D. Jr.

    1982-01-01

    A differential reflectometry study was undertaken to investigate the characteristics of thin oxide films on metal substrates. The oxides were produced by heating pure metals of copper, tungsten, molybdenum and chromium in dry oxygen. A new 'halfpolishing' technique was applied to obtain specimens with a step in oxide thickness in order to make them suitable for differential reflectometry. It was found that oxides formed this way yielded the same differential reflectograms as by electrochemical oxidation. A mathematical model involving the interaction of light with a thin corrosion product on metal substrates was applied to generate computer calculated differential reflectograms utilizing various optical constants and thicknesses of the assumed film. Three different thickness ranges have been identified. (a) For large film thicknesses, the differential reflectograms are distinguished by a sequence of interference peaks. (b) If the product of thickness and refraction index of the films is smaller than about 40 nm, no interference peaks are present. Any experimentally observed peaks in differential reflectograms of these films are caused entirely by electron interband transitions. (c) In an intermediate thickness range, superposition of interference and interband peaks are observed. (author)

  7. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  8. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    Science.gov (United States)

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  9. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  10. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    International Nuclear Information System (INIS)

    Yadav, Harish Kumar; Gupta, Vinay

    2012-01-01

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  11. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Harish Kumar; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2012-05-15

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  12. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    Directory of Open Access Journals (Sweden)

    Ruijin Hong

    2017-01-01

    Full Text Available Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD, optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B molecules based on the Au/graphene oxide/Ag sandwich nanostructure substrate were obviously enhanced due to the bimetal layer and GO layer with tunable absorption intensity and fluorescence quenching effects.

  13. Epitaxial Lift-Off of Centimeter-Scaled Spinel Ferrite Oxide Thin Films for Flexible Electronics.

    Science.gov (United States)

    Shen, Lvkang; Wu, Liang; Sheng, Quan; Ma, Chunrui; Zhang, Yong; Lu, Lu; Ma, Ji; Ma, Jing; Bian, Jihong; Yang, Yaodong; Chen, Aiping; Lu, Xiaoli; Liu, Ming; Wang, Hong; Jia, Chun-Lin

    2017-09-01

    Mechanical flexibility of electronic devices has attracted much attention from research due to the great demand in practical applications and rich commercial value. Integration of functional oxide materials in flexible polymer materials has proven an effective way to achieve flexibility of functional electronic devices. However, the chemical and mechanical incompatibilities at the interfaces of dissimilar materials make it still a big challenge to synthesize high-quality single-crystalline oxide thin film directly on flexible polymer substrates. This study reports an improved method that is employed to successfully transfer a centimeter-scaled single-crystalline LiFe 5 O 8 thin film on polyimide substrate. Structural characterizations show that the transferred films have essentially no difference in comparison with the as-grown films with respect to the microstructure. In particular, the transferred LiFe 5 O 8 films exhibit excellent magnetic properties under various mechanical bending statuses and show excellent fatigue properties during the bending cycle tests. These results demonstrate that the improved transfer method provides an effective way to compose single-crystalline functional oxide thin films onto flexible substrates for applications in flexible and wearable electronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Photoelectrocatrocatalytic hydrolysis of starch by using sprayed ZnO thin films

    International Nuclear Information System (INIS)

    Sapkal, R. T.; Shinde, S. S.; Rajpure, K.Y.; Bhosale, C. H.

    2013-01-01

    Thin films of zinc oxide have been deposited onto glass/FTO substrates at optimized 400 °C by using a chemical spray pyrolysis technique. Deposited films are character photocatalytic activity by using XRD, an SEM, a UV-vis spectrophotometer, and a PEC single-cell reactor. Films are polycrystalline and have a hexagonal (wurtzite) crystal structure with c-axis (002) orientation growth perpendicular to the substrate surface. The observed direct band gap is about 3.22 eV for typical films prepared at 400 °C. The photocatalytic activity of starch with a ZnO photocatalyst has been studied by using a novel photoelectrocatalytic process. (semiconductor materials)

  15. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    International Nuclear Information System (INIS)

    Adamian, A.Z.; Adamian, Z.N.; Aroutiounian, V.M.

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi 2 O 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold

  16. Adsorption smoke detector made of thin-film metal-oxide semiconductor sensor

    CERN Document Server

    Adamian, A Z; Aroutiounian, V M

    2001-01-01

    Based on results of investigations of the thin-film smoke sensors made of Bi sub 2 O sub 3 , irresponsive to a change in relative humidity of the environment, an absorption smoke detector processing circuit, where investigated sensor is used as a sensitive element, is proposed. It is shown that such smoke detector is able to function reliably under conditions of high relative humidity of the environment (up to 100%) and it considerably exceeds the known smoke detectors by the sensitivity threshold.

  17. An investigation of room temperature ''oxidized'' thin films of A1 for photovoltaic applications

    International Nuclear Information System (INIS)

    Adegboyega, G.A.

    1985-12-01

    Sheet resistance and transmittance changes of thin films of A1 evaporated in high vacuum were measured during sorption of oxygen at room atmosphere. An increase of both sheet resistance and transmittance with a tendency to saturation has been observed. Evaluation of various thicknesses of the films for possible use as transparent electrode material for photovoltaic applications shows that for very thin films ( = 200 A) the ''oxidized'' films are superior. (author)

  18. Structural and X-Ray Photoelectron Spectroscopy Study of Al-Doped Zinc-Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Bong Ju Lee

    2015-01-01

    Full Text Available Al-doped zinc-oxide (AZO thin films were prepared by RF magnetron sputtering at different oxygen partial pressures and substrate temperatures. The charge-carrier concentrations in the films decreased from 1.69 × 1021 to 6.16 × 1017 cm−3 with increased gas flow rate from 7 to 21 sccm. The X-ray diffraction (XRD patterns show that the (002/(103 peak-intensity ratio decreased as the gas flow rate increased, which was related to the increase of AZO thin film disorder. X-ray photoelectron spectra (XPS of the O1s were decomposed into metal oxide component (peak A and the adsorbed molecular oxygen on thin films (peak B. The area ratio of XPS peaks (A/B was clearly related to the stoichiometry of AZO films; that is, the higher value of A/B showed the higher stoichiometric properties.

  19. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  20. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Science.gov (United States)

    Yahia, I. S.; Jilani, Asim; Abutalib, M. M.; AlFaify, S.; Shkir, M.; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; El-Naggar, A. M.

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ(1), nonlinear optical susceptibility χ(3), nonlinear refractive index (n2) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  1. Oxide Semiconductor-Based Flexible Organic/Inorganic Hybrid Thin-Film Transistors Fabricated on Polydimethylsiloxane Elastomer.

    Science.gov (United States)

    Jung, Soon-Won; Choi, Jeong-Seon; Park, Jung Ho; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lim, Sang Chul; Lee, Sang Seok; Chu, Hye Yong

    2016-03-01

    We demonstrate flexible organic/inorganic hybrid thin-film transistors (TFTs) on a polydimethysilox- ane (PDMS) elastomer substrate. The active channel and gate insulator of the hybrid TFT are composed of In-Ga-Zn-O (IGZO) and blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF- TrFE)] with poly(methyl methacrylate) (PMMA), respectively. It has been confirmed that the fabri- cated TFT display excellent characteristics: the recorded field-effect mobility, sub-threshold voltage swing, and I(on)/I(off) ratio were approximately 0.35 cm2 V(-1) s(-1), 1.5 V/decade, and 10(4), respectively. These characteristics did not experience any degradation at a bending radius of 15 mm. These results correspond to the first demonstration of a hybrid-type TFT using an organic gate insulator/oxide semiconducting active channel structure fabricated on PDMS elastomer, and demonstrate the feasibility of a promising device in a flexible electronic system.

  2. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  3. X-ray analysis of spintronic semiconductor and half metal thin film systems; Roentgenstrukturuntersuchungen an spintronischen Halbleiter- und Halbmetall-Duennschichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Stahl, Andreas

    2010-07-01

    In this work the structural properties of spintronic semiconductor and halfmetalic thin-film systems were investigated. The layer thicknesses and interface roughnesses of the multi-layer systems were estimated by X-ray reflectivity measurements. The fits were performed using the software Fewlay which uses the Parratt formalism to calculate the reflectivities. The relaxation of the films was analyzed by reciprocal space mapping on preferably highly indexed Bragg reflexes. (orig.)

  4. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  5. A photoelectrochemical (PEC) study on graphene oxide based hematite thin films heterojunction (R-GO/Fe2O3)

    Science.gov (United States)

    Sharma, Poonam; Zachariah, Michael; Ehrman, Sheryl; Shrivastava, Rohit; Dass, Sahab; Satsangi, Vibha; Michael Zachariah, Sheryl Ehrman Collaboration; Rohit Shrivastava, Sahab Dass Collaboration; Vibha R Satsangi, Poonam Sharma Team

    2013-03-01

    Graphene has an excellent electronic conductivity, a high theoretical surface area of 2630 m2/g and excellent mechanical properties and, thus, is a promising component for high-performance electrode materials. Following this, GO has been used to modify the PEC response of photoactive material hematite thin films in PEC cell. A reduced graphene oxide/iron oxide (R-GO/Fe2O3) thin film structure has been successfully prepared on ITO by directly growing iron oxide particles on the thermally reduced graphene oxide sheets prepared from suspension of exfoliated graphene oxide. R-GO/Fe2O3 thin films were tested in PEC cell and offered ten times higher photocurrent density than pristine Fe2O3 thin film sample. XRD, SEM, EDS, UV-Vis, Mott-Schottky and Raman studies were carried out to study spectro-electrochemical properties. Enhanced PEC performance of these photoelectrodes was attributed to its porous morphology, improved conductivity upon favorable carrier transfer across the oxides interface.

  6. Fabrication of ionic liquid electrodeposited Cu--Sn--Zn--S--Se thin films and method of making

    Science.gov (United States)

    Bhattacharya, Raghu Nath

    2016-01-12

    A semiconductor thin-film and method for producing a semiconductor thin-films comprising a metallic salt, an ionic compound in a non-aqueous solution mixed with a solvent and processing the stacked layer in chalcogen that results in a CZTS/CZTSS thin films that may be deposited on a substrate is disclosed.

  7. Hybrid composite thin films composed of tin oxide nanoparticles and cellulose

    International Nuclear Information System (INIS)

    Mahadeva, Suresha K; Nayak, Jyoti; Kim, Jaehwan

    2013-01-01

    This paper reports the preparation and characterization of hybrid thin films consisting of tin oxide (SnO 2 ) nanoparticles and cellulose. SnO 2 nanoparticle loaded cellulose hybrid thin films were fabricated by a solution blending technique, using sodium dodecyl sulfate as a dispersion agent. Scanning and transmission electron microscopy studies revealed uniform dispersion of the SnO 2 nanoparticles in the cellulose matrix. Reduction in the crystalline melting transition temperature and tensile properties of cellulose was observed due to the SnO 2 nanoparticle loading. Potential application of these hybrid thin films as low cost, flexible and biodegradable humidity sensors is examined in terms of the change in electrical resistivity of the material exposed to a wide range of humidity as well as its response–recovery behavior. (paper)

  8. Semiconductor interfaces of polycrystalline CdTe thin-film solar cells. Characterization and modification of electronic properties

    International Nuclear Information System (INIS)

    Fritsche, J.

    2003-01-01

    In this thesis for the first time the electronic properties of the semiconductor interfaces in polycrystalline CdTe thin-film solar cells, as well as the morphological and electronic properties of the single semiconductor surfaces were systematically characterized by surface-sensitive measuring methods. The morphological surface properties were analyzed by scanning force microscopy. As substrate materials with SnO 2 /ITO covered glass was applied, where the CdS and CdTe layers were deposited. Furthermore the electronic and morphological material properties of differently treated SnO 2 surfaces were characterized. Beside the studies with scanning force microscopy sputtering depth profiles and X-ray photoelectron spectroscopy were measured

  9. RHEED transmission mode and pole figures thin film and nanostructure texture analysis

    CERN Document Server

    Wang, Gwo-Ching

    2014-01-01

    This unique book covers the fundamental principle of electron diffraction, basic instrumentation of RHEED, definitions of textures in thin films and nanostructures, mechanisms and control of texture formation, and examples of RHEED transmission mode measurements of texture and texture evolution of thin films and nanostructures. Also presented is a new application of RHEED in the transmission mode called RHEED pole figure technique that can be used to monitor the texture evolution in thin film growth and nanostructures and is not limited to single crystal epitaxial film growth. Details of the construction of RHEED pole figures and the interpretation of observed pole figures are presented.  Materials covered include metals, semiconductors, and thin insulators. This book also: Presents a new application of RHEED in the transmission mode Introduces a variety of textures from metals, semiconductors, compound semiconductors, and their characteristics in RHEED pole figures Provides examples of RHEED measurements o...

  10. Nanostructured tungsten trioxide thin films synthesized for photoelectrocatalytic water oxidation: a review.

    Science.gov (United States)

    Zhu, Tao; Chong, Meng Nan; Chan, Eng Seng

    2014-11-01

    The recent developments of nanostructured WO3 thin films synthesized through the electrochemical route of electrochemical anodization and cathodic electrodeposition for the application in photoelectrochemical (PEC) water splitting are reviewed. The key fundamental reaction mechanisms of electrochemical anodization and cathodic electrodeposition methods for synthesizing nanostructured WO3 thin films are explained. In addition, the effects of metal oxide precursors, electrode substrates, applied potentials and current densities, and annealing temperatures on size, composition, and thickness of the electrochemically synthesized nanostructured WO3 thin films are elucidated in detail. Finally, a summary is given for the general evaluation practices used to calculate the energy conversion efficiency of nanostructured WO3 thin films and a recommendation is provided to standardize the presentation of research results in the field to allow for easy comparison of reported PEC efficiencies in the near future. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Conductive polymer/fullerene blend thin films with honeycomb framework for transparent photovoltaic application

    Science.gov (United States)

    Cotlet, Mircea; Wang, Hsing-Lin; Tsai, Hsinhan; Xu, Zhihua

    2015-04-21

    Optoelectronic devices and thin-film semiconductor compositions and methods for making same are disclosed. The methods provide for the synthesis of the disclosed composition. The thin-film semiconductor compositions disclosed herein have a unique configuration that exhibits efficient photo-induced charge transfer and high transparency to visible light.

  12. Nanoscale reduction of graphene oxide thin films and its characterization

    KAUST Repository

    Lorenzoni, M.; Giugni, Andrea; Di Fabrizio, Enzo M.; Pé rez-Murano, Francesc; Mescola, A.; Torre, Bruno

    2015-01-01

    In this paper, we report on a method to reduce thin films of graphene oxide (GO) to a spatial resolution better than 100 nm over several tens of micrometers by means of an electrochemical scanning probe based lithography. In situ tip

  13. Review of flexible and transparent thin-film transistors based on zinc oxide and related materials

    International Nuclear Information System (INIS)

    Zhang Yong-Hui; Mei Zeng-Xia; Liang Hui-Li; Du Xiao-Long

    2017-01-01

    Flexible and transparent electronics enters into a new era of electronic technologies. Ubiquitous applications involve wearable electronics, biosensors, flexible transparent displays, radio-frequency identifications (RFIDs), etc. Zinc oxide (ZnO) and relevant materials are the most commonly used inorganic semiconductors in flexible and transparent devices, owing to their high electrical performances, together with low processing temperatures and good optical transparencies. In this paper, we review recent advances in flexible and transparent thin-film transistors (TFTs) based on ZnO and relevant materials. After a brief introduction, the main progress of the preparation of each component (substrate, electrodes, channel and dielectrics) is summarized and discussed. Then, the effect of mechanical bending on electrical performance is highlighted. Finally, we suggest the challenges and opportunities in future investigations. (paper)

  14. Clean graphene electrodes on organic thin-film devices via orthogonal fluorinated chemistry.

    Science.gov (United States)

    Beck, Jonathan H; Barton, Robert A; Cox, Marshall P; Alexandrou, Konstantinos; Petrone, Nicholas; Olivieri, Giorgia; Yang, Shyuan; Hone, James; Kymissis, Ioannis

    2015-04-08

    Graphene is a promising flexible, highly transparent, and elementally abundant electrode for organic electronics. Typical methods utilized to transfer large-area films of graphene synthesized by chemical vapor deposition on metal catalysts are not compatible with organic thin-films, limiting the integration of graphene into organic optoelectronic devices. This article describes a graphene transfer process onto chemically sensitive organic semiconductor thin-films. The process incorporates an elastomeric stamp with a fluorinated polymer release layer that can be removed, post-transfer, via a fluorinated solvent; neither fluorinated material adversely affects the organic semiconductor materials. We used Raman spectroscopy, atomic force microscopy, and scanning electron microscopy to show that chemical vapor deposition graphene can be successfully transferred without inducing defects in the graphene film. To demonstrate our transfer method's compatibility with organic semiconductors, we fabricate three classes of organic thin-film devices: graphene field effect transistors without additional cleaning processes, transparent organic light-emitting diodes, and transparent small-molecule organic photovoltaic devices. These experiments demonstrate the potential of hybrid graphene/organic devices in which graphene is deposited directly onto underlying organic thin-film structures.

  15. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    Energy Technology Data Exchange (ETDEWEB)

    Panky, Sreedevi; Thandavan, Kavitha [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sivalingam, Durgajanani [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sethuraman, Swaminathan; Krishnan, Uma Maheswari [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Jeyaprakash, Beri Gopalakrishnan [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Rayappan, John Bosco Balaguru, E-mail: rjbosco@ece.sastra.edu [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India)

    2013-01-15

    Nanostructured cerium oxide (CeO{sub 2}) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO{sub 3}){sub 3}{center_dot}6H{sub 2}O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO{sub 2} and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film to form the lipase/nano-CeO{sub 2}/TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO{sub 2}/TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film and hence the lipase/nano-CeO{sub 2}/TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp

  16. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  17. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  18. Tungsten oxide thin films grown by thermal evaporation with high resistance to leaching

    Energy Technology Data Exchange (ETDEWEB)

    Correa, Diogo S. [Universidade Federal de Pelotas (UFPel), RS (Brazil). Centro de Ciencias Quimicas, Farmaceuticas e de Alimentos; Pazinato, Julia C.O.; Freitas, Mauricio A. de; Radtke, Claudio; Garcia, Irene T.S., E-mail: irene@iq.ufrgs.br [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil). Instituto de Quimica; Dorneles, Lucio S. [Universidade Federal de Santa Maria (UFSM), RS (Brazil). Centro de Ciencias Naturais e Exatas

    2014-05-15

    Tungsten oxides show different stoichiometries, crystal lattices and morphologies. These characteristics are important mainly when they are used as photocatalysts. In this work tungsten oxide thin films were obtained by thermal evaporation on (100) silicon substrates covered with gold and heated at 350 and 600 °C, with different deposition times. The stoichiometry of the films, morphology, crystal structure and resistance to leaching were characterized through X-ray photoelectron spectroscopy, micro-Raman spectroscopy, scanning and transmission electron microscopy, X-ray diffractometry, Rutherford backscattering spectrometry and O{sup 16} (α,α')O{sup 16} resonant nuclear reaction. Films obtained at higher temperatures show well-defined spherical nanometric structure; they are composed of WO{sub 3.1} and the presence of hydrated tungsten oxide was also observed. The major crystal structure observed is the hexagonal. Thin films obtained through thermal evaporation present resistance to leaching in aqueous media and excellent performance as photocatalysts, evaluated through the degradation of the methyl orange dye. (author)

  19. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  20. Progress in Polycrystalline Thin-Film Cu(In,GaSe2 Solar Cells

    Directory of Open Access Journals (Sweden)

    Udai P. Singh

    2010-01-01

    Full Text Available For some time, the chalcopyrite semiconductor CuInSe2 and its alloy with Ga and/or S [Cu(InGaSe2 or Cu(InGa(Se,S2], commonly referred as CIGS, have been leading thin-film material candidates for incorporation in high-efficiency photovoltaic devices. CuInSe2-based solar cells have shown long-term stability and the highest conversion efficiencies among all thin-film solar cells, reaching 20%. A variety of methods have been reported to prepare CIGS thin film. Efficiency of solar cells depends upon the various deposition methods as they control optoelectronic properties of the layers and interfaces. CIGS thin film grown on glass or flexible (metal foil, polyimide substrates require p-type absorber layers of optimum optoelectronic properties and n-type wideband gap partner layers to form the p-n junction. Transparent conducting oxide and specific metal layers are used for front and back contacts. Progress made in the field of CIGS solar cell in recent years has been reviewed.

  1. Suppression of persistent photo-conductance in solution-processed amorphous oxide thin-film transistors

    Science.gov (United States)

    Lee, Minkyung; Kim, Minho; Jo, Jeong-Wan; Park, Sung Kyu; Kim, Yong-Hoon

    2018-01-01

    This study offers a combinatorial approach for suppressing the persistent photo-conductance (PPC) characteristic in solution-processed amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) in order to achieve rapid photo-recovery. Various analyses were used to examine the photo-instability of indium-gallium-zinc-oxide (IGZO) TFTs including negative-bias-illumination-stress (NBIS) and transient photo-response behaviors. It was found that the indium ratio in metallic components had a significant impact on their PPC and photo-recovery characteristics. In particular, when the indium ratio was low (51.5%), the PPC characteristic was significantly suppressed and achieving rapid photo-recovery was possible without significantly affecting the electrical performance of AOSs. These results imply that the optimization of the indium composition ratio may allow achieving highly photo-stable and near PPC-free characteristics while maintaining high electrical performance of AOSs. It is considered that the negligible PPC behavior and rapid photo-recovery observed in IGZO TFTs with a lower indium composition are attributed to the less activation energy required for the neutralization of ionized oxygen vacancies.

  2. Natively textured surface hydrogenated gallium-doped zinc oxide transparent conductive thin films with buffer layers for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xin-liang, E-mail: cxlruzhou@163.com; Wang, Fei; Geng, Xin-hua; Huang, Qian; Zhao, Ying; Zhang, Xiao-dan

    2013-09-02

    Natively textured surface hydrogenated gallium-doped zinc oxide (HGZO) thin films have been deposited via magnetron sputtering on glass substrates. These natively textured HGZO thin films exhibit rough pyramid-like textured surface, high optical transmittances in the visible and near infrared region and excellent electrical properties. The experiment results indicate that tungsten-doped indium oxide (In{sub 2}O{sub 3}:W, IWO) buffer layers can effectively improve the surface roughness and enhance the light scattering ability of HGZO thin films. The root-mean-square roughness of HGZO, IWO (10 nm)/HGZO and IWO (30 nm)/HGZO thin films are 28, 44 and 47 nm, respectively. The haze values at the wavelength of 550 nm increase from 7.0% of HGZO thin film without buffer layer to 18.37% of IWO (10 nm)/HGZO thin film. The optimized IWO (10 nm)/HGZO exhibits a high optical transmittance of 82.18% in the visible and near infrared region (λ ∼ 400–1100 nm) and excellent electrical properties with a relatively low sheet resistance of 3.6 Ω/□ and the resistivity of 6.21 × 10{sup −4} Ωcm. - Highlights: • Textured hydrogenated gallium-doped zinc oxide (HGZO) films were developed. • Tungsten-doped indium oxide (IWO) buffer layers were applied for the HGZO films. • Light-scattering ability of the HGZO films can be improved through buffer layers. • Low sheet resistance and high haze were obtained for the IWO(10 nm)/HGZO film. • The IWO/HGZO films are promising transparent conductive layers for solar cells.

  3. Photoconductivity study of acid on Zinc phthalocyanine pyridine thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Sukhwinder, E-mail: ss7667@gmail.com [Department of Physics, Govt. College for Girls, Ludhiana (India); Saini, G. S. S.; Tripathi, S. K. [Department of Physics, Panjab University, Chandigarh (India)

    2016-05-06

    The Metal Phthalocyanine (MPc) have attracted much interest because of chemical and high thermal stability. Molecules forming a crystal of MPc are held together by weak attractive Vander Waals forces. Organic semiconductors have π conjugate bonds which allow electrons to move via π-electron cloud overlaps. Conduction mechanisms for organic semiconductor are mainly through tunneling; hopping between localized states, mobility gaps, and phonon assisted hopping. The photo conductivity of thin films of these complexes changes when exposed to oxidizing and reducing gases. Arrhenius plot is used to find the thermal activation energy in the intrinsic region and impurity scattering region. Arrhenius plotsare used to find the thermal activation energy.

  4. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  5. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  6. Effects of Thermal Annealing Conditions on Cupric Oxide Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyo Seon; Oh, Hee-bong; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-07-15

    In this study, cupric oxide (CuO) thin films were grown on fluorine doped tin oxide(FTO) substrate by using spin coating method. We investigated the effects of thermal annealing temperature and thermal annealing duration on the morphological, structural, optical and photoelectrochemical properties of the CuO film. From the results, we could find that the morphologies, grain sizes, crystallinity and photoelectrochemical properties were dependent on the annealing conditions. As a result, the maximum photocurrent density of -1.47 mA/cm{sup 2} (vs. SCE) was obtained from the sample with the thermal annealing conditions of 500 ℃ and 40 min.

  7. Effect of oxygen deficiency on electronic properties and local structure of amorphous tantalum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Denny, Yus Rama [Department of Physics Education, University of Sultan Ageng Tirtayasa, Banten 42435 (Indonesia); Firmansyah, Teguh [Department of Electrical Engineering, University of Sultan Ageng Tirtayasa, Banten 42435 (Indonesia); Oh, Suhk Kun [Department of Physics, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Kang, Hee Jae, E-mail: hjkang@cbu.ac.kr [Department of Physics, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Yang, Dong-Seok [Department of Physics Education, Chungbuk National University, Cheongju 28644 (Korea, Republic of); Heo, Sung; Chung, JaeGwan; Lee, Jae Cheol [Analytical Engineering Center, Samsung Advanced Institute of Technology, Suwon 16678 (Korea, Republic of)

    2016-10-15

    Highlights: • The effect of oxygen flow rate on electronic properties and local structure of tantalum oxide thin films was studied. • The oxygen deficiency induced the nonstoichiometric state a-TaOx. • A small peak at 1.97 eV above the valence band side appeared on nonstoichiometric Ta{sub 2}O{sub 5} thin films. • The oxygen flow rate can change the local electronic structure of tantalum oxide thin films. - Abstract: The dependence of electronic properties and local structure of tantalum oxide thin film on oxygen deficiency have been investigated by means of X-ray photoelectron spectroscopy (XPS), Reflection Electron Energy Loss Spectroscopy (REELS), and X-ray absorption spectroscopy (XAS). The XPS results showed that the oxygen flow rate change results in the appearance of features in the Ta 4f at the binding energies of 23.2 eV, 24.4 eV, 25.8, and 27.3 eV whose peaks are attributed to Ta{sup 1+}, Ta{sup 2+}, Ta{sup 3+}/Ta{sup 4+}, and Ta{sup 5+}, respectively. The presence of nonstoichiometric state from tantalum oxide (TaOx) thin films could be generated by the oxygen vacancies. In addition, XAS spectra manifested both the increase of coordination number of the first Ta-O shell and a considerable reduction of the Ta-O bond distance with the decrease of oxygen deficiency.

  8. Amperometric detection and electrochemical oxidation of aliphatic amines and ammonia on silver-lead oxide thin-film electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Ge, Jisheng [Iowa State Univ., Ames, IA (United States)

    1996-01-08

    This thesis comprises three parts: Electrocatalysis of anodic oxygen-transfer reactions: aliphatic amines at mixed Ag-Pb oxide thin-film electrodes; oxidation of ammonia at anodized Ag-Pb eutectic alloy electrodes; and temperature effects on oxidation of ethylamine, alanine, and aquated ammonia.

  9. Transparent, high mobility InGaZnO thin films deposited by PLD

    International Nuclear Information System (INIS)

    Suresh, Arun; Gollakota, Praveen; Wellenius, Patrick; Dhawan, Anuj; Muth, John F.

    2008-01-01

    Transparent oxide semiconductor, InGaZnO, thin films were prepared by pulsed laser deposition at room temperature. The carrier concentration was found to vary by several orders of magnitude from insulating to 10 19 carriers/cm 3 depending on the oxygen partial pressure during deposition. Hall mobilities as high as 16 cm 2 /V s were observed. This is approximately an order of magnitude higher than the mobility of amorphous silicon and indicates that InGaO 3 (ZnO) x with x ≤ 5 may be suitable for transparent, thin film transistor applications. Post-deposition annealing was found to strongly influence the carrier concentration while annealing effects on the electron mobility was less influential

  10. A study on crystallization, optical and electrical properties of the advanced ZITO thin films using co-sputtering system

    International Nuclear Information System (INIS)

    Chen, K.J.; Hung, F.Y.; Chang, S.J.; Chang, S.P.; Mai, Y.C.; Hu, Z.S.

    2011-01-01

    Research highlights: Conductor and semiconductor ZITO transparent oxide thin films have been obtained by co-sputtering system. Therefore, we could fabricate a fully transparent ZITO optoelectronic device (thin film transistors or photodetector) in the future. The conducting ZITO was used as the electrode. The active layer of TFTs and photodetector employed the semiconducting ZITO. In addition, the investigation of luminescence characteristics on Zn-In-Sn-O (ZITO) film has never been reported. So, the multi-compound ZITO (ZnO combined ITO) films would be measured by photoluminescence (PL) to analyze the effects of ITO doping and oxygen gas content on emission characteristics of film. - Abstract: Multi-functions (conductor, semiconductor and insulator) ZnInSnO (ZITO) transparent oxide thin films have been obtained by a co-sputtering system using ITO target and ZnO target with oxygen gas contents (0-8%). The ZITO film containing a small ITO content had the lowest resistivity (good electron mobility) and higher optical transmittance. In addition, the influences of thermal treatments (post-annealing and substrate temperature) on electrical properties and optical transmittance of ZITO films were studied. Photoluminescence (PL) of the ZITO film confirmed the contribution of ITO content and oxygen gas content on the photo-emission. The ZITO film with zinc atomic concentration of 58 at.% was a good candidate for TCO material (3.08 x 10 -4 Ω cm). Under the substrate temperature of 100 deg. C or post-annealing temperature of 200 o C, the properties of ZITO film could be improved.

  11. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  12. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  13. Effects of concentration of reduced graphene oxide on properties of sol–gel prepared Al-doped zinc oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Ching-Tian; Wang, Fang-Hsing, E-mail: fansen@dragon.nchu.edu.tw; Chen, Wei-Chun

    2016-04-30

    Reduced-graphene-oxide-incorporated aluminum-doped zinc oxide (AZO:rGO) composite thin films were synthesized on glass substrates by using the sol–gel method. The effect of the rGO concentration (0–3 wt%) on structural, electrical, and optical properties of the composite film was investigated by X-ray diffraction, scanning electron microscopy, atomic force microscopy, Hall-effect measurement, and ultraviolet–visible spectrometry. All of the composite films showed a typical hexagonal wurtzite structure, and the films incorporated with 1 wt% rGO showed the highest (0 0 2) peak intensity. The sheet resistance of the films was effectively reduced by a factor of more than two as the rGO ratio increased from 0 to 1 wt%. However, the sheet resistance increased with a further increase in the rGO ratio. The optical transmittance of the composite film monotonically decreased with increasing the rGO ratio from 0 to 3 wt%. The average optical transmittance (400–700 nm) of the AZO:rGO thin film within 1 wt% rGO was above 81%. - Highlights: • Reduced-graphene-oxide-doped ZnO:Al composite films are synthesized by sol–gel. • All AZO:rGO thin films show a typical hexagonal wurtzite structure. • Sheet resistance of AZO:rGO(1 wt%) film decreases by a factor of more than two. • The average visible transmittance of the AZO:rGO(1 wt%) film was 81%.

  14. solution growth and characterization of copper oxide thin films ...

    African Journals Online (AJOL)

    Thin films of copper oxide (CuO) were grown on glass slides by using the solution growth technique. Copper cloride (CuCl ) and potassium telluride (K T O ) were used. Buffer 2 2e 3 solution was used as complexing agent. The solid state properties and optical properties were obtained from characterization done using PYE ...

  15. Fabrication of band gap engineered nanostructured tri-metallic (Mn-Co-Ti) oxide thin films

    Science.gov (United States)

    Mansoor, Muhammad Adil; Yusof, Farazila Binti; Nay-Ming, Huang

    2018-04-01

    In continuation of our previous studies on photoelectrochemical (PEC) properties of titanium based composite oxide thin films, an effort is made to develop thin films of 1:1:2 manganese-cobalt-titanium oxide composite, Mn2O3-Co2O3-4TiO2 (MCT), using Co(OAc)2 and a bimetallic manganese-titanium complex, [Mn2Ti4(TFA)8(THF)6(OH)4(O)2].0.4THF (1), where OAc = acetato, TFA = trifluoroacetato and THF = tetrahydrofuran, via aerosol-assisted chemical vapour deposition (AACVD) technique. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and energy dispersive X-ray (EDX) spectroscopic analyses confirmed formation of thin film of Mn2O3-Co2O3-4TiO2 composite material with uniformly distributed agglomerated particles. The average size of 39.5 nm, of the particles embedded inside agglomerates, was estimated by Scherer's equation. Further, UV-Vis spectroscopy was used to estimate the band gap of 2.62 eV for MCT composite thin film.

  16. The influence of annealing on yttrium oxide thin film deposited by reactive magnetron sputtering: Process and microstructure

    Directory of Open Access Journals (Sweden)

    Y. Mao

    2017-01-01

    Full Text Available Yttrium oxide thin films were prepared by reactive magnetron sputtering in different deposition condition with various oxygen flow rates. The annealing influence on the yttrium oxide film microstructure is investigated. The oxygen flow shows a hysteresis behavior on the deposition rate. With a low oxygen flow rate, the so called metallic mode process with a high deposition rate (up to 1.4µm/h was achieved, while with a high oxygen flow rate, the process was considered to be in the poisoned mode with an extremely low deposition rate (around 20nm/h. X-ray diffraction (XRD results show that the yttrium oxide films that were produced in the metallic mode represent a mixture of different crystal structures including the metastable monoclinic phase and the stable cubic phase, while the poisoned mode products show a dominating monoclinic phase. The thin films prepared in metallic mode have relatively dense structures with less porosity. Annealing at 600 °C for 15h, as a structure stabilizing process, caused a phase transformation that changes the metastable monoclinic phase to stable cubic phase for both poisoned mode and metallic mode. The composition of yttrium oxide thin films changed from nonstoichiometric to stoichiometric together with a lattice parameter variation during annealing process. For the metallic mode deposition however, cracks were formed due to the thermal expansion coefficient difference between thin film and the substrate material which was not seen in poisoned mode deposition. The yttrium oxide thin films that deposited in different modes give various application options as a nuclear material.

  17. Effect of Al2O3 insulator thickness on the structural integrity of amorphous indium-gallium-zinc-oxide based thin film transistors.

    Science.gov (United States)

    Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea

    2014-12-01

    The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.

  18. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  19. Optical characterisation of thin film cadmium oxide prepared by a ...

    African Journals Online (AJOL)

    The optical transmission spectra of transparent conducting cadmium oxide (CdO) thin films deposited by a modified reactive evaporation process onto glass substrates have been measured. The interference fringes were used to calculate the refractive index, thickness variation, average thickness and absorption coefficient ...

  20. Microstructural variation in titanium oxide thin films deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Pandian, Ramanathaswamy; Natarajan, Gomathi; Kamruddin, M.; Tyagi, A.K.

    2013-01-01

    We report on the microstructural evolution of titanium oxide thin films deposited by reactive DC magnetron sputtering using titanium metal target. By varying the ratio of sputter-gas mixture containing argon, oxygen and nitrogen various phases of titanium oxide, almost pure rutile, rutile-rich and anatase-rich nano-crystalline, were deposited on Si substrates at room temperature. Using high-resolution scanning electron microscopy, X-ray diffraction and micro-Raman techniques the microstructure of the films were revealed. The relationship between the microstructure of the films and the oxygen partial pressure during sputtering is discussed

  1. Electrochromic Devices Based on Porous Tungsten Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Y. Djaoued

    2012-01-01

    Full Text Available Recent developments in the synthesis of transition metal oxides in the form of porous thin films have opened up opportunities in the construction of electrochromic devices with enhanced properties. In this paper, synthesis, characterization and electrochromic applications of porous WO3 thin films with different nanocrystalline phases, such as hexagonal, monoclinic, and orthorhombic, are presented. Asymmetric electrochromic devices have been constructed based on these porous WO3 thin films. XRD measurements of the intercalation/deintercalation of Li+ into/from the WO3 layer of the device as a function of applied coloration/bleaching voltages show systematic changes in the lattice parameters associated with structural phase transitions in LixWO3. Micro-Raman studies show systematic crystalline phase changes in the spectra of WO3 layers during Li+ ion intercalation and deintercalation, which agree with the XRD data. These devices exhibit interesting optical modulation (up to ~70% due to intercalation/deintercalation of Li ions into/from the WO3 layer of the devices as a function of applied coloration/bleaching voltages. The obtained optical modulation of the electrochromic devices indicates that, they are suitable for applications in electrochromic smart windows.

  2. Rare earth metals, rare earth hydrides, and rare earth oxides as thin films

    International Nuclear Information System (INIS)

    Gasgnier, M.

    1980-01-01

    The review deals with pure rare earth materials such as rare earth metals, rare earth hydrides, and rare earth oxides as thin films. Several preparation techniques, control methods, and nature of possible contaminations of thin films are described. These films can now be produced in an extremely well-known state concerning chemical composition, structure and texture. Structural, electric, magnetic, and optical properties of thin films are studied and discussed in comparison with the bulk state. The greatest contamination of metallic rare earth thin films is caused by reaction with hydrogen or with water vapour. The compound with an f.c.c. structure is the dihydride LnH 2 (Ln = lanthanides). The oxygen contamination takes place after annealing at higher temperatures. Then there appears a compound with a b.c.c. structure which is the C-type sesquioxide C-Ln 2 O 3 . At room atmosphere dihydride light rare earth thin films are converted to hydroxide Ln(OH) 3 . For heavy rare earth thin films the oxinitride LnNsub(x)Osub(y) is observed. The LnO-type compound was never seen. The present review tries to set the stage anew for the investigations to be undertaken in the future especially through the new generations of electron microscopes

  3. Investigation of the Optoelectronic Properties of Ti-doped Indium Tin Oxide Thin Film.

    Science.gov (United States)

    Pu, Nen-Wen; Liu, Wei-Sheng; Cheng, Huai-Ming; Hu, Hung-Chun; Hsieh, Wei-Ting; Yu, Hau-Wei; Liang, Shih-Chang

    2015-09-21

    : In this study, direct-current magnetron sputtering was used to fabricate Ti-doped indium tin oxide (ITO) thin films. The sputtering power during the 350-nm-thick thin-film production process was fixed at 100 W with substrate temperatures increasing from room temperature to 500 °C. The Ti-doped ITO thin films exhibited superior thin-film resistivity (1.5 × 10 - ⁴ Ω/cm), carrier concentration (4.1 × 10 21 cm - ³), carrier mobility (10 cm²/Vs), and mean visible-light transmittance (90%) at wavelengths of 400-800 nm at a deposition temperature of 400 °C. The superior carrier concentration of the Ti-doped ITO alloys (>10 21 cm - ³) with a high figure of merit (81.1 × 10 - ³ Ω - ¹) demonstrate the pronounced contribution of Ti doping, indicating their high suitability for application in optoelectronic devices.

  4. A nanogravimmetric investigation of the charging processes on ruthenium oxide thin films and their effect on methanol oxidation

    International Nuclear Information System (INIS)

    Santos, M.C.; Cogo, L.; Tanimoto, S.T.; Calegaro, M.L.; Bulhoes, L.O.S

    2006-01-01

    The charging processes and methanol oxidation that occur during the oxidation-reduction cycles in a ruthenium oxide thin film electrode (deposited by the sol-gel method on Pt covered quartz crystals) were investigated by using cyclic voltammetry, chronoamperometry and electrochemical quartz crystal nanobalance techniques. The ruthenium oxide rutile phase structure was determined by X-ray diffraction analysis. The results obtained during the charging of rutile ruthenium oxide films indicate that in the anodic sweep the transition from Ru(II) to Ru(VI) occurs followed by proton de-intercalation. In the cathodic sweep, electron injection occurs followed by proton intercalation, leading to Ru(II). The proton intercalation/de-intercalation processes can be inferred from the mass/charge relationship which gives a slope close to 1 g mol -1 (multiplied by the Faraday constant) corresponding to the molar mass of hydrogen. From the chronoamperometric measurements, charge and mass saturation of the RuO 2 thin films was observed (440 ng cm -2 ) during the charging processes, which is related to the total number of active sites in these films. Using the electrochemical quartz crystal nanobalance technique to study the methanol oxidation reaction at these films was possible to demonstrate that bulk oxidation occurs without the formation of strongly adsorbed intermediates such as CO ads , demonstrating that Pt electrodes modified by ruthenium oxide particles can be promising catalysts for the methanol oxidation as already shown in the literature

  5. Bilayered Oxide thin films for transparent electrode application

    Science.gov (United States)

    Dutta, Titas; Narayan, Jagdish

    2008-10-01

    Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.

  6. Ultra-thin zirconia films on Zr-alloys

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Joong Il Jake; Mayr-Schmoelzer, Wernfried; Mittendorfer, Florian; Redinger, Josef; Diebold, Ulrike; Schmid, Michael [Institute of Applied Physics, Vienna University of Technology (Austria); Li, Hao; Rupprechter, Guenther [Institute of Materials Chemistry, Vienna University of Technology (Austria)

    2014-07-01

    Zirconia ultra-thin films have been prepared by oxidation of Pt{sub 3}Zr(0001) and showed a structure equivalent to (111) of cubic zirconia. Following previous work, we have prepared ultra-thin zirconia by oxidation of a different alloy, Pd{sub 3}Zr(0001), which resulted in a similar structure with a slightly different lattice parameter, 351.2 ±0.4 pm. Unlike the oxide on Pt{sub 3}Zr, where Zr of the oxide binds to Pt in the substrate, here the oxide binds to substrate Zr via oxygen. This causes stronger distortion of the oxide structure, i.e. a stronger buckling of Zr in the oxide. After additional oxidation of ZrO{sub 2}/Pt{sub 3}Zr, a different ultra-thin zirconia phase is observed. A preliminary structure model for this film is based on (113)-oriented cubic zirconia. 3D oxide clusters are also present after growing ultra-thin zirconia films. They occur at the step edges, and the density is higher on Pd{sub 3}Zr. These clusters also appear on terraces after additional oxidation. XPS reveals different core level shifts of the oxide films, bulk, and oxide clusters.

  7. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  8. Transparent p-type SnO nanowires with unprecedented hole mobility among oxide semiconductors

    KAUST Repository

    Caraveo-Frescas, J. A.

    2013-11-25

    p-type tin monoxide (SnO) nanowire field-effect transistors with stable enhancement mode behavior and record performance are demonstrated at 160 °C. The nanowire transistors exhibit the highest field-effect hole mobility (10.83 cm2 V−1 s−1) of any p-type oxide semiconductor processed at similar temperature. Compared to thin film transistors, the SnO nanowire transistors exhibit five times higher mobility and one order of magnitude lower subthreshold swing. The SnO nanowire transistors show three times lower threshold voltages (−1 V) than the best reported SnO thin film transistors and fifteen times smaller than p-type Cu 2O nanowire transistors. Gate dielectric and process temperature are critical to achieving such performance.

  9. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  10. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  11. Low temperature (< 100 °C) deposited P-type cuprous oxide thin films: Importance of controlled oxygen and deposition energy

    International Nuclear Information System (INIS)

    Li, Flora M.; Waddingham, Rob; Milne, William I.; Flewitt, Andrew J.; Speakman, Stuart; Dutson, James; Wakeham, Steve; Thwaites, Mike

    2011-01-01

    With the emergence of transparent electronics, there has been considerable advancement in n-type transparent semiconducting oxide (TSO) materials, such as ZnO, InGaZnO, and InSnO. Comparatively, the availability of p-type TSO materials is more scarce and the available materials are less mature. The development of p-type semiconductors is one of the key technologies needed to push transparent electronics and systems to the next frontier, particularly for implementing p–n junctions for solar cells and p-type transistors for complementary logic/circuits applications. Cuprous oxide (Cu 2 O) is one of the most promising candidates for p-type TSO materials. This paper reports the deposition of Cu 2 O thin films without substrate heating using a high deposition rate reactive sputtering technique, called high target utilisation sputtering (HiTUS). This technique allows independent control of the remote plasma density and the ion energy, thus providing finer control of the film properties and microstructure as well as reducing film stress. The effect of deposition parameters, including oxygen flow rate, plasma power and target power, on the properties of Cu 2 O films are reported. It is known from previously published work that the formation of pure Cu 2 O film is often difficult, due to the more ready formation or co-formation of cupric oxide (CuO). From our investigation, we established two key concurrent criteria needed for attaining Cu 2 O thin films (as opposed to CuO or mixed phase CuO/Cu 2 O films). First, the oxygen flow rate must be kept low to avoid over-oxidation of Cu 2 O to CuO and to ensure a non-oxidised/non-poisoned metallic copper target in the reactive sputtering environment. Secondly, the energy of the sputtered copper species must be kept low as higher reaction energy tends to favour the formation of CuO. The unique design of the HiTUS system enables the provision of a high density of low energy sputtered copper radicals/ions, and when combined with a

  12. Nanostructured manganese oxide thin films as electrode material for supercapacitors

    Science.gov (United States)

    Xia, Hui; Lai, Man On; Lu, Li

    2011-01-01

    Electrochemical capacitors, also called supercapacitors, are alternative energy storage devices, particularly for applications requiring high power densities. Recently, manganese oxides have been extensively evaluated as electrode materials for supercapacitors due to their low cost, environmental benignity, and promising supercapacitive performance. In order to maximize the utilization of manganese oxides as the electrode material for the supercapacitors and improve their supercapacitive performance, the nanostructured manganese oxides have therefore been developed. This paper reviews the synthesis of the nanostructured manganese oxide thin films by different methods and the supercapacitive performance of different nanostructures.

  13. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  14. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    Science.gov (United States)

    Maabong, Kelebogile; Machatine, Augusto G.; Hu, Yelin; Braun, Artur; Nambala, Fred J.; Diale, Mmantsae

    2016-01-01

    Hematite (α-Fe2O3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe2O3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe2O3 nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from 57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV-visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  15. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    International Nuclear Information System (INIS)

    Maabong, Kelebogile; Machatine, Augusto G.; Hu, Yelin; Braun, Artur; Nambala, Fred J.; Diale, Mmantsae

    2016-01-01

    Hematite (α-Fe_2O_3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe_2O_3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe_2O_3 nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from ~57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV–visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  16. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Maabong, Kelebogile [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Department of Physics, University of Botswana, Private Bag 002, Gaborone (Botswana); Machatine, Augusto G. [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Hu, Yelin [Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Laboratory for Photonics and Interfaces, EPFL, Ecole Polytechnique Federale de Lausanne, CH-1015 Lausanne (Switzerland); Braun, Artur [Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland); Nambala, Fred J. [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); University of Zambia, Box 32379, Great East Road Campus, Lusaka (Zambia); Diale, Mmantsae, E-mail: mmantsae.diale@up.ac.za [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Laboratory of High Ceramics, Empa, Swiss Federal Laboratories for Materials Science and Technology, CH-8600 Dübendorf (Switzerland)

    2016-01-01

    Hematite (α-Fe{sub 2}O{sub 3}) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap, low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. α-Fe{sub 2}O{sub 3} thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine doped tin oxide (FTO) glass substrate, annealed in air at 500 °C for 2 h, then electrochemically oxidized (anodized) in 1 M KOH at 500 mV for 1 min in dark and light conditions. Changes in structural properties and morphology of α-Fe{sub 2}O{sub 3} nanoparticles films were investigated by XRD, Raman spectroscopy and a high resolution FE-SEM. The average grain size was observed to increase from ~57 nm for pristine samples to 73 and 77 nm for anodized samples in dark and light respectively. Broadening and red shift in Raman spectra in anodized samples may be attributed to lattice expansion upon oxidation. The UV–visible measurements revealed enhanced absorption in the photoanodes after the treatment. The findings suggest that the anodization of the photoelectrode in a biased cell causes not only changes of the molecular structure at the surface, but also changes in the crystallographic structure which can be detected with x-ray diffractometry.

  17. Electrochemical Water Oxidation by a Catalyst-Modified Metal-Organic Framework Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Shaoyang; Pineda-Galvan, Yuliana; Maza, William A.; Epley, Charity C.; Zhu, Jie; Kessinger, Matthew C.; Pushkar, Yulia; Morris, Amanda J. (VP); (Purdue)

    2016-12-15

    Water oxidation, a key component in artificial photosynthesis, requires high overpotentials and exhibits slow reaction kinetics that necessitates the use of stable and efficient heterogeneous water-oxidation catalysts (WOCs). Here, we report the synthesis of UiO-67 metal–organic framework (MOF) thin films doped with [Ru(tpy)(dcbpy)OH2]2+ (tpy=2,2':6',2''-terpyridine, dcbpy=5,5'-dicarboxy-2,2'-bipyridine) on conducting surfaces and their propensity for electrochemical water oxidation. The electrocatalyst oxidized water with a turnover frequency (TOF) of (0.2±0.1) s-1 at 1.71 V versus the normal hydrogen electrode (NHE) in buffered solution (pH~7) and exhibited structural and electrochemical stability. The electroactive sites were distributed throughout the MOF thin film on the basis of scan-ratedependent voltammetry studies. This work demonstrates a promising way to immobilize large concentrations of electroactive WOCs into a highly robust MOF scaffold and paves the way for future photoelectrochemical water-splitting systems.

  18. SURFACE MODIFICATION OF SEMICONDUCTOR THIN FILM OF TiO2 ON GRAPHITE SUBSTRATE BY Cu-ELECTRODEPOSITION

    Directory of Open Access Journals (Sweden)

    Fitria Rahmawati

    2010-06-01

    Full Text Available Surface modification of graphite/TiO2 has been done by mean of Cu electrodeposition. This research aims to study the effect of Cu electrodeposition on photocatalytic enhancing of TiO2. Electrodeposition has been done using CuSO4 0,4 M as the electrolyte at controlled current. The XRD pattern of modified TiO2 thin film on graphite substrate exhibited new peaks at 2θ= 43-44o and 2θ= 50-51o that have been identified as Cu with crystal cubic system, face-centered crystal lattice and crystallite size of 26-30 nm. CTABr still remains in the material as impurities. Meanwhile, based on morphological analysis, Cu particles are dissipated in the pore of thin film. Graphite/TiO2/Cu has higher photoconversion efficiency than graphite/TiO2.   Keywords: semiconductor, graphite/TiO2, Cu electrodeposition

  19. Structural and optical properties of DC reactive magnetron sputtered zinc aluminum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, B. Rajesh, E-mail: rajphyind@gmail.com [Department of Physics, GITAM Institute of Technology, GITAM University, Visakhapatnam - 530 045, A.P. (India); Rao, T. Subba, E-mail: thotasubbarao6@gmail.com [Department of Physics, Sri Krishnadevaraya University, Anantapuramu - 515 003, A.P. (India)

    2014-10-15

    Highly transparent conductive Zinc Aluminum Oxide (ZAO) thin films have been deposited on glass substrates using DC reactive magnetron sputtering method. The thin films were deposited at 200 °C and post-deposition annealing from 15 to 90 min. XRD patterns of ZAO films exhibit only (0 0 2) diffraction peak, indicating that they have c-axis preferred orientation perpendicular to the substrate. Scanning electron microscopy (SEM) is used to study the surface morphology of the films. The grain size obtained from SEM images of ZAO thin films are found to be in the range of 20 - 26 nm. The minimum resistivity of 1.74 × 10{sup −4} Ω cm and an average transmittance of 92% are obtained for the thin film post annealed for 30 min. The optical band gap of ZAO thin films increased from 3.49 to 3.60 eV with the increase of annealing time due to Burstein-Moss effect. The optical constants refractive index (n) and extinction coefficient (k) were also determined from the optical transmission spectra.

  20. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Energy Technology Data Exchange (ETDEWEB)

    Yahia, I.S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); Abutalib, M.M. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); AlFaify, S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Shkir, M. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Abdel-wahab, M.Sh.; Al-Ghamdi, Attieh A. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); El-Naggar, A.M. [Exploitation of Renewable Energy Applications in Saudi Arabia, Physics & Astronomy Department, College of Science, King Saud University, P.O.Box 2455, Riyadh 11451 (Saudi Arabia)

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ{sup (1)}, nonlinear optical susceptibility χ{sup (3)}, nonlinear refractive index (n{sub 2}) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  1. Comment on "Tunable Design of Structural Colors Produced by Pseudo-1D Photonic Crystals of Graphene Oxide" and Thin-Film Interference from Dried Graphene Oxide Film.

    Science.gov (United States)

    Hong, Seung-Ho; Song, Jang-Kun

    2017-04-01

    The mechanism of the iridescent color reflection from dried thin graphene oxide (GO) film on Si wafer is clarified. Dissimilarly to the photonic crystalline reflection in aqueous GO dispersion, the color reflection in dried GO film originates from the thin film interference. The peak reflection can reach 23% by optimizing the GO thickness and the substrate. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  3. Thin-Film Material Science and Processing | Materials Science | NREL

    Science.gov (United States)

    Thin-Film Material Science and Processing Thin-Film Material Science and Processing Photo of a , a prime example of this research is thin-film photovoltaics (PV). Thin films are important because cadmium telluride thin film, showing from top to bottom: glass, transparent conducting oxide (thin layer

  4. ZnO Thin Film Electronics for More than Displays

    Science.gov (United States)

    Ramirez, Jose Israel

    Zinc oxide thin film transistors (TFTs) are investigated in this work for large-area electronic applications outside of display technology. A constant pressure, constant flow, showerhead, plasma-enhanced atomic layer deposition (PEALD) process has been developed to fabricate high mobility TFTs and circuits on rigid and flexible substrates at 200 °C. ZnO films and resulting devices prepared by PEALD and pulsed laser deposition (PLD) have been compared. Both PEALD and PLD ZnO films result in densely packed, polycrystalline ZnO thin films that were used to make high performance devices. PEALD ZnO TFTs deposited at 300 °C have a field-effect mobility of ˜ 40 cm2/V-s (and > 20 cm2/V-S deposited at 200 °C). PLD ZnO TFTs, annealed at 400 °C, have a field-effect mobility of > 60 cm2/V-s (and up to 100 cm2/V-s). Devices, prepared by either technique, show high gamma-ray radiation tolerance of up to 100 Mrad(SiO2) with only a small radiation-induced threshold voltage shift (VT ˜ -1.5 V). Electrical biasing during irradiation showed no enhanced radiation-induced effects. The study of the radiation effects as a function of material stack thicknesses revealed the majority of the radiation-induced charge collection happens at the semiconductor-passivation interface. A simple sheet-charge model at that interface can describe the radiation-induced charge in ZnO TFTs. By taking advantage of the substrate-agnostic process provided by PEALD, due to its low-temperature and excellent conformal coatings, ZnO electronics were monolithically integrated with thin-film complex oxides. Application-based examples where ZnO electronics provide added functionality to complex oxide-based devices are presented. In particular, the integration of arrayed lead zirconate titanate (Pb(Zr, Ti)O3 or PZT) thin films with ZnO electronics for microelectromechanical systems (MEMs) and deformable mirrors is demonstrated. ZnO switches can provide voltage to PZT capacitors with fast charging and slow

  5. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  6. Investigation of the Optoelectronic Properties of Ti-doped Indium Tin Oxide Thin Film

    Directory of Open Access Journals (Sweden)

    Nen-Wen Pu

    2015-09-01

    Full Text Available : In this study, direct-current magnetron sputtering was used to fabricate Ti-doped indium tin oxide (ITO thin films. The sputtering power during the 350-nm-thick thin-film production process was fixed at 100 W with substrate temperatures increasing from room temperature to 500 °C. The Ti-doped ITO thin films exhibited superior thin-film resistivity (1.5 × 10−4 Ω/cm, carrier concentration (4.1 × 1021 cm−3, carrier mobility (10 cm2/Vs, and mean visible-light transmittance (90% at wavelengths of 400–800 nm at a deposition temperature of 400 °C. The superior carrier concentration of the Ti-doped ITO alloys (>1021 cm−3 with a high figure of merit (81.1 × 10−3 Ω−1 demonstrate the pronounced contribution of Ti doping, indicating their high suitability for application in optoelectronic devices.

  7. Evidence of the semiconductor-metal transition in V{sub 2}O{sub 5} thin films by the pulsed laser photoacoustic method

    Energy Technology Data Exchange (ETDEWEB)

    Perez-Pacheco, A.; Acosta-Najarro, D. R.; Cruz-Manjarrez, H.; Rodriguez-Fernandez, L.; Pineda-Santamaria, J. C; Aguilar-Franco, M. [Instituto de Fisica-Universidad Nacional Autonoma de Mexico, Mexico DF (Mexico); Castaneda-Guzman, R. [Laboratorio de Fotofisica y Peliculas Delgadas, CCADET-UNAM, Mexico DF (Mexico)

    2013-05-14

    In this work, the pulsed photoacoustic technique was used to investigate the semiconductor-metal transition of thin vanadium pentoxide films (V{sub 2}O{sub 5}) under increasing temperature. The V{sub 2}O{sub 5} thin films were simultaneously deposited by RF magnetron sputtering at room temperature, on corning glass and SnO{sub 2}:F/glass substrates, in order to compare the photoacoustic response. The elemental and structural analysis of the V{sub 2}O{sub 5} films was performed by Rutherford backscattering spectroscopy and X-ray diffraction. The optical transmission and band gap were determined using UV-Vis spectroscopy. The electrical properties were measured using four-point probe measurements with the Van der Pauw geometry.

  8. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Science.gov (United States)

    Horak, P.; Bejsovec, V.; Vacik, J.; Lavrentiev, V.; Vrnata, M.; Kormunda, M.; Danis, S.

    2016-12-01

    Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C-600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C-600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu2O phase was identified. However, the oxidation at 200 °C led to a more complicated composition - in the depth Cu2O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH)2. A limited amount of Cu2O was also found in samples annealed at 600 °C. The sheet resistance RS of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing RS was measured in the range 2.64 MΩ/□-2.45 GΩ/□. The highest RS values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the 16O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed an increased response to hydrogen at 300 °C, while Au-covered films were more sensitive to methanol vapours at 350 °C.

  9. Morphology dependent dye-sensitized solar cell properties of nanocrystalline zinc oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, S.K., E-mail: sanjeevlrs732000@yahoo.co.in [Department of Information and Communication, Cheju Halla College, Jeju City 690 708 (Korea, Republic of); Inamdar, A.I.; Im, Hyunsik [Department of Semiconductor Science, Dongguk University, Seoul 100 715 (Korea, Republic of); Kim, B.G. [Department of Information and Communication, Cheju Halla College, Jeju City 690 708 (Korea, Republic of); Patil, P.S. [Thin Film Materials Laboratory, Department of Physics, Shivaji University, Kolhapur 416 004 (India)

    2011-02-03

    Research highlights: > Nano-crystalline zinc oxide thin films were electrosynthesized from an aqueous zinc acetate [Zn(CH{sub 3}COO){sub 2}.2H{sub 2}O] solution onto FTO coated conducting glass substrates using two different electrochemical routes, namely (i) without an organic surfactant and (ii) with an organic surfactant, viz. PVA (poly-vinyl alcohol) or SDS (sodium dodecyl sulfate). > The reproducibility of the catalytic activity of the SDS and PVA surfactants in the modification of the morphologies was observed. > Vertically aligned nest-like and compact structures were observed from the SDS and PVA mediated films, respectively, while the grain size in the ZnO thin films without an organic surfactant was observed to be {approx}150 nm. > The dye sensitized ZnO electrodes displayed excellent properties in the conversion process from light to electricity. The efficiencies of the surfactant mediated nanocrystalline ZnO thin films, viz. ZnO:SDS and ZnO:PVA, sensitized with ruthenium-II (N3) dye were observed to be 0.49% and 0.27%, respectively. - Abstract: Nano-crystalline zinc oxide thin films were electrosynthesized with an aqueous zinc acetate [Zn(CH{sub 3}COO){sub 2}.2H{sub 2}O] solution on to FTO coated glass substrates. Two different electrochemical baths were used, namely (i) without an organic surfactant and (ii) with an organic surfactant, viz. PVA (poly-vinyl alcohol) and SDS (sodium dodecyl sulfate). The organic surfactants played an important role in modifying the surface morphology, which influenced the size of the crystallites and dye-sensitized solar cell (DSSC) properties. The vertically aligned thin and compact hexagonal crystallites were observed with SDS mediated films, while the grain size in the films without an organic surfactant was observed to be {approx}150 nm. The conversion efficiencies of the ZnO:SDS:Dye and ZnO:PVA:Dye thin films were observed to be 0.49% and 0.27%, respectively.

  10. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  11. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  12. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  13. The effect of Mg dopants on magnetic and structural properties of iron oxide and zinc ferrite thin films

    Science.gov (United States)

    Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet

    2018-06-01

    Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.

  14. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    International Nuclear Information System (INIS)

    Ong, Hui-Yng; Shrestha, Milan; Lau, Gih-Keong

    2015-01-01

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window

  15. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    Energy Technology Data Exchange (ETDEWEB)

    Ong, Hui-Yng [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Engineering, Nanyang Polytechnic, Singapore 569830 (Singapore); Shrestha, Milan; Lau, Gih-Keong, E-mail: mgklau@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  16. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  17. In situ preparation, electrical and surface analytical characterization of pentacene thin film transistors

    Science.gov (United States)

    Lassnig, R.; Striedinger, B.; Hollerer, M.; Fian, A.; Stadlober, B.; Winkler, A.

    2015-01-01

    The fabrication of organic thin film transistors with highly reproducible characteristics presents a very challenging task. We have prepared and analyzed model pentacene thin film transistors under ultra-high vacuum conditions, employing surface analytical tools and methods. Intentionally contaminating the gold contacts and SiO2 channel area with carbon through repeated adsorption, dissociation, and desorption of pentacene proved to be very advantageous in the creation of devices with stable and reproducible parameters. We mainly focused on the device properties, such as mobility and threshold voltage, as a function of film morphology and preparation temperature. At 300 K, pentacene displays Stranski-Krastanov growth, whereas at 200 K fine-grained, layer-like film growth takes place, which predominantly influences the threshold voltage. Temperature dependent mobility measurements demonstrate good agreement with the established multiple trapping and release model, which in turn indicates a predominant concentration of shallow traps in the crystal grains and at the oxide-semiconductor interface. Mobility and threshold voltage measurements as a function of coverage reveal that up to four full monolayers contribute to the overall charge transport. A significant influence on the effective mobility also stems from the access resistance at the gold contact-semiconductor interface, which is again strongly influenced by the temperature dependent, characteristic film growth mode. PMID:25814770

  18. Non-linear optics of nano-scale pentacene thin film

    Science.gov (United States)

    Yahia, I. S.; Alfaify, S.; Jilani, Asim; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; Abutalib, M. M.; Al-Bassam, A.; El-Naggar, A. M.

    2016-07-01

    We have found the new ways to investigate the linear/non-linear optical properties of nanostructure pentacene thin film deposited by thermal evaporation technique. Pentacene is the key material in organic semiconductor technology. The existence of nano-structured thin film was confirmed by atomic force microscopy and X-ray diffraction. The wavelength-dependent transmittance and reflectance were calculated to observe the optical behavior of the pentacene thin film. It has been observed the anomalous dispersion at wavelength λ 800. The non-linear refractive index of the deposited films was investigated. The linear optical susceptibility of pentacene thin film was calculated, and we observed the non-linear optical susceptibility of pentacene thin film at about 6 × 10-13 esu. The advantage of this work is to use of spectroscopic method to calculate the liner and non-liner optical response of pentacene thin films rather than expensive Z-scan. The calculated optical behavior of the pentacene thin films could be used in the organic thin films base advanced optoelectronic devices such as telecommunications devices.

  19. Role of temperature and energy density in the pulsed laser deposition of zirconium oxide thin film

    International Nuclear Information System (INIS)

    Mittra, Joy; Abraham, G.J.; Viswanadham, C.S.; Kulkarni, U.D.; Dey, G.K.

    2011-01-01

    Present work brings out the effects of energy density and substrate temperature on pulsed laser deposition of zirconium oxide thin film on Zr-base alloy substrates. The ablation of sintered zirconia has been carried out using a KrF excimer laser having 30 ns pulse width and 600 mJ energy at source at 10 Hz repetition rate. To comprehend effects of these parameters on the synthesized thin film, pure zirconia substrate has been ablated at two different energy densities, 2 J.cm -2 and 5 J.cm -2 , keeping the substrate at 300 K, 573 K and 873 K, respectively. After visual observation, deposited thin films have been examined using Raman Spectroscopy (RS) and X-ray Photo-electron Spectroscopy (XPS). It has been found that the oxide deposited at 300 K temperature does not show good adherence with the substrate and deteriorates further with the reduction in energy density of the incident laser. The oxide films, deposited at 573 K and 873 K, have been found to be adherent with the substrate and appear lustrous black. These indicate that the threshold for adherence of the zirconia film on the Zr-base alloy substrate lies in between 300 K and 573 K. Analysis of Raman spectra has indicated that thin films of zirconia, deposited using pulsed laser, on the Zr-base metallic substrate are initially in amorphous state. Experimental evidence has indicated a strong link among the degree of crystallinity of the deposited oxide film, the substrate temperature and the energy density. It also has shown that the crystallization of the oxide film is dependent on the substrate temperature and the duration of holding at high temperature. The O:Zr ratios of the films, analyzed from the XPS data, have been found to be close to but less than 2. This appears to explain the reason for the transformation of amorphous oxide into monoclinic and tetragonal phases, below 573 K, and not into cubic phase, which is reported to be more oxygen deficient. (author)

  20. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  1. The uniformity study of non-oxide thin film at device level using electron energy loss spectroscopy

    Science.gov (United States)

    Li, Zhi-Peng; Zheng, Yuankai; Li, Shaoping; Wang, Haifeng

    2018-05-01

    Electron energy loss spectroscopy (EELS) has been widely used as a chemical analysis technique to characterize materials chemical properties, such as element valence states, atoms/ions bonding environment. This study provides a new method to characterize physical properties (i.e., film uniformity, grain orientations) of non-oxide thin films in the magnetic device by using EELS microanalysis on scanning transmission electron microscope. This method is based on analyzing white line ratio of spectra and related extended energy loss fine structures so as to correlate it with thin film uniformity. This new approach can provide an effective and sensitive method to monitor/characterize thin film quality (i.e., uniformity) at atomic level for thin film development, which is especially useful for examining ultra-thin films (i.e., several nanometers) or embedded films in devices for industry applications. More importantly, this technique enables development of quantitative characterization of thin film uniformity and it would be a remarkably useful technique for examining various types of devices for industrial applications.

  2. Thermal stability of pulsed laser deposited iridium oxide thin films at low oxygen atmosphere

    Science.gov (United States)

    Gong, Yansheng; Wang, Chuanbin; Shen, Qiang; Zhang, Lianmeng

    2013-11-01

    Iridium oxide (IrO2) thin films have been regarded as a leading candidate for bottom electrode and diffusion barrier of ferroelectric capacitors, some process related issues need to be considered before integrating ferroelectric capacitors into memory cells. This paper presents the thermal stability of pulsed laser deposited IrO2 thin films at low oxygen atmosphere. Emphasis was given on the effect of post-deposition annealing temperature at different oxygen pressure (PO2) on the crystal structure, surface morphology, electrical resistivity, carrier concentration and mobility of IrO2 thin films. The results showed that the thermal stability of IrO2 thin films was strongly dependent on the oxygen pressure and annealing temperature. IrO2 thin films can stably exist below 923 K at PO2 = 1 Pa, which had a higher stability than the previous reported results. The surface morphology of IrO2 thin films depended on PO2 and annealing temperature, showing a flat and uniform surface for the annealed films. Electrical properties were found to be sensitive to both the annealing temperature and oxygen pressure. The room-temperature resistivity of IrO2 thin films with a value of 49-58 μΩ cm increased with annealing temperature at PO2 = 1 Pa. The thermal stability of IrO2 thin films as a function of oxygen pressure and annealing temperature was almost consistent with thermodynamic calculation.

  3. Grain-size effect on the electrical properties of nanocrystalline indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Hoon [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); Kim, Young Heon, E-mail: young.h.kim@kriss.re.kr [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Ahn, Sang Jung [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Ha, Tae Hwan [University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Future Biotechnology Research Division, Korea Research Institute of Bioscience and Biotechnology (KRIBB), 125 Gwahak-ro, Yuseong-Gu, Daejeon 305-806 (Korea, Republic of); Kim, Hong Seung [Department of Nano Semiconductor Engineering, Korea Maritime and Ocean University, 727 Taejong-Ro, Busan 606-791 (Korea, Republic of)

    2015-09-15

    Highlights: • Nanometer-sized small grains were observed in the ITO thin films. • The grain size increased as the post-thermal annealing temperature increased. • The mobility of ITO thin films increased with increasing grain size. • The ITO film annealed at 300 °C was an amorphous phase, while the others were polycrystalline structure. - Abstract: In this paper, we demonstrate the electrical properties, depending on grain size, of nanocrystalline indium tin oxide (ITO) thin films prepared with a solution process. The size distributions of nanometer-sized ITO film grains increased as the post-annealing temperature increased after deposition; the grain sizes were comparable with the calculated electron mean free path. The mobility of ITO thin films increased with increasing grain size; this phenomenon was explained by adopting the charge-trapping model for grain boundary scattering. These findings suggest that it is possible to improve mobility by reducing the number of trapping sites at the grain boundary.

  4. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  5. Thin film heterojunction photovoltaic cells and methods of making the same

    Science.gov (United States)

    Basol, Bulent M.; Tseng, Eric S.; Rod, Robert L.

    1983-06-14

    A method of fabricating a thin film heterojunction photovoltaic cell which comprises depositing a film of a near intrinsic or n-type semiconductor compound formed of at least one of the metal elements of Class II B of the Periodic Table of Elements and at least tellurium and then heating said film at a temperature between about 250.degree. C. and 500.degree. C. for a time sufficient to convert said film to a suitably low resistivity p-type semiconductor compound. Such film may be deposited initially on the surface of an n-type semiconductor substrate. Alternatively, there may be deposited on the converted film a layer of n-type semiconductor compound different from the film semiconductor compound. The resulting photovoltaic cell exhibits a substantially increased power output over similar cells not subjected to the method of the present invention.

  6. Effects of accelerated degradation on metal supported thin film-based solid oxide fuel cell

    DEFF Research Database (Denmark)

    Reolon, R. P.; Sanna, S.; Xu, Yu

    2018-01-01

    A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte and nanostruct......A thin film-based solid oxide fuel cell is deposited on a Ni-based metal porous support by pulsed laser deposition with a multi-scale-graded microstructure design. The fuel cell, around 1 μm in thickness, is composed of a stabilized-zirconia/doped-ceria bi-layered dense electrolyte......, electrochemical performances are steady, indicating the stability of the cell. Under electrical load, a progressive degradation is activated. Post-test analysis reveals both mechanical and chemical degradation of the cell. Cracks and delamination of the thin films promote a significant nickel diffusion and new...

  7. Copper oxide thin films anchored on glass substrate by sol gel spin coating technique

    Science.gov (United States)

    Krishnaprabha, M.; Venu, M. Parvathy; Pattabi, Manjunatha

    2018-05-01

    Owing to the excellent optical, thermal, electrical and photocatalytic properties, copper oxide nanoparticles/films have found applications in optoelectronic devices like solar/photovoltaic cells, lithium ion batteries, gas sensors, catalysts, magnetic storage media etc. Copper oxide is a p-type semiconductor material having a band gap energy varying from 1.2 eV-2.1 eV. Syzygium Samarangense fruit extract was used as reducing agent to synthesize copper oxide nanostructures at room temperature from 10 mM copper sulphate pentahydrate solution. The synthesized nanostructures are deposited onto glass substrate by spin coating followed by annealing the film at 200 °C. Both the copper oxide colloid and films are characterized using UV-Vis spectroscopy, field emission scanning electron microscopy (FESEM) and energy dispersive spectroscopy (EDS) techniques. Presence of 2 peaks at 500 nm and a broad peak centered around 800 nm in the UV-Vis absorbance spectra of copper oxide colloid/films is indicative of the formation of anisotropic copper oxide nanostructures is confirmed by the FESEM images which showed the presence of triangular shaped and rod shaped particles. The rod shaped particles inside island like structures were found in unannealed films whereas the annealed films contained different shaped particles with reduced sizes. The elemental analysis using EDS spectra of copper oxide nanoparticles/films showed the presence of both copper and oxygen. Electrical properties of copper oxide nanoparticles are affected due to quantum size effect. The electrical studies carried out on both unannealed and annealed copper oxide films revealed an increase in resistivity with annealing of the films.

  8. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  9. Highly conductive grain boundaries in copper oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deuermeier, Jonas, E-mail: j.deuermeier@campus.fct.unl.pt [Department of Materials Science, Faculty of Science and Technology, i3N/CENIMAT, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Department of Materials and Earth Sciences, Technische Universität Darmstadt, Jovanka-Bontschits-Straße 2, D-64287 Darmstadt (Germany); Wardenga, Hans F.; Morasch, Jan; Siol, Sebastian; Klein, Andreas, E-mail: aklein@surface.tu-darmstadt.de [Department of Materials and Earth Sciences, Technische Universität Darmstadt, Jovanka-Bontschits-Straße 2, D-64287 Darmstadt (Germany); Nandy, Suman; Calmeiro, Tomás; Martins, Rodrigo; Fortunato, Elvira [Department of Materials Science, Faculty of Science and Technology, i3N/CENIMAT, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal)

    2016-06-21

    High conductivity in the off-state and low field-effect mobility compared to bulk properties is widely observed in the p-type thin-film transistors of Cu{sub 2}O, especially when processed at moderate temperature. This work presents results from in situ conductance measurements at thicknesses from sub-nm to around 250 nm with parallel X-ray photoelectron spectroscopy. An enhanced conductivity at low thickness is explained by the occurrence of Cu(II), which is segregated in the grain boundary and locally causes a conductivity similar to CuO, although the surface of the thick film has Cu{sub 2}O stoichiometry. Since grains grow with an increasing film thickness, the effect of an apparent oxygen excess is most pronounced in vicinity to the substrate interface. Electrical properties of Cu{sub 2}O grains are at least partially short-circuited by this effect. The study focuses on properties inherent to copper oxide, although interface effects cannot be ruled out. This non-destructive, bottom-up analysis reveals phenomena which are commonly not observable after device fabrication, but clearly dominate electrical properties of polycrystalline thin films.

  10. The optical properties of plasma polymerized polyaniline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Goktas, Hilal, E-mail: hilal_goktas@yahoo.com [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Demircioglu, Zahide; Sel, Kivanc [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Gunes, Taylan [Yalova University, Energy Systems Engineering Department, 77100 Yalova (Turkey); Kaya, Ismet [Canakkale Onsekiz Mart University, Chemistry Department, 17020 Canakkale (Turkey)

    2013-12-02

    We report herein the characterizations of polyaniline thin films synthesized using double discharge plasma system. Quartz glass substrates were coated at a pressure of 80 Pa, 19.0 kV pulsed and 1.5 kV dc potential. The substrates were located at different regions in the reactor to evaluate the influence of the position on the morphological and molecular structure of the obtained thin films. The molecular structure of the thin films was investigated by Fourier transform infrared (FTIR) and UV–visible photospectrometers (UV–vis), and the morphological studies were carried out by scanning electron microscope. The FTIR and UV–vis data revealed that the molecular structures of the synthesized thin films were in the form of leuocoemeraldine and exhibited similar structures with the films produced via chemical or electrochemical methods. The optical energy band gap values of the as-grown samples ranged from 2.5 to 3.1 eV, which indicated that these materials have potential applications in semiconductor devices. The refractive index in the transparent region (from 650 to 1000 nm) steadily decreased from 1.9 to 1.4 and the extinction coefficient was found to be on order of 10{sup −4}. The synthesized thin films showed various degrees of granular morphologies depending on the location of the substrate in the reactor. - Highlights: • Polyaniline thin films were synthesized for the first time via double discharge plasma system. • The films have similar structure to that of the chemically synthesized films. • The morphology of the films could be tuned by this technique. • These materials would have potential applications at semiconductor devices.

  11. Semiconductor properties and protective role of passive films of iron base alloys

    International Nuclear Information System (INIS)

    Fujimoto, Shinji; Tsuchiya, Hiroaki

    2007-01-01

    Semiconductor properties of passive films formed on the Fe-18Cr alloy in a borate buffer solution (pH = 8.4) and 0.1 M H 2 SO 4 solution were examined using a photoelectrochemical spectroscopy and an electrochemical impedance spectroscopy. Photo current reveals two photo action spectra that derived from outer hydroxide and inner oxide layers. A typical n-type semiconductor behaviour is observed by both photo current and impedance for the passive films formed in the borate buffer solution. On the other hand, a negative photo current generated, the absolute value of which decreased as applied potential increased in the sulfuric acid solution. This indicates that the passive film behaves as a p-type semiconductor. However, Mott-Schottky plot revealed the typical n-type semiconductor property. It is concluded that the passive film on the Fe-18Cr alloy formed in the borate buffer solution is composed of both n-type outer hydroxide and inner oxide layers. On the other hand, the passive film of the Fe-18Cr alloy in the sulphuric acid consists of p-type oxide and n-type hydroxide layers. The behaviour of passive film growth and corrosion was discussed in terms of the electronic structure in the passive film

  12. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  13. Amorphous Zinc Oxide Integrated Wavy Channel Thin Film Transistor Based High Performance Digital Circuits

    KAUST Repository

    Hanna, Amir; Hussain, Aftab M.; Omran, Hesham; Alshareef, Sarah; Salama, Khaled N.; Hussain, Muhammad Mustafa

    2015-01-01

    High performance thin film transistor (TFT) can be a great driving force for display, sensor/actuator, integrated electronics, and distributed computation for Internet of Everything applications. While semiconducting oxides like zinc oxide (Zn

  14. Gasochromic performance of WO3-nanorod thin films fabricated with an ArF excimer laser

    International Nuclear Information System (INIS)

    Yaacob, M. H.; Ou, J. Z.; Wlodarski, W.; Kim, C. S.; Lee, J. Y.; Kim, Y. H.; Oh, C. M.; Dhakal, K. P.; Kim, J. Y.; Kang, J. H.

    2012-01-01

    Thin films with tungsten trioxide (WO 3 ) nanorods were fabricated by using an ArF pulsed laser deposition system. Because the ArF excimer laser operates at a very short wavelength of 193 nm, short enough to expect strong absorption of the photons in the semiconductor oxide targets, and because the clusters incoming to the substrates have high momentum, we could build thin films with good surface morphology. Highly homogeneous arrays of nanorods with sizes mostly in the range of 30 - 40 nm were observed. The absorbance response towards hydrogen (H 2 ) gas was investigated for a WO 3 film coated with 25-A-thick palladium (Pd). The Pd/WO 3 -nanorod thin films exhibited excellent gasochromic response when measured in the visible-NIR range (400 - 1000 nm). As low as 0.06% H 2 concentration was clearly sensed. A significant reversible absorbance change and fast recovery ( 2 at different concentrations.

  15. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  16. Electrodeposition of In{sub 2}O{sub 3} thin films from a dimethylsulfoxide based electrolytic solution

    Energy Technology Data Exchange (ETDEWEB)

    Henriquez, R.; Munoz, E.; Gomez, H. [Instituto de Quimica, Facultad de Ciencias, Pontificia Universidad Catolica de Valparaiso, Curauma Valparaiso (Chile); Dalchiele, E.A.; Marotti, R.E. [Instituto de Fisica and CINQUIFIMA, Facultad de Ingenieria, Montevideo (Uruguay); Martin, F.; Leinen, D.; Ramos-Barrado, J.R. [Laboratorio de Materiales y Superficie, Departamento de Fisica Aplicada and Ingenieria Quimica, Universidad de Malaga (Spain)

    2013-02-15

    Indium (III) oxide (In{sub 2}O{sub 3}) thin films have been obtained after heat treatment of In(OH){sub 3} precursor layers grown by a potential cycling electrodeposition (PCED) method from a dimethylsulfoxide (DMSO) based electrolytic solution onto fluorine-doped tin oxide (FTO) coated glass substrates. X-ray diffraction (XRD) measurements indicate the formation of a polycrystalline In{sub 2}O{sub 3} phase with a cubic structure. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) showed a smooth morphology of the In{sub 2}O{sub 3} thin films after an optimized heat treatment had been developed. The surface composition and chemical state of the semiconductor films was established by X-ray photoelectron spectroscopy analysis. The nature of the semiconductor material, flat band potential and donor density were determined from Mott-Schottky plots. This study reveals that the In{sub 2}O{sub 3} films exhibited n-type conductivity with an average donor density of 2.2 x 10{sup 17} cm{sup -3}. The optical characteristics were determined through transmittance spectra. The direct and indirect band gap values obtained are according to the accepted values for the In{sub 2}O{sub 3} films of 2.83 and 3.54 eV for the indirect and direct band gap values. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. The growth and evolution of thin oxide films on delta-plutonium surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Garcia Flores, Harry G [Los Alamos National Laboratory; Pugmire, David L [Los Alamos National Laboratory

    2009-01-01

    The common oxides of plutonium are the dioxide (PuO{sub 2}) and the sesquioxide (Pu{sub 2}O{sub 3}). The structure of an oxide on plutonium metal under air at room temperature is typically described as a thick PuO{sub 2} film at the gas-oxide interface with a thinner PuO{sub 2} film near the oxide-metal substrate interface. In a reducing environment, such as ultra high vacuum, the dioxide (Pu{sup 4+}; O/Pu = 2.0) readily converts to the sesquioxide (Pu{sup 3+}; O/Pu = 1.5) with time. In this work, the growth and evolution of thin plutonium oxide films is studied with x-ray photoelectron spectroscopy (XPS) under varying conditions. The results indicate that, like the dioxide, the sesquioxide is not stable on a very clean metal substrate under reducing conditions, resulting in substoichiometric films (Pu{sub 2}O{sub 3-y}). The Pu{sub 2}O{sub 3-y} films prepared exhibit a variety of stoichiometries (y = 0.2-1) as a function of preparation conditions, highlighting the fact that caution must be exercised when studying plutonium oxide surfaces under these conditions and interpreting resulting data.

  18. Crystal orientation dependent thermoelectric properties of highly oriented aluminum-doped zinc oxide thin films

    KAUST Repository

    Abutaha, Anas I.; Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    We demonstrate that the thermoelectric properties of highly oriented Al-doped zinc oxide (AZO) thin films can be improved by controlling their crystal orientation. The crystal orientation of the AZO films was changed by changing the temperature

  19. Geometric shape control of thin film ferroelectrics and resulting structures

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2000-01-01

    A monolithic crystalline structure and a method of making involves a semiconductor substrate, such as silicon, and a ferroelectric film, such as BaTiO.sub.3, overlying the surface of the substrate wherein the atomic layers of the ferroelectric film directly overlie the surface of the substrate. By controlling the geometry of the ferroelectric thin film, either during build-up of the thin film or through appropriate treatment of the thin film adjacent the boundary thereof, the in-plane tensile strain within the ferroelectric film is relieved to the extent necessary to permit the ferroelectric film to be poled out-of-plane, thereby effecting in-plane switching of the polarization of the underlying substrate material. The method of the invention includes the steps involved in effecting a discontinuity of the mechanical restraint at the boundary of the ferroelectric film atop the semiconductor substrate by, for example, either removing material from a ferroelectric film which has already been built upon the substrate, building up a ferroelectric film upon the substrate in a mesa-shaped geometry or inducing the discontinuity at the boundary by ion beam deposition techniques.

  20. Effect of Target Density on Microstructural, Electrical, and Optical Properties of Indium Tin Oxide Thin Films

    Science.gov (United States)

    Zhu, Guisheng; Zhi, Li; Yang, Huijuan; Xu, Huarui; Yu, Aibing

    2012-09-01

    In this paper, indium tin oxide (ITO) targets with different densities were used to deposit ITO thin films. The thin films were deposited from these targets at room temperature and annealed at 750°C. Microstructural, electrical, and optical properties of the as-prepared films were studied. It was found that the target density had no effect on the properties or deposition rate of radiofrequency (RF)-sputtered ITO thin films, different from the findings for direct current (DC)-sputtered films. Therefore, when using RF sputtering, the target does not require a high density and may be reused.

  1. Indium oxide thin film based ammonia gas and ethanol vapour sensor

    Indian Academy of Sciences (India)

    Unknown

    acetone and dried under an electric lamp (100 W). Thin films of indium oxide ... A λ-19, UV–VIS Spectrophotometer (Perkin Elmer, USA) was used for measuring .... tion of ammonia is observed through glowing of LED. LM3914, LED driver is ...

  2. Effects of high-temperature thermal annealing on the electronic properties of In-Ga-Zn oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Li, Qin; Song, Zhong Xiao; Ma, Fei, E-mail: mafei@mail.xjtu.edu.cn, E-mail: liyhemail@gmail.com; Li, Yan Huai, E-mail: mafei@mail.xjtu.edu.cn, E-mail: liyhemail@gmail.com [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an, Shaanxi 710049 (China); Xu, Ke Wei [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an, Shaanxi 710049, China and Department of Physics and Opt-electronic Engineering, Xi' an University of Arts and Science, Xi' an, Shaanxi 710065 (China)

    2015-03-15

    Indium gallium zinc oxide (IGZO) thin films were deposited by radio-frequency magnetron sputtering at room-temperature. Then, thermal annealing was conducted to improve the structural ordering. X-ray diffraction and high-resolution transmission electron microscopy demonstrated that the as-deposited IGZO thin films were amorphous and crystallization occurred at 800 and 950 °C. As a result of crystallization at high temperature, the carrier concentration and the Hall mobility of IGZO thin films were sharply increased, which could be ascribed to the increased oxygen vacancies and improved structural ordering of the thin films.

  3. Layer-by-layer modification of thin-film metal-semiconductor multilayers with ultrashort laser pulses

    Science.gov (United States)

    Romashevskiy, S. A.; Tsygankov, P. A.; Ashitkov, S. I.; Agranat, M. B.

    2018-05-01

    The surface modifications in a multilayer thin-film structure (50-nm alternating layers of Si and Al) induced by a single Gaussian-shaped femtosecond laser pulse (350 fs, 1028 nm) in the air are investigated by means of atomic-force microscopy (AFM), scanning electron microscopy (SEM), and optical microscopy (OM). Depending on the laser fluence, various modifications of nanometer-scale metal and semiconductor layers, including localized formation of silicon/aluminum nanofoams and layer-by-layer removal, are found. While the nanofoams with cell sizes in the range of tens to hundreds of nanometers are produced only in the two top layers, layer-by-layer removal is observed for the four top layers under single pulse irradiation. The 50-nm films of the multilayer structure are found to be separated at their interfaces, resulting in a selective removal of several top layers (up to 4) in the form of step-like (concentric) craters. The observed phenomenon is associated with a thermo-mechanical ablation mechanism that results in splitting off at film-film interface, where the adhesion force is less than the bulk strength of the used materials, revealing linear dependence of threshold fluences on the film thickness.

  4. Synthesis and characterization of electrochemically deposited nanocrystalline CdTe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Ragini Raj, E-mail: raginirajsingh@gmail.com [Department of Physics, Bhopal University, Bhopal-462026 (India); Department of Physical Electronics, Iby and Aladar Fleishman Faculty of Engineering, Tel-Aviv University, Tel-Aviv-69978 (Israel); Painuly, Diksha [Centre for Nanoscience and Nanotechnology, University of Kerala, Thiruanantpuram, Kerala (India); Pandey, R.K. [Department of Physics, Bhopal University, Bhopal-462026 (India)

    2009-07-15

    Electrodeposition is emerging as a method for the synthesis of semiconductor thin films and nanostructures. In this work we prepared the nanocrystalline CdTe thin films on indium tin oxide coated glass substrate from aqueous acidic bath at the deposition temperature 50 {+-} 1 deg. C. The films were grown potentiostatically from -0.60 V to -0.82 V with respect to saturated calomel reference electrode. The structural, compositional, morphological and optical properties were investigated using X-ray diffraction (XRD), energy dispersive analysis by X-rays (EDAX), atomic force microscopy (AFM), and UV-vis spectroscopy respectively and cyclic voltammetery. The structural and optical studies revealed that films are nanocrystalline in nature and possess cubic phase, also the films are preferentially oriented along the cubic (1 1 1) plane. The effect of cadmium composition on the deposited morphology was also investigated. The size dependent blue shift in the experimentally determined absorption edge has been compared with the theoretical predictions based on the effective mass approximation and tight binding approximation. It is shown that the experimentally determined absorption edges depart from the theoretically calculated values.

  5. Photocatalytic activity of galvanically synthesized nanostructure SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jana, Sumanta, E-mail: sumantajana85@gmail.com [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mitra, Bibhas Chandra [Department of Physics, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Bera, Pulakesh [Department of Chemistry, Panskura Banamali College, Purba Medinipur, Panskura 721152, WB (India); Sikdar, Moushumi [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mondal, Anup, E-mail: anupmondal2000@yahoo.co.in [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India)

    2014-07-25

    Graphical abstract: Nanostructured porous tin dioxide (SnO{sub 2}) thin films have been synthesized by simple and cost effective galvanic technique. The synthesized porous SnO{sub 2} thin films show excellent photocatalytic activity for degrading methyl orange (MO) dye under light irradiation. The porous morphological grain growth due to annealing is likely to play an active role for this degradation. - Highlights: • SnO{sub 2} thin films have been successfully synthesized by galvanic technique. • A drastic morphological change occurs after annealing as deposited SnO{sub 2} thin films. • Morphological advantage results enhanced photodegradation of dye. - Abstract: The study demonstrates an approach to synthesize nanostructure SnO{sub 2} thin films on TCO (transparent conducting oxide) coated glass substrates by galvanic technique. Aqueous solution of hydrated stannic chloride (SnCl{sub 4}⋅5H{sub 2}O) in potassium nitrate (KNO{sub 3}) solution was used as the working solution. The process involves no sophisticated reactor or toxic chemicals, and proceeds continuously under ambient condition; it provides an economic way of synthesizing nanostructure SnO{sub 2} semiconductor thin films. The influence of sintering temperature on crystalline structure, morphology, electrical and dielectric properties has been studied. A detail analysis of I−V, C−V and dielectrics for annealed SnO{sub 2} thin films have been carried out. The morphological advantage i.e. nanoporous flake like structure allows more efficient transport of reactant molecules to the active interfaces and results a strong photocatalytic activity for degrading methyl orange (MO) dye.

  6. Remote plasma deposition of textured zinc oxide with focus on thin film solar cell applications : material properties, plasma processes and film growth

    NARCIS (Netherlands)

    Groenen, R.

    2005-01-01

    Simultaneously possessing transparency in the visible region, close to that of insulators, and electrical conductivity, close to that of metals, transparent conducting oxide (TCO) thin films form a highly attractive class of materials for a wide variety of applications like thin film solar cells,

  7. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  8. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  9. Electrical instability of InGaZnO thin-film transistors with and without titanium sub-oxide layer under light illumination

    Science.gov (United States)

    Chiu, Y. C.; Zheng, Z. W.; Cheng, C. H.; Chen, P. C.; Yen, S. S.; Fan, C. C.; Hsu, H. H.; Kao, H. L.; Chang, C. Y.

    2017-03-01

    The electrical instability behaviors of amorphous indium-gallium-zinc oxide thin-film transistors with and without titanium sub-oxide passivation layer were investigated under light illumination in this study. For the unpassivated IGZO TFT device, in contrast with the dark case, a noticeable increase of the sub-threshold swing was observed when under the illumination environment, which can be attributed to the generation of ionized oxygen vacancies within the α-IGZO active layer by high energy photons. For the passivated TFT device, the much smaller SS of 70 mV/dec and high device mobility of >100 cm2/Vs at a drive voltage of 3 V with negligible degradation under light illumination are achieved due to the passivation effect of n-type titanium sub-oxide semiconductor, which may create potential application for high-performance display.

  10. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  11. Thin film bismuth iron oxides useful for piezoelectric devices

    Science.gov (United States)

    Zeches, Robert J.; Martin, Lane W.; Ramesh, Ramamoorthy

    2016-05-31

    The present invention provides for a composition comprising a thin film of BiFeO.sub.3 having a thickness ranging from 20 nm to 300 nm, a first electrode in contact with the BiFeO.sub.3 thin film, and a second electrode in contact with the BiFeO.sub.3 thin film; wherein the first and second electrodes are in electrical communication. The composition is free or essentially free of lead (Pb). The BFO thin film is has the piezoelectric property of changing its volume and/or shape when an electric field is applied to the BFO thin film.

  12. Deposition of indium tin oxide thin films by cathodic arc ion plating

    International Nuclear Information System (INIS)

    Yang, M.-H.; Wen, J.-C.; Chen, K.-L.; Chen, S.-Y.; Leu, M.-S.

    2005-01-01

    Indium tin oxide (ITO) thin films have been deposited by cathodic arc ion plating (CAIP) using sintered oxide target as the source material. In an oxygen atmosphere of 200 deg. C, ITO films with a lowest resistivity of 2.2x10 -4 Ω-cm were obtained at a deposition rate higher than 450 nm/min. The carrier mobility of ITO shows a maximum at some medium pressures. Although morphologically ITO films with a very fine nanometer-sized structure were observed to possess the lowest resistivity, more detailed analyses based on X-ray diffraction are attempted to gain more insight into the factors that govern electron mobility in this investigation

  13. a Brief Survey on Basic Properties of Thin Films for Device Application

    Science.gov (United States)

    Rao, M. C.; Shekhawat, M. S.

    Thin film materials are the key elements of continued technological advances made in the fields of optoelectronic, photonic and magnetic devices. Thin film studies have directly or indirectly advanced many new areas of research in solid state physics and chemistry which are based on phenomena uniquely characteristic of the thickness, geometry and structure of the film. The processing of materials into thin films allows easy integration into various types of devices. Thin films are extremely thermally stable and reasonably hard, but they are fragile. On the other hand organic materials have reasonable thermal stability and are tough, but are soft. Thin film mechanical properties can be measured by tensile testing of freestanding films and by the micro beam cantilever deflection technique, but the easiest way is by means of nanoindentation. Optical experiments provide a good way of examining the properties of semiconductors. Particularly measuring the absorption coefficient for various energies gives information about the band gaps of the material. Thin film materials have been used in semiconductor devices, wireless communications, telecommunications, integrated circuits, rectifiers, transistors, solar cells, light-emitting diodes, photoconductors and light crystal displays, lithography, micro- electromechanical systems (MEMS) and multifunctional emerging coatings, as well as other emerging cutting technologies.

  14. Light-induced hysteresis and recovery behaviors in photochemically activated solution-processed metal-oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jo, Jeong-Wan; Park, Sung Kyu, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr [School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 156-756 (Korea, Republic of); Kim, Yong-Hoon, E-mail: yhkim76@skku.edu, E-mail: skpark@cau.ac.kr [School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-07-28

    In this report, photo-induced hysteresis, threshold voltage (V{sub T}) shift, and recovery behaviors in photochemically activated solution-processed indium-gallium-zinc oxide (IGZO) thin-film transistors (TFTs) are investigated. It was observed that a white light illumination caused negative V{sub T} shift along with creation of clockwise hysteresis in electrical characteristics which can be attributed to photo-generated doubly ionized oxygen vacancies at the semiconductor/gate dielectric interface. More importantly, the photochemically activated IGZO TFTs showed much reduced overall V{sub T} shift compared to thermally annealed TFTs. Reduced number of donor-like interface states creation under light illumination and more facile neutralization of ionized oxygen vacancies by electron capture under positive gate potential are claimed to be the origin of the less V{sub T} shift in photochemically activated TFTs.

  15. Three-dimensional minority carrier lifetime mapping of thin film semiconductors for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Hardin, Brian [PLANT PV, Inc., Belmont, CA (United States); Peters, Craig [PLANT PV, Inc., Belmont, CA (United States); Barnard, Edward [PLANT PV, Inc., Belmont, CA (United States)

    2015-09-30

    This project addresses the difficulty of accurately measuring charge carrier dynamics in novel semiconductor materials for thin film photovoltaic cells. We have developed a two- photon lifetime tomography technique to separate bulk minority carrier lifetime from surface recombination effects and effects of recombination at sub-surface defects. This technique also enables us to characterize how local defects such as grain boundaries– buried below the surface of a sample–affect carrier lifetimes in the active layer, dynamics that have been previously inaccessible. We have applied this newly developed technique to illuminate how CdCl2 treatment improves CdTe PV efficiency. From striking 3D lifetime tomography maps, a clear, sub- surface understanding emerges of the photophysical changes that occur in CdTe active medium following exposure to CdCl2, a standard step in the fabrication of high-efficiency CdTe-based solar cells. This work demonstrates a well-defined method to quantify grain-boundary, interface, and bulk recombination in CdTe and other optically-active polycrystalline semiconductor materials; information that can provide critical information to the development of next- generation photovoltaics and many other semiconductor technologies.

  16. Study of Interfacial Interactions Using Thin Film Surface Modification: Radiation and Oxidation Effects in Materials

    International Nuclear Information System (INIS)

    2014-01-01

    Interfaces play a key role in dictating the long-term stability of materials under the influence of radiation and high temperatures. For example, grain boundaries affect corrosion by way of providing kinetically favorable paths for elemental diffusion, but they can also act as sinks for defects and helium generated during irradiation. Likewise, the retention of high-temperature strength in nanostructured, oxide-dispersion strengthened steels depends strongly on the stoichiometric and physical stability of the (Y, Ti)-oxide particles/matrix interface under radiation and high temperatures. An understanding of these interfacial effects at a fundamental level is important for the development of materials for extreme environments of nuclear reactors. The goal of this project is to develop an understanding stability of interfaces by depositing thin films of materials on substrates followed by ion irradiation of the film-substrate system at elevated temperatures followed by post-irradiation oxidation treatments. Specifically, the research will be performed by depositing thin films of yttrium and titanium (~500 nm) on Fe-12%Cr binary alloy substrate. Y and Ti have been selected as thin-film materials because they form highly stable protective oxides layers. The Fe-12%Cr binary alloy has been selected because it is representative of ferritic steels that are widely used in nuclear systems. The absence of other alloying elements in this binary alloy would allow for a clearer examination of structures and compositions that evolve during high-temperature irradiations and oxidation treatments. The research is divided into four specific tasks: (1) sputter deposition of 500 nm thick films of Y and Ti on Fe-12%Cr alloy substrates, (2) ion irradiation of the film-substrate system with 2MeV protons to a dose of 2 dpa at temperatures of 300°C, 500°C, and 700°C, (3) oxidation of as-deposited and ion-irradiated samples in a controlled oxygen environment at 500°C and 700°C, (4

  17. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.

    2013-05-08

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a decrease in zinc tin oxide film thickness, and (ii) an increase in oxygen vacancy concentration. The devices prepared without soft annealing exhibited inferior transistor performances, in comparison to devices in which the active channel layer (zinc tin oxide) was subjected to soft annealing. The highest saturation field-effect mobility - 5.6 cm2 V-1 s-1 with a drain-to-source on-off current ratio (Ion/Ioff) of 2 × 108 - was achieved in the case of devices with 10-min soft-annealed zinc tin oxide thin films as the channel layer. The findings of this work identify soft annealing as a critical parameter for the processing of chemically derived thin-film transistors, and it correlates device performance to the changes in material structure induced by soft annealing. © 2013 American Chemical Society.

  18. ZnTe Amorphous Semiconductor Nanowires Array Electrodeposited into Polycarbonate Membrane Thin Films

    International Nuclear Information System (INIS)

    Ohgai, T; Ikeda, T; Ohta, J

    2013-01-01

    ZnTe amorphous semiconductor nanowires array was electrodeposited into the nanochannels of ion-track etched polycarbonate membrane thin films from acidic aqueous solution at 313 K. ZnTe electrodeposits with Zn-rich composition was obtained over the wide range of cathode potential from −0.8 V to −1.1 V and the growth rate of ZnTe amorphous nanowires was around 3 nm.sec −1 at the cathode potential of −0.8 V. Cylindrical shape of the nanowires was precisely transferred from the nanochannels and the aspect ratio reached up to ca. 40. ZnTe amorphous phase electrodeposited at 313 K was crystallized by annealing at 683 K and the band gap energy of ZnTe crystalline phase reached up to ca. 2.13 eV.

  19. Effect of Different Post Deposition Annealing Treatments on Properties of Zinc Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Arti Arora

    2010-06-01

    Full Text Available Two different post deposition annealing atmospheres of oxygen and forming gas have been investigated for the improvement of rf sputtered zinc oxide thin films. The results show that type of atmosphere (oxidant o reduction plays an important role in the changes observed in structural, electrical and optical properties. It has been found that the structural properties of rf sputtered zinc oxide films improve in all the annealing environments. The intensity and grain size increases as the annealing temperature increases. It has been found that films become stress free at lowest temperature in oxygen as compare to forming gas annealing. The zinc oxide films annealed in oxygen shows sufficient resistivity associated to high transmittance (83 % characteristics required for MEMS based acoustic devices.

  20. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  1. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    Energy Technology Data Exchange (ETDEWEB)

    Guimond, Sebastien

    2009-06-04

    The growth and the surface structure of well-ordered V{sub 2}O{sub 3}, V{sub 2}O{sub 5} and MoO{sub 3} thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V{sub 2}O{sub 3}(0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V{sub 2}O{sub 3} bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V{sub 2}O{sub 5}(001) and MoO{sub 3}(010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O{sub 2} in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V{sub 2}O{sub 5} and MoO{sub 3} layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a

  2. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    International Nuclear Information System (INIS)

    Guimond, Sebastien

    2009-01-01

    The growth and the surface structure of well-ordered V 2 O 3 , V 2 O 5 and MoO 3 thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V 2 O 3 (0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V 2 O 3 bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V 2 O 5 (001) and MoO 3 (010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O 2 in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V 2 O 5 and MoO 3 layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a monolayer is formed dur-ing the preparation of supported vanadia

  3. Metal Oxides Doped PPY-PVA Blend Thin Films Based Gas Sensor

    Directory of Open Access Journals (Sweden)

    D. B. DUPARE

    2009-02-01

    Full Text Available Synthesis of metal oxides doped polypyrrole–polyvinyl alcohol blend thin films by in situ chemical oxidative polymerization, using microwave oven on glass substrate for development of Ammonia and Trimethyl ammine hazardous gas sensor. The all experimental process carried out at room temperature(304 K. These polymer materials were characterized by Chemical analyses, spectral studies (UV-visible and IR and conductivity measurement by four –probe technique. The surface morphology as observed in the SEM image was observed to be uniformly covering the entire substrate surface. The sensor was used for different concentration (ppm of TMA and Ammonia gas investigation at room temperature (304 k. This study found to possess improved electrical, mechanical and environmental stability metal oxides doped PPY-PVA films.

  4. Voltage transients in thin-film InSb Hall sensor

    Science.gov (United States)

    Bardin, Alexey; Ignatjev, Vyacheslav; Orlov, Andrey; Perchenko, Sergey

    The work is reached to study temperature transients in thin-film Hall sensors. We experimentally study InSb thin-film Hall sensor. We find transients of voltage with amplitude about 10 μ V on the sensor ports after current switching. We demonstrate by direct measurements that the transients is caused by thermo-e.m.f., and both non-stationarity and heterogeneity of temperature in the film. We find significant asymmetry of temperature field for different direction of the current, which is probably related to Peltier effect. The result can be useful for wide range of scientist who works with switching of high density currents in any thin semiconductor films.

  5. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    Energy Technology Data Exchange (ETDEWEB)

    Tripathy, Sumanta K.; Rajeswari, V. P. [Centre for Nano Science and Technology, GVP College of Engineering (Autonomous), Visakhapatnam- 530048 (India)

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.

  6. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    International Nuclear Information System (INIS)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-01

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn 3 O 4 , corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells

  7. Controllable Electrochemical Synthesis of Reduced Graphene Oxide Thin-Film Constructed as Efficient Photoanode in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Soon Weng Chong

    2016-01-01

    Full Text Available A controllable electrochemical synthesis to convert reduced graphene oxide (rGO from graphite flakes was introduced and investigated in detail. Electrochemical reduction was used to prepare rGO because of its cost effectiveness, environmental friendliness, and ability to produce rGO thin films in industrial scale. This study aimed to determine the optimum applied potential for the electrochemical reduction. An applied voltage of 15 V successfully formed a uniformly coated rGO thin film, which significantly promoted effective electron transfer within dye-sensitized solar cells (DSSCs. Thus, DSSC performance improved. However, rGO thin films formed in voltages below or exceeding 15 V resulted in poor DSSC performance. This behavior was due to poor electron transfer within the rGO thin films caused by poor uniformity. These results revealed that DSSC constructed using 15 V rGO thin film exhibited high efficiency (η = 1.5211% attributed to its higher surface uniformity than other samples. The addition of natural lemon juice (pH ~ 2.3 to the electrolyte accelerated the deposition and strengthened the adhesion of rGO thin film onto fluorine-doped tin oxide (FTO glasses.

  8. High mobility transparent conducting oxides for thin film solar cells

    International Nuclear Information System (INIS)

    Calnan, S.; Tiwari, A.N.

    2010-01-01

    A special class of transparent conducting oxides (TCO) with high mobility of > 65 cm 2 V -1 s -1 allows film resistivity in the low 10 -4 Ω cm range and a high transparency of > 80% over a wide spectrum, from 300 nm to beyond 1500 nm. This exceptional coincidence of desirable optical and electrical properties provides opportunities to improve the performance of opto-electronic devices and opens possibilities for new applications. Strategies to attain high mobility (HM) TCO materials as well as the current status of such materials based on indium and cadmium containing oxides are presented. Various concepts used to understand the underlying mechanisms for high mobility in HMTCO films are discussed. Examples of HMTCO layers used as transparent electrodes in thin film solar cells are used to illustrate possible improvements in solar cell performance. Finally, challenges and prospects for further development of HMTCO materials are discussed.

  9. Improvement of transistor characteristics and stability for solution-processed ultra-thin high-valence niobium doped zinc-tin oxide thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jeng, Jiann-Shing, E-mail: jsjeng@mail.nutn.edu.tw

    2016-08-15

    Nb-doped Zinc tin oxide (NZTO) channel materials have been prepared by solution process in combination with the spin-coating method. All NZTO thin film transistors (TFTs) are n-type enhancement-mode devices, either without or with Nb additives. High-valence niobium ion (ionic charge = +5) has a larger ionic potential and similar ionic radius to Zn{sup 2+} and Sn{sup 4+} ions. As compared with the pure ZTO device, introducing Nb{sup 5+} ions into the ZTO channel layers can improve the electrical properties and bias stability of TFTs because of the reduction of the oxygen vacancies. This study discusses the connection among the material properties of the NZTO films and the electrical performance and bias stability of NZTO TFTs and how they are influenced by the Nb/(Nb + Sn) molar ratios of NZTO films. - Highlights: • Ultra-thin high-valence niobium doped zinc-tin oxide (NZTO) thin films are prepared using a solution process. • Nb dopants in ZTO films reduce the oxygen vacancy and subgap adsorption of the ZTO films. • The Nb-doping concentration of the NZTO channel layer has a strong influence on the TFT performance.

  10. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  11. Optoelectronic properties of sprayed transparent and conducting indium doped zinc oxide thin films

    International Nuclear Information System (INIS)

    Shinde, S S; Shinde, P S; Bhosale, C H; Rajpure, K Y

    2008-01-01

    Indium doped zinc oxide (IZO) thin films are grown onto Corning glass substrates using the spray pyrolysis technique. The effect of doping concentration on the structural, electrical and optical properties of IZO thin films is studied. X-ray diffraction studies show a change in preferential orientation from the (0 0 2) to the (1 0 1) crystal planes with increase in indium doping concentration. Scanning electron microscopy studies show polycrystalline morphology of the films. Based on the Hall-effect measurements and analysis, impurity scattering is found to be the dominant mechanism determining the diminished mobility in ZnO thin films having higher indium concentration. The addition of indium also induces a drastic decrease in the electrical resistivity of films; the lowest resistivity (4.03 x 10 -5 Ω cm) being observed for the film deposited with 3 at% indium doping. The effect of annealing on the film properties has been reported. Films deposited with 3 at% In concentration have relatively low resistivity with 90% transmittance at 550 nm and the highest value of figure of merit 7.9 x 10 -2 □ Ω -1

  12. Surfactant-assisted ultrasonic spray pyrolysis of nickel oxide and lithium-doped nickel oxide thin films, toward electrochromic applications

    Energy Technology Data Exchange (ETDEWEB)

    Denayer, Jessica [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Bister, Geoffroy [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Simonis, Priscilla [Laboratory LPS, University of Namur, rue de bruxelles 61, 5000 Namur (Belgium); Colson, Pierre; Maho, Anthony [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Aubry, Philippe [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Vertruyen, Bénédicte [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Henrist, Catherine, E-mail: catherine.henrist@ulg.ac.be [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium); Lardot, Véronique; Cambier, Francis [Environmental and Material Research Association (CRIBC-INISMa), avenue gouverneur cornez 4, 7000 Mons (Belgium); Cloots, Rudi [Group of Research in Energy and Environment for MATerials (GREENMAT), University of Liège, allée de la chimie 3, 4000 Liège (Belgium)

    2014-12-01

    Highlights: • Surfactant-assisted USP: a novel and low cost process to obtain high quality nickel oxide films, with or without lithium dopant. • Increased uniformity and reduced light scattering thanks to the addition of a surfactant. • Improved electrochromic performance (coloration efficiency and contrast) for lithium-doped films by comparison with the undoped NiO film. - Abstract: Lithium-doped nickel oxide and undoped nickel oxide thin films have been deposited on FTO/glass substrates by a surfactant-assisted ultrasonic spray pyrolysis. The addition of polyethylene glycol in the sprayed solution has led to improved uniformity and reduced light scattering compared to films made without surfactant. Furthermore, the presence of lithium ions in NiO films has resulted in improved electrochromic performances (coloration contrast and efficiency), but with a slight decrease of the electrochromic switching kinetics.

  13. Characteristics of gravure printed InGaZnO thin films as an active channel layer in thin film transistors

    International Nuclear Information System (INIS)

    Choi, Yuri; Kim, Gun Hee; Jeong, Woong Hee; Kim, Hyun Jae; Chin, Byung Doo; Yu, Jae-Woong

    2010-01-01

    Characteristics of oxide semiconductor thin film transistor prepared by gravure printing technique were studied. This device had inverted staggered structure of glass substrate/MoW/SiNx/ printed active layer. The active layer was printed with precursor of indium gallium zinc oxide solution and then annealed at 550 o C for 2 h. Influences of printing parameters (i.e. speed and force) were studied. As the gravure printing force was increased, the thickness of printed film was decreased and the refractive index of printed active layer was increased. The best printed result in our study was obtained with printing speed of 0.4 m/s, printing force of 400 N and the thickness of printed active layer was 45 nm. According to AFM image, surface of printed active layer was quite smooth and the root-mean square roughness was approximately 0.5 nm. Gravure printed active layer had a field-effect mobility of 0.81 cm 2 /Vs and an on-off current ratio was 1.36 x 10 6 .

  14. Optical and Electrical Properties of Copper Oxide Thin Films Synthesized by Spray Pyrolysis Technique

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-08-01

    Full Text Available Copper oxide (CuO thin films have been synthesized on to glass substrates at different temperatures in the range 250-450 °C by spray pyrolysis technique from aqueous solution using cupric acetate Cu(CH3COO2·H2O as a precursor. The structure of the deposited CuO thin films characterized by X-ray diffraction, the surface morphology was observed by a scanning electron microscope, the presence of elements was detected by energy dispersive X-ray analysis, the optical transmission spectra was recorded by ultraviolet-visible spectroscopy and electrical resistivity was studied by Van-der Pauw method. All the CuO thin films, irrespective of growth temperature, showed a monoclinic structure with the main CuO (111 orientation, and the crystallite size was about 8.4784 Å for the thin film synthesized at 350 °C. The optical transmission of the as-deposited film is found to decrease with the increase of substrate temperature, the optical band gap of the thin films varies from 1.90 to 1.60 eV and the room temperature electrical resistivity varies from 30 to18 Ohm·cm for the films grown at different substrate temperatures.

  15. Excimer laser assisted re-oxidation of BaTiO3 thin films on Ni metal foils

    International Nuclear Information System (INIS)

    Bharadwaja, S. S. N.; Ko, S. W.; Qu, W.; Clark, T.; Rajashekhar, A.; Motyka, M.; Podraza, N.; Randall, C. A.; Trolier-McKinstry, S.

    2016-01-01

    Excimer laser assisted re-oxidation for reduced, crystallized BaTiO 3 thin films on Ni-foils was investigated. It was found that the BaTiO 3 can be re-oxidized at an oxygen partial pressure of ∼50 mTorr and substrate temperature of 350 °C without forming a NiO x interface layer between the film and base metal foil. The dielectric permittivity of re-oxidized films was >1000 with loss tangent values <2% at 100 Hz, 30 mV rms excitation signal. Electron Energy Loss Spectroscopy indicated that BaTiO 3 thin films can be re-oxidized to an oxygen stoichiometry close to ∼3 (e.g., stoichiometric). High resolution cross sectional transmission electron microscopy showed no evidence of NiO x formation between the BaTiO 3 and the Ni foil upon excimer laser re-oxidation. Spectroscopic ellipsometry studies on laser re-oxidized [001] C and [111] C BaTiO 3 single crystals indicate that the re-oxidation of BaTiO 3 single crystals is augmented by photo-excitation of the ozone, as well as laser pulse induced temperature and local stress gradients

  16. High-Performance Single-Crystalline Perovskite Thin-Film Photodetector

    KAUST Repository

    Yang, Zhenqian

    2018-01-10

    The best performing modern optoelectronic devices rely on single-crystalline thin-film (SC-TF) semiconductors grown epitaxially. The emerging halide perovskites, which can be synthesized via low-cost solution-based methods, have achieved substantial success in various optoelectronic devices including solar cells, lasers, light-emitting diodes, and photodetectors. However, to date, the performance of these perovskite devices based on polycrystalline thin-film active layers lags behind the epitaxially grown semiconductor devices. Here, a photodetector based on SC-TF perovskite active layer is reported with a record performance of a 50 million gain, 70 GHz gain-bandwidth product, and a 100-photon level detection limit at 180 Hz modulation bandwidth, which as far as we know are the highest values among all the reported perovskite photodetectors. The superior performance of the device originates from replacing polycrystalline thin film by a thickness-optimized SC-TF with much higher mobility and longer recombination time. The results indicate that high-performance perovskite devices based on SC-TF may become competitive in modern optoelectronics.

  17. Spin Speed and Duration Dependence of TiO2 Thin Films pH Sensing Behavior

    Directory of Open Access Journals (Sweden)

    Muhammad AlHadi Zulkefle

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were applied as the sensing membrane of an extended-gate field-effect transistor (EGFET pH sensor. TiO2 thin films were deposited by spin coating method and the influences of the spin speed and spin duration on the pH sensing behavior of TiO2 thin films were investigated. The spin coated TiO2 thin films were connected to commercial metal-oxide-semiconductor field-effect transistor (MOSFET to form the extended gates and the MOSFET was integrated in a readout interfacing circuit to complete the EGFET pH sensor system. For the spin speed parameter investigation, the highest sensitivity was obtained for the sample spun at 3000 rpm at a fixed spinning time of 60 s, which was 60.3 mV/pH. The sensitivity was further improved to achieve 68 mV/pH with good linearity of 0.9943 when the spin time was 75 s at the speed of 3000 rpm.

  18. Work function and quantum efficiency study of metal oxide thin films on Ag(100)

    Science.gov (United States)

    Chang, V.; Noakes, T. C. Q.; Harrison, N. M.

    2018-04-01

    Increasing the quantum efficiency (QE) of metal photocathodes is in the design and development of photocathodes for free-electron laser applications. The growth of metal oxide thin films on certain metal surfaces has previously been shown to reduce the work function (WF). Using a photoemission model B. Camino et al. [Comput. Mater. Sci. 122, 331 (2016), 10.1016/j.commatsci.2016.05.025] based on the three-step model combined with density functional theory calculations we predict that the growth of a finite number of MgO(100) or BaO(100) layers on the Ag(100) surface increases significantly the QE compared with the clean Ag(100) surface for a photon energy of 4.7 eV. Different mechanisms for affecting the QE are identified for the different metal oxide thin films. The addition of MgO(100) increases the QE due to the reduction of the WF and the direct excitation of electrons from the Ag surface to the MgO conduction band. For BaO(100) thin films, an additional mechanism is in operation as the oxide film also photoemits at this energy. We also note that a significant increase in the QE for photons with an energy of a few eV above the WF is achieved due to an increase in the inelastic mean-free path of the electrons.

  19. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    Science.gov (United States)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  20. Design and operation of a 2-D thin-film semiconductor neutron detector array for use as a beamport monitor

    International Nuclear Information System (INIS)

    Unruh, Troy C.; Bellinger, Steven L.; Huddleston, David E.; McNeil, Walter J.; Patterson, Eric; Sobering, Tim J.; McGregor, Douglas S.

    2009-01-01

    Silicon-based diodes coated with a thin film of neutron reactive materials have been shown to produce excellent low-efficiency neutron detectors. This work employs the same technology, but groups 25 equally sized and spaced diodes on a single 29 mm by 29 mm substrate. A 5x5 array was fabricated and coated with a thin film of 6 LiF for use as a low-efficiency neutron beam monitor. The 5x5 neutron detector array is coupled to an array of amplifiers, allowing the response to be interpreted using a LabVIEW FPGA. The 5x5 array has been characterized in a diffracted neutron beam. This work is a part of on-going research to develop various designs of high- and low-efficiency semiconductor neutron detectors.

  1. Optical properties of organic semiconductor thin films. Static spectra and real-time growth studies

    Energy Technology Data Exchange (ETDEWEB)

    Heinemeyer, Ute

    2009-07-20

    The aim of this work was to establish the anisotropic dielectric function of organic thin films on silicon covered with native oxide and to study their optical properties during film growth. While the work focuses mainly on the optical properties of Diindenoperylene (DIP) films, also the optical response of Pentacene (PEN) films during growth is studied for comparison. Spectroscopic ellipsometry and differential reflectance spectroscopy are used to determine the dielectric function of the films ex-situ and in-situ, i.e. in air and in ultrahigh vacuum. Additionally, Raman- and fluorescence spectroscopy is utilized to characterize the DIP films serving also as a basis for spatially resolved optical measurements beyond the diffraction limit. Furthermore, X-ray reflectometry and atomic force microscopy are used to determine important structural and morphological film properties. The absorption spectrum of DIP in solution serves as a monomer reference. The observed vibronic progression of the HOMO-LUMO transition allows the determination of the Huang-Rhys parameter experimentally, which is a measure of the electronic vibrational coupling. The corresponding breathing modes are measured by Raman spectroscopy. The optical properties of DIP films on native oxide show significant differences compared to the monomer spectrum due to intermolecular interactions. First of all, the thin film spectra are highly anisotropic due to the structural order of the films. Furthermore the Frenkel exciton transfer is studied and the energy difference between Frenkel and charge transfer excitons is determined. Real-time measurements reveal optical differences between interfacial or surface molecules and bulk molecules that play an important role for device applications. They are not only performed for DIP films but also for PEN films. While for DIP films on glass the appearance of a new mode is visible, the spectra of PEN show a pronounced energy red-shift during growth. It is shown how the

  2. Optimization of synthesis protocols to control the nanostructure and the morphology of metal oxide thin films for memristive applications

    Energy Technology Data Exchange (ETDEWEB)

    Baldi, G., E-mail: giacomo.baldi@cnr.it; Bosi, M.; Attolini, G.; Berzina, T.; Mosca, R.; Ponraj, J. S.; Iannotta, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, I-43124 Parma (Italy); Giusti, G.; Nozar, P.; Toccoli, T.; Verucchi, R. [IMEM-CNR Institute, Via alla Cascata 56/C, Povo – I-38123 Trento (Italy); Collini, C.; Lorenzelli, L. [FBK Bruno Kessler Foundation, Via Sommarive 18, I-38123 Trento (Italy)

    2015-03-10

    We propose a multi-technique approach based on in-vacuum synthesis of metal oxides to optimize the memristive properties of devices that use a metal oxide thin film as insulating layer. Pulsed Microplasma Cluster Source (PMCS) is based on supersonic beams seeded by clusters of the metal oxide. Nanocrystalline TiO{sub 2} thin films can be grown at room temperature, controlling the oxide stoichiometry from titanium metal up to a significant oxygen excess. Pulsed Electron beam Deposition (PED) is suitable to grow crystalline thin films on large areas, a step towards producing device arrays with controlled morphology and stoichiometry. Atomic Layer Deposition (ALD) is a powerful technique to grow materials layer-by-layer, finely controlling the chemical and structural properties of the film up to thickness of 50-80 nm. We will present a few examples of metal-insulator-metal structures showing a pinched hysteresis loop in their current-voltage characteristic. The structure, stoichiometry and morphology of the metal oxide layer, either aluminum oxide or titanium dioxide, is investigated by means of scanning electron microscopy (SEM) and by Raman scattering.

  3. Thermochemical hydrogen generation of indium oxide thin films

    Directory of Open Access Journals (Sweden)

    Taekyung Lim

    2017-03-01

    Full Text Available Development of alternative energy resources is an urgent requirement to alleviate current energy constraints. As such, hydrogen gas is gaining attention as a future alternative energy source to address existing issues related to limited energy resources and air pollution. In this study, hydrogen generation by a thermochemical water-splitting process using two types of In2O3 thin films was investigated. The two In2O3 thin films prepared by chemical vapor deposition (CVD and sputtering deposition systems contained different numbers of oxygen vacancies, which were directly related to hydrogen generation. The as-grown In2O3 thin film prepared by CVD generated a large amount of hydrogen because of its abundant oxygen vacancies, while that prepared by sputtering had few oxygen vacancies, resulting in low hydrogen generation. Increasing the temperature of the In2O3 thin film in the reaction chamber caused an increase in hydrogen generation. The oxygen-vacancy-rich In2O3 thin film is expected to provide a highly effective production of hydrogen as a sustainable and efficient energy source.

  4. Transparent solar antenna of 28 GHz using transparent conductive oxides (TCO) thin film

    International Nuclear Information System (INIS)

    Mohd Ali, N I; Misran, N; Mansor, M F; Jamlos, M F

    2017-01-01

    This paper presents the analysis of 28GHz solar patch antenna using the variations of transparent conductive oxides (TCO) thin film as the radiating patch. Solar antenna is basically combining the function of antenna and solar cell into one device and helps to maximize the usage of surface area. The main problem of the existing solar antenna is the radiating patch which made of nontransparent material, such as copper, shadowing the solar cell and degrades the total solar efficiency. Hence, by using the transparent conductive oxides (TCO) thin film as the radiating patch, this problem can be tackled. The TCO thin film used is varied to ITO, FTO, AgHT-4, and AgHT-8 along with glass as substrate. The simulation of the antenna executed by using Computer Simulation Technology (CST) Microwave Studio software demonstrated at 28 GHz operating frequency for 5G band applications. The performance of the transparent antennas is compared with each other and also with the nontransparent patch antenna that using Rogers RT5880 as substrate, operating at the same resonance frequency and then, the material that gives the best performance is identified. (paper)

  5. Transparent solar antenna of 28 GHz using transparent conductive oxides (TCO) thin film

    Science.gov (United States)

    Ali, N. I. Mohd; Misran, N.; Mansor, M. F.; Jamlos, M. F.

    2017-05-01

    This paper presents the analysis of 28GHz solar patch antenna using the variations of transparent conductive oxides (TCO) thin film as the radiating patch. Solar antenna is basically combining the function of antenna and solar cell into one device and helps to maximize the usage of surface area. The main problem of the existing solar antenna is the radiating patch which made of nontransparent material, such as copper, shadowing the solar cell and degrades the total solar efficiency. Hence, by using the transparent conductive oxides (TCO) thin film as the radiating patch, this problem can be tackled. The TCO thin film used is varied to ITO, FTO, AgHT-4, and AgHT-8 along with glass as substrate. The simulation of the antenna executed by using Computer Simulation Technology (CST) Microwave Studio software demonstrated at 28 GHz operating frequency for 5G band applications. The performance of the transparent antennas is compared with each other and also with the nontransparent patch antenna that using Rogers RT5880 as substrate, operating at the same resonance frequency and then, the material that gives the best performance is identified.

  6. Deposition of zinc oxide thin films by reactive pulsed laser ablation

    Czech Academy of Sciences Publication Activity Database

    Bílková, Petra; Zemek, Josef; Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Roč. 252, - (2006), s. 4604-4609 ISSN 0169-4332 Grant - others:NATO-CNR Outreach Fellowships Programm 2001(XE) 219.34 Institutional research plan: CEZ:AV0Z10100521 Keywords : reactive pulsed laser deposition * zinc oxide * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.436, year: 2006

  7. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    Science.gov (United States)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  8. Effect of O2 plasma immersion on electrical properties and transistor performance of indium gallium zinc oxide thin films

    International Nuclear Information System (INIS)

    Liu, P.; Chen, T.P.; Liu, Z.; Tan, C.S.; Leong, K.C.

    2013-01-01

    Evolution of electrical properties and thin-film transistor characteristics of amorphous indium gallium zinc oxide (IGZO) thin films synthesized by RF sputtering with O 2 plasma immersion has been examined. O 2 plasma immersion results in an enhancement in the Hall mobility and a decrease in the electron concentration; and the transistor performance can be greatly improved by the O 2 plasma immersion. X-ray photoelectron spectroscopy analysis indicates that the effect of O 2 plasma immersion on the electrical properties and the transistor performance can be attributed to the reduction of the oxygen-related defects in the IGZO thin films. - Highlights: • Oxygen plasma immersion effect on indium gallium zinc oxide thin film properties • Oxygen-related defect reduces in the InGaZnO thin film with oxygen plasma immersion. • Increasing oxygen plasma immersion duration on device will decrease the off current. • Oxygen plasma immersion enhances the performance of device

  9. Transparent indium zinc oxide thin films used in photovoltaic cells based on polymer blends

    International Nuclear Information System (INIS)

    Besleaga, Cristina; Ion, L.; Ghenescu, Veta; Socol, G.; Radu, A.; Arghir, Iulia; Florica, Camelia; Antohe, S.

    2012-01-01

    Indium zinc oxide (IZO) thin films were obtained using pulsed laser deposition. The samples were prepared by ablation of targets with In concentrations, In/(In + Zn), of 80 at.%, at low substrate temperatures under reactive atmosphere. IZO films were used as transparent electrodes in polymer-based – poly(3-hexylthiophene) and 1-(3-methoxycarbonyl)-propyl-1-phenyl-(6,6)C61 1:1 blend – photovoltaic cells. The action spectra measurements revealed that IZO-based photovoltaic structures have performances comparable with those using indium–tin–oxide as transparent electrode. - Highlights: ► Indium zinc oxide films were grown by pulsed laser deposition at room temperature. ► The films had large free carrier density and reasonably high mobility. ► These films fit for transparent electrodes in polymer-based photovoltaic cells.

  10. Characterization of n and p-type ZnO thin films grown by pulsed filtered cathodic vacuum arc system

    International Nuclear Information System (INIS)

    Kavak, H.; Erdogan, E.N.; Ozsahin, I.; Esen, R.

    2010-01-01

    Full text : Semiconductor ZnO thin films with wide band gap attract much interest due to their properties such as chemical stability in hydrogen plasma, high optical transparency in the visible and nearinfrared region. Due to these properties ZnO oxide is a promising materials for electronic or optoelectronic applications such as solar cell (as an antireflecting coating and a transparent conducting material), gas sensors, surface acoustic wave devices. The purpose of this research is to improve the properties of n and p-type ZnO thin films for device applications. Polycrystalline ZnO is naturally n-type and very difficult to dope to make p-type. Therefore nowadays hardly produced p-type ZnO attracts a lot of attention. Nitrogen considered as the best dopant for p-type ZnO thin films.The transparent, conductive and very precise thickness controlled n and p-type semiconducting nanocrystalline ZnO thin films were prepared by pulsed filtered cathodic vacuum arc deposition (PFCVAD) method. Structural, optical and electrical properties of these films were investigated. And also photoluminescence properties of these films were investigated. Transparent p-type ZnO thin films were produced by oxidation of PFCVAD deposited zinc nitride. Zinc nitride thin films were deposited with various thicknesses and under different oxygen pressures on glass substrates. Zinc nitride thin films, which were deposited at room temperatures, were amorphous and the optical transmission was below 70%. For oxidation zinc nitride, the sample was annealed in air starting from 350 degrees Celsium up to 550 degrees Celsium for one hour duration. These XRD patterns imply that zinc nitride thin films converted to zinc oxide thin films with the same hexagonal crystalline structures of ZnO. The optical measurements were made for each annealing temperature and the optical transmissions of ZnO thin films were found better than 90 percent in visible range after annealing over 350 degrees Celsium. By

  11. Raman scattering, electrical and optical properties of fluorine-doped tin oxide thin films with (200) and (301) preferred orientation

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Chang-Yeoul, E-mail: cykim15@kicet.re.kr [Nano-Convergence Intelligence Material Team, Korea Institute of Ceramic Eng. and Tech., Gasan-digtial-ro 10 Gil 77 Geumcheon-gu, 153-801 Seoul (Korea, Republic of); Riu, Doh-Hyung [Dept. of New Material Sci. and Eng., Seoul National University of Technology, Seoul (Korea, Republic of)

    2014-12-15

    (200) and (301) preferred oriented fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added and water-based FTO precursor solutions, respectively. (200) oriented FTO thin film from ethanol-added solution shows the lower electrical resistivity and visible light transmission than (301) preferred thin film from water-based solution. It is due to the higher carrier concentration and electron mobility in (200) oriented crystals, that is, the lower ionized impurity scattering. The higher electron concentration is related to the higher optical band gap energy, the lower visible light transmission, and the higher IR reflection. For (301) preferred FTO thin films from water-based solution, the lower carrier concentration and electron mobility make the higher electrical resistivity and visible light transmission. Raman scattering analysis shows that IR active modes prominent in (200) oriented FTO thin film are related with the lower electrical resistivity. - Highlights: • We coated fluorine-doped tin oxide thin films with preferred orientation of (200) and (301). • We examine changes in the level of electrical and optical properties with the orientation. • (200) preferred orientation showed lower electrical resistivity and optical transmittance. • (200) oriented thin films have higher electron concentrations that are related with IR active modes.

  12. Schottky contact analysis of photovoltaic chalcopyrite thin film absorbers

    International Nuclear Information System (INIS)

    Schlenker, E.; Mertens, V.; Parisi, J.; Reineke-Koch, R.; Koentges, M.

    2007-01-01

    Current-voltage and capacitance-voltage measurements serve to analyze thermally evaporated Al Schottky contacts on Cu(In, Ga)Se 2 based photovoltaic thin film devices, either taken as grown or etched in a bromine-methanol solution. The characteristics of the Schottky contacts on the as-grown films give evidence for some dielectric layer developing between the metal and the semiconductor. Etching the semiconductor surface prior to evaporation of the Al front contact yields a pure metal-semiconductor behavior, including effects that can be attributed to an additional diode at the Mo contact. Simulations confirm the experimental results

  13. Thin Film Solar Cells and their Optical Properties

    Directory of Open Access Journals (Sweden)

    Stanislav Jurecka

    2006-01-01

    Full Text Available In this work we report on the optical parameters of the semiconductor thin film for solar cell applications determination. The method is based on the dynamical modeling of the spectral reflectance function combined with the stochastic optimization of the initial reflectance model estimation. The spectral dependency of the thin film optical parameters computations is based on the optical transitions modeling. The combination of the dynamical modeling and the stochastic optimization of the initial theoretical model estimation enable comfortable analysis of the spectral dependencies of the optical parameters and incorporation of the microstructure effects on the solar cell properties. The results of the optical parameters ofthe i-a-Si thin film determination are presented.

  14. Vanadium oxide thin films and fibers obtained by acetylacetonate sol–gel method

    Energy Technology Data Exchange (ETDEWEB)

    Berezina, O.; Kirienko, D. [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Pergament, A., E-mail: aperg@psu.karelia.ru [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Stefanovich, G.; Velichko, A. [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Zlomanov, V. [Department of Chemistry, Moscow State University, 119991 Moscow (Russian Federation)

    2015-01-01

    Vanadium oxide films and fibers have been fabricated by the acetylacetonate sol–gel method followed by annealing in wet nitrogen. The samples are characterized by X-ray diffraction and electrical conductivity measurements. The effects of a sol aging, the precursor decomposition and the gas atmosphere composition on the annealing process, structure and properties of the films are discussed. The two-stage temperature regime of annealing of amorphous films in wet nitrogen for formation of the well crystallized VO{sub 2} phase is chosen: 1) 25–550 °C and 2) 550–600 °C. The obtained films demonstrate the metal–insulator transition and electrical switching. Also, the effect of the polyvinylpyrrolidone additive concentration and electrospinning parameters on qualitative (absence of defects and gel drops) and quantitative (length and diameter) characteristics of vanadium oxide fibers is studied. - Highlights: • Vanadium oxide thin films and fibers are synthesized by sol–gel method. • The effect of annealing, atmosphere, time and electrospinning parameters is studied. • Produced VO{sub 2} structures exhibit metal–insulator transition and electrical switching.

  15. Optical constants and band edge of amorphous zinc oxide thin films

    International Nuclear Information System (INIS)

    Khoshman, Jebreel M.; Kordesch, Martin E.

    2007-01-01

    The optical characteristics of amorphous zinc oxide (a-ZnO) thin films grown by radio frequency reactive magnetron sputtering on various substrates at temperature -8 -0.32, respectively. The band edge of the films on Si (100) and quartz has been determined by spectroscopic ellipsometry (3.39 ± 0.05 eV) and spectrophotometric (3.35 ± 0.05 eV) methods, respectively. From the angle dependence of the p-polarized reflectivity we deduce a Brewster angle of 60.5 deg. Measurement of the polarized optical properties shows a high transmissivity (81%-99%) and low absorptivity (< 5%) in the visible and near infrared regions at different angles of incidence. Also, we found that there was a higher absorptivity for wavelength < 370 nm. This wavelength, ∼ 370 nm, therefore indicated that the band edge for a-ZnO thin films is about 3.35 eV

  16. Electronic grain boundary properties in polycrystalline Cu(In,Ga)Se2 semiconductors for thin film solar cells

    International Nuclear Information System (INIS)

    Baier, Robert

    2012-01-01

    Solar cells based on polycrystalline Cu(In,Ga)Se 2 (CIGSe) thin film absorbers reach the highest energy conversion efficiency among all thin film solar cells. The record efficiency is at least partly attributed to benign electronic properties of grain boundaries (GBs) in the CIGSe layers. However, despite a high amount of research on this phenomenon the underlying physics is not sufficiently understood. This thesis presents an elaborate study on the electronic properties of GBs in CIGSe thin films. Kelvin probe force microscopy (KPFM) was employed to investigate the electronic properties of GBs in dependence of the Ga-content. Five CIGSe thin lms with various Ga-contents were grown by means of similar three stage co-evaporation processes. Both as grown as well as chemically treated (KCN etched) thin films were analyzed. The chemical treatment was employed to remove surface oxides. No difference in electronic GB properties was found with or without the chemical treatment. Therefore, we conclude that a moderate surface oxidation does not alter the electronic properties of GBs. In general, one can observe significant variations of electronic potential barriers at GBs. Under consideration of the averaging effect of the work function signal of nanoscale potential distributions in KPFM measurements which was quantified in the course of this thesis both positive and negative potential barriers in a range between ∼-350 mV and ∼+450 mV were detected. Additionally, variations in the defect densities at GBs between ∼3.1 x 10 11 cm -2 and ∼2.1 x 10 12 cm -2 were found. However, no correlation between the electronic properties of GBs and the Ga-content of CIGSe thin films was discovered. Consequently, one cannot explain the drop in device efficiency observed for CIGSe thin film solar cells with a high Ga-content by a change of the electronic properties of GBs. Combined KPFM and electron backscatter diffraction measurements were employed for the first time on CIGSe thin

  17. Controlling the interface charge density in GaN-based metal-oxide-semiconductor heterostructures by plasma oxidation of metal layers

    International Nuclear Information System (INIS)

    Hahn, Herwig; Kalisch, Holger; Vescan, Andrei; Pécz, Béla; Kovács, András; Heuken, Michael

    2015-01-01

    In recent years, investigating and engineering the oxide-semiconductor interface in GaN-based devices has come into focus. This has been driven by a large effort to increase the gate robustness and to obtain enhancement mode transistors. Since it has been shown that deep interface states act as fixed interface charge in the typical transistor operating regime, it appears desirable to intentionally incorporate negative interface charge, and thus, to allow for a positive shift in threshold voltage of transistors to realise enhancement mode behaviour. A rather new approach to obtain such negative charge is the plasma-oxidation of thin metal layers. In this study, we present transmission electron microscopy and energy dispersive X-ray spectroscopy analysis as well as electrical data for Al-, Ti-, and Zr-based thin oxide films on a GaN-based heterostructure. It is shown that the plasma-oxidised layers have a polycrystalline morphology. An interfacial amorphous oxide layer is only detectable in the case of Zr. In addition, all films exhibit net negative charge with varying densities. The Zr layer is providing a negative interface charge density of more than 1 × 10 13  cm –2 allowing to considerably shift the threshold voltage to more positive values

  18. Fluorocarbon thin film with superhydrophobic property prepared by pyrolysis of hexafluoropropylene oxide

    International Nuclear Information System (INIS)

    Wang Jun; Song Xue; Li Rui; Shen Jinpeng; Yang Guangcheng; Huang Hui

    2012-01-01

    Highlights: ► We successfully prepared nanostructured fluorocarbon thin films using CVD method without any catalysts at low pyrolysis temperature (200–300 °C) of HFPO. ► The films show disparate morphology, high content of CF 2 (>90%), which are also characteristic of bulk PTFE. ► The film deposited at 300 °C shows superhydrophobic property (water contact angle of 172.7°). - Abstract: A fluorocarbon thin film with superhydrophobic property was prepared by chemical vapor deposition (CVD) method at low temperature (200–300 °C) via pyrolysis hexafluoropropylene oxide (HFPO). The experiment results indicated the morphology and structure of fluorocarbon films were strongly dependent on the pyrolysis temperature. As shown through atomic force microscope (AFM), the surface morphology of the films ranged from rodlike grains to sheets. Fourier transform infrared (FTIR) spectroscopy revealed that all the films contained the vibrational frequencies of linear CF 2 chains, which were also characteristic of bulk poly tetrafluoroethylene (PTFE). X-ray photoelectron spectroscopy (XPS) analysis showed that CF 2 structures were predominant in the films with high order. The film deposited at 300 °C exhibited a superhydrophobic surface with contact angle up to 172.7°.

  19. Thin-film method-XRF determination of the composition of rare earth oxides

    International Nuclear Information System (INIS)

    Xiao Deming

    1992-01-01

    The author describes the thin-film sample preparation by precipitation-pumping filtering method and the composition of rare earth oxide materials by XRF determination. The determination limits are 0.01% to 0.17%. The coefficients of variation are in the range of 0.85% to 14.9%. The analytical results of several kinds of rare earth oxide materials show that this method can be applied to the determination of the composition of rare earth oxide mixtures

  20. Detecting spin polarization of nano-crystalline manganese doped zinc oxide thin film using circular polarized light

    Energy Technology Data Exchange (ETDEWEB)

    El-Sayed, H.M., E-mail: h_m_elsaid@hotmail.com

    2016-02-01

    The presence of spin polarization in Mn-doped ZnO thin film is very important for spintronic applications. Spin polarization was detected using simple method. This method depends on measuring the optical transmittance using circular polarized light in visible and near infra-red region. It was found that, there is a difference in the optical energy gap of the film for circular left and circular polarized light. For temperatures > 310 K the difference in energy gap is vanished. This result is confirmed by measuring the magnetic hysteresis of the film. This work introduces a promising method for measuring the ferromagnetism in diluted magnetic semiconductors. - Highlights: • Highly oriented c-axis of Mn-ZnO thin film doped with nitrogen is prepared. • The optical energy gap depends on the state of circularly polarized light. • The presence of spin polarization is confirmed using simple optical method. • Magnetic measurements are consistent with the results of the optical method.

  1. Solid surfaces, interfaces and thin films

    CERN Document Server

    Lüth, Hans

    2015-01-01

    This book emphasises both experimental and theoretical aspects of surface, interface and thin-film physics. As in previous editions the preparation of surfaces and thin films, their atomic and morphological structure, their vibronic and electronic properties as well as fundamentals of adsorption are treated. Because of their importance in modern information technology and nanostructure research, particular emphasis is paid to electronic surface and interface states, semiconductor space charge layers and heterostructures. A special chapter of the book is devoted to collective phenomena at interfaces and in thin films such as superconductivity and magnetism. The latter topic includes the meanwhile important issues giant magnetoresistance and spin-transfer torque mechanism, both effects being of high interest in information technology. In this new edition, for the first time, the effect of spin-orbit coupling on surface states is treated. In this context the class of the recently detected topological insulators,...

  2. High-Performance Single-Crystalline Perovskite Thin-Film Photodetector

    KAUST Repository

    Yang, Zhenqian; Deng, Yuhao; Zhang, Xiaowei; Wang, Suo; Chen, Huazhou; Yang, Sui; Khurgin, Jacob; Fang, Nicholas X.; Zhang, Xiang; Ma, Renmin

    2018-01-01

    The best performing modern optoelectronic devices rely on single-crystalline thin-film (SC-TF) semiconductors grown epitaxially. The emerging halide perovskites, which can be synthesized via low-cost solution-based methods, have achieved substantial

  3. Ambipolar SnOx thin-film transistors achieved at high sputtering power

    Science.gov (United States)

    Li, Yunpeng; Yang, Jia; Qu, Yunxiu; Zhang, Jiawei; Zhou, Li; Yang, Zaixing; Lin, Zhaojun; Wang, Qingpu; Song, Aimin; Xin, Qian

    2018-04-01

    SnO is the only oxide semiconductor to date that has exhibited ambipolar behavior in thin-film transistors (TFTs). In this work, ambipolar behavior was observed in SnOx TFTs fabricated at a high sputtering power of 200 W and post-annealed at 150-250 °C in ambient air. X-ray-diffraction patterns showed polycrystallisation of SnO and Sn in the annealed SnOx films. Scanning-electron-microscopy images revealed that microgrooves appeared after the films were annealed. Clusters subsequently segregated along the microgrooves, and our experiments suggest that they were most likely Sn clusters. Atomic force microscopy images indicate an abrupt increase in film roughness due to the cluster segregations. An important implication of this work is that excess Sn in the film, which has generally been thought to be detrimental to the film quality, may promote the ambipolar conduction when it is segregated from the film to enhance the stoichiometric balance.

  4. Pyroelectricity of silicon-doped hafnium oxide thin films

    Science.gov (United States)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  5. Device and method for luminescence enhancement by resonant energy transfer from an absorptive thin film

    Science.gov (United States)

    Akselrod, Gleb M.; Bawendi, Moungi G.; Bulovic, Vladimir; Tischler, Jonathan R.; Tisdale, William A.; Walker, Brian J.

    2017-12-12

    Disclosed are a device and a method for the design and fabrication of the device for enhancing the brightness of luminescent molecules, nanostructures, and thin films. The device includes a mirror, a dielectric medium or spacer, an absorptive layer, and a luminescent layer. The absorptive layer is a continuous thin film of a strongly absorbing organic or inorganic material. The luminescent layer may be a continuous luminescent thin film or an arrangement of isolated luminescent species, e.g., organic or metal-organic dye molecules, semiconductor quantum dots, or other semiconductor nanostructures, supported on top of the absorptive layer.

  6. Morphology, structural and optical properties of iron oxide thin film photoanodes in photoelectrochemical cell: Effect of electrochemical oxidation

    OpenAIRE

    Maabong Kelebogile; Machatine Augusto G.; Hu Yelin; Braun Artur; Nambala Fred J.; Diale Mmantsae

    2016-01-01

    Abstract Hematite (a Fe2O3) is a promising semiconductor as photoanode in solar hydrogen production from photoelectrolysis of water due to its appropriate band gap low cost and high electrochemical stability in aqueous caustic electrolytes. Operation of such photoanode in a biased photoelectrochemical cell constitutes an anodization with consequent redox reactions at the electrode surface. a Fe2O3 thin film photoanodes were prepared by simple and inexpensive dip coating method on fluorine dop...

  7. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    OpenAIRE

    Deepak Kumar Kaushik; K. Uday Kumar; A. Subrahmanyam

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l ...

  8. Oxidation of Zr and thin (0.2-4 nm) Zr films on Ag: An ESCA investigation

    International Nuclear Information System (INIS)

    Steiner, P.; Sander, I.; Siegwart, B.; Huefner, S.

    1987-01-01

    The oxidation of polycrystalline Zr under 10 -8 -10 -3 mbar oxygen pressure in the temperature range 25 0 -350 0 C is obtained from ESCA experiments. Changes in the ESCA spectra for thin Zr films on Ag oxidized at 250 0 C are observed and compared to the bulk Zr-metal. Thin Ag overlayers on Zr show a catalytic increase of the room temperature oxidation of Zr. (orig.)

  9. Assembly and benign step-by-step post-treatment of oppositely charged reduced graphene oxides for transparent conductive thin films with multiple applications

    Science.gov (United States)

    Zhu, Jiayi; He, Junhui

    2012-05-01

    We report a new approach for the fabrication of flexible and transparent conducting thin films via the layer-by-layer (LbL) assembly of oppositely charged reduced graphene oxide (RGO) and the benign step-by-step post-treatment on substrates with a low glass-transition temperature, such as glass and poly(ethylene terephthalate) (PET). The RGO dispersions and films were characterized by means of atomic force microscopy, UV-visible absorption spectrophotometery, Raman spectroscopy, transmission electron microscopy, contact angle/interface systems and a four-point probe. It was found that the graphene thin films exhibited a significant increase in electrical conductivity after the step-by-step post-treatments. The graphene thin film on the PET substrate had a good conductivity retainability after multiple cycles (30 cycles) of excessively bending (bending angle: 180°), while tin-doped indium oxide (ITO) thin films on PET showed a significant decrease in electrical conductivity. In addition, the graphene thin film had a smooth surface with tunable wettability.We report a new approach for the fabrication of flexible and transparent conducting thin films via the layer-by-layer (LbL) assembly of oppositely charged reduced graphene oxide (RGO) and the benign step-by-step post-treatment on substrates with a low glass-transition temperature, such as glass and poly(ethylene terephthalate) (PET). The RGO dispersions and films were characterized by means of atomic force microscopy, UV-visible absorption spectrophotometery, Raman spectroscopy, transmission electron microscopy, contact angle/interface systems and a four-point probe. It was found that the graphene thin films exhibited a significant increase in electrical conductivity after the step-by-step post-treatments. The graphene thin film on the PET substrate had a good conductivity retainability after multiple cycles (30 cycles) of excessively bending (bending angle: 180°), while tin-doped indium oxide (ITO) thin films on

  10. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Science.gov (United States)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Thin films of tin(IV) oxide (SnO2) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au8+ using 1 pnA current at normal incidence with ion fluences varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV-Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm-1 in FTIR spectrum confirmed the O-Sn-O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO2 were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  11. Thermoelectric properties of cobalt–antimonide thin films prepared by radio frequency co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, Aziz; Han, Seungwoo, E-mail: swhan@kimm.re.kr

    2015-07-31

    Co–Sb thin films with an Sb content in the range 65–76 at.%, were deposited on a thermally oxidized Si (100) substrate preheated at 200 °C using radio-frequency co-sputtering. Evaluation using scanning electron microscopy images and X-ray diffraction reveals that the films were polycrystalline, with a grain size in the range 100–250 nm. Energy-dispersive spectroscopy analysis indicates single-phase CoSb{sub 2} and CoSb{sub 3} films, as well as multiphase thin films with either CoSb{sub 2} or CoSb{sub 3} as the dominant phase. The electrical and thermoelectric properties were measured and found to be strongly dependent on the observed phases and the defect concentrations. The CoSb{sub 2} thin films were found to exhibit a significant n-type thermoelectric effect, which, coupled with the very low electrical resistivity, resulted in a larger power factor than that of the CoSb{sub 3} thin films. We find power factors of 0.73 mWm{sup −1} K{sup −2} and 0.67 mWm{sup −1} K{sup −2} for the CoSb{sub 2} and CoSb{sub 3} thin films, respectively. - Highlights: • Polycrystalline Co–Sb thin films were obtained by present deposition strategy. • CoSb{sub 2} and CoSb{sub 3} have semimetal and semiconductor characteristics respectively. • The Seebeck coefficient depends heavily on defect concentration and impurity phases. • Film properties in the second heating cycle were different from the first. • CoSb{sub 2} is found to possess significant n-type thermopower.

  12. CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A structure including a film of a desired perovskite oxide which overlies and is fully commensurate with the material surface of a semiconductor-based substrate and an associated process for constructing the structure involves the build up of an interfacial template film of perovskite between the material surface and the desired perovskite film. The lattice parameters of the material surface and the perovskite of the template film are taken into account so that during the growth of the perovskite template film upon the material surface, the orientation of the perovskite of the template is rotated 45.degree. with respect to the orientation of the underlying material surface and thereby effects a transition in the lattice structure from fcc (of the semiconductor-based material) to the simple cubic lattice structure of perovskite while the fully commensurate periodicity between the perovskite template film and the underlying material surface is maintained. The film-growth techniques of the invention can be used to fabricate solid state electrical components wherein a perovskite film is built up upon a semiconductor-based material and the perovskite film is adapted to exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic or large dielectric properties during use of the component.

  13. Photocatalytic Activity and Stability of Porous Polycrystalline ZnO Thin-Films Grown via a Two-Step Thermal Oxidation Process

    Directory of Open Access Journals (Sweden)

    James C. Moore

    2014-08-01

    Full Text Available The photocatalytic activity and stability of thin, polycrystalline ZnO films was studied. The oxidative degradation of organic compounds at the ZnO surface results from the ultraviolet (UV photo-induced creation of highly oxidizing holes and reducing electrons, which combine with surface water to form hydroxyl radicals and reactive oxygen species. Therefore, the efficiency of the electron-hole pair formation is of critical importance for self-cleaning and antimicrobial applications with these metal-oxide catalyst systems. In this study, ZnO thin films were fabricated on sapphire substrates via direct current sputter deposition of Zn-metal films followed by thermal oxidation at several annealing temperatures (300–1200 °C. Due to the ease with which they can be recovered, stabilized films are preferable to nanoparticles or colloidal suspensions for some applications. Characterization of the resulting ZnO thin films through atomic force microscopy and photoluminescence indicated that decreasing annealing temperature leads to smaller crystal grain size and increased UV excitonic emission. The photocatalytic activities were characterized by UV-visible absorption measurements of Rhodamine B dye concentrations. The films oxidized at lower annealing temperatures exhibited higher photocatalytic activity, which is attributed to the increased optical quality. Photocatalytic activity was also found to depend on film thickness, with lower activity observed for thinner films. Decreasing activity with use was found to be the result of decreasing film thickness due to surface etching.

  14. Superhydrophilicity of TiO2 nano thin films

    International Nuclear Information System (INIS)

    Mohammadizadeh, M.R.; Ashkarran, A.A.

    2007-01-01

    Full text: Among the several oxide semiconductors, titanium dioxide has a more helpful role in our environmental purification due to its photocatalytic activity, photo-induced superhydrophilicity, and as a result of them non-toxicity, self cleaning, and antifogging effects. After the discovery of superhydrophilicity of titanium dioxide in 1997, several researches have been performed due to its nature and useful applications. The superhydrophilicity property of the surface allows water to spread completely across the surface rather than remains as droplets, thus making the surface antifog and easy-to-clean. The distinction of photo-induced catalytic and hydrophilicity properties of TiO 2 thin films has been accepted although, the origin of hydrophilicity property has not been recognized completely yet. TiO 2 thin films on soda lime glass were prepared by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550 C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166 nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O∼0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV/Vis. spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450 C calcination temperature, where the film is converted to a superhydrophilic surface after 10 minutes under 2mW/cm 2 UV irradiation. TiO 2 thin film on Si(111), Si(100), and quartz substrates needs less time to be converted to

  15. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  16. Perovskite Oxide Thin Film Growth, Characterization, and Stability

    Science.gov (United States)

    Izumi, Andrew

    Studies into a class of materials known as complex oxides have evoked a great deal of interest due to their unique magnetic, ferroelectric, and superconducting properties. In particular, materials with the ABO3 perovskite structure have highly tunable properties because of the high stability of the structure, which allows for large scale doping and strain. This also allows for a large selection of A and B cations and valences, which can further modify the material's electronic structure. Additionally, deposition of these materials as thin films and superlattices through techniques such as pulsed laser deposition (PLD) results in novel properties due to the reduced dimensionality of the material. The novel properties of perovskite oxide heterostructures can be traced to a several sources, including chemical intermixing, strain and defect formation, and electronic reconstruction. The correlations between microstructure and physical properties must be investigated by examining the physical and electronic structure of perovskites in order to understand this class of materials. Some perovskites can undergo phase changes due to temperature, electrical fields, and magnetic fields. In this work we investigated Nd0.5Sr 0.5MnO3 (NSMO), which undergoes a first order magnetic and electronic transition at T=158K in bulk form. Above this temperature NSMO is a ferromagnetic metal, but transitions into an antiferromagnetic insulator as the temperature is decreased. This rapid transition has interesting potential in memory devices. However, when NSMO is deposited on (001)-oriented SrTiO 3 (STO) or (001)-oriented (LaAlO3)0.3-(Sr 2AlTaO6)0.7 (LSAT) substrates, this transition is lost. It has been reported in the literature that depositing NSMO on (110)-oriented STO allows for the transition to reemerge due to the partial epitaxial growth, where the NSMO film is strained along the [001] surface axis and partially relaxed along the [11¯0] surface axis. This allows the NSMO film enough

  17. Evaluation of the nanomechanical properties of vanadium and native oxide vanadium thin films prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Mamun, M.A.; Zhang, K.; Baumgart, H.; Elmustafa, A.A.

    2015-01-01

    Graphical abstract: - Highlights: • V films of 50, 75, 100 nm thickness were deposited on Si by RF magnetron sputtering. • We studied structural/mechanical properties by XRD, FE-SEM, AFM, and nanoindentation. • The hardness increased from 9.0 to 14.0 GPa for 100 to 50 nm. • The modulus showed no correlation with thickness or native oxide formation. • Native oxide formation resulted in grain enlargement and roughness reduction. - Abstract: Polycrystalline vanadium thin films of 50, 75, and 100 nm thickness were deposited by magnetron sputtering of a vanadium metal target of 2 inch diameter with 99.9% purity on native oxide covered Si substrates. One set of the fabricated samples were kept in moisture free environment and the other set was exposed to ambient air at room temperature for a long period of time that resulted in formation of native oxide prior to testing. The crystal structure and phase purity of the vanadium and the oxidized vanadium thin films were characterized by X-ray diffraction (XRD). The XRD results yield a preferential (1 1 0), and (2 0 0) orientation of the polycrystalline V films and (0 0 4) vanadium oxide (V 3 O 7 ). The vanadium films thickness were verified using field emission scanning electron microscopy and the films surface morphologies were inspected using atomic force microscopy (AFM). AFM images reveal surface roughness was observed to increase with increasing film thickness and also subsequent to oxidation at room temperature. The nanomechanical properties were measured by nanoindentation to evaluate the modulus and hardness of the vanadium and the oxidized vanadium thin films. The elastic modulus of the vanadium and the oxidized vanadium films was estimated as 150 GPa at 30% film thickness and the elastic modulus of the bulk vanadium target is estimated as 135 GPa. The measured hardness of the vanadium films at 30% film thickness varies between 9 and 14 GPa for the 100 and 50 nm films, respectively, exhibiting size effects

  18. Au Nanoparticle Sub-Monolayers Sandwiched between Sol-Gel Oxide Thin Films

    Science.gov (United States)

    Della Gaspera, Enrico; Menin, Enrico; Sada, Cinzia

    2018-01-01

    Sub-monolayers of monodisperse Au colloids with different surface coverage have been embedded in between two different metal oxide thin films, combining sol-gel depositions and proper substrates functionalization processes. The synthetized films were TiO2, ZnO, and NiO. X-ray diffraction shows the crystallinity of all the oxides and verifies the nominal surface coverage of Au colloids. The surface plasmon resonance (SPR) of the metal nanoparticles is affected by both bottom and top oxides: in fact, the SPR peak of Au that is sandwiched between two different oxides is centered between the SPR frequencies of Au sub-monolayers covered with only one oxide, suggesting that Au colloids effectively lay in between the two oxide layers. The desired organization of Au nanoparticles and the morphological structure of the prepared multi-layered structures has been confirmed by Rutherford backscattering spectrometry (RBS), Secondary Ion Mass Spectrometry (SIMS), and Scanning Electron Microscopy (SEM) analyses that show a high quality sandwich structure. The multi-layered structures have been also tested as optical gas sensors. PMID:29538338

  19. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  20. Enhanced photoluminescence in transparent thin films of polyaniline–zinc oxide nanocomposite prepared from oleic acid modified zinc oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Sajimol Augustine, M., E-mail: sajimollazar@gmail.com [Department of Physics, St. Teresa' s College, Kochi-11, Kerala (India); Jeeju, P.P.; Varma, S.J.; Francis Xavier, P.A. [Division for Research in Advanced Materials, Department of Physics, Cochin University of Science and Technology, Kochi-22, Kerala (India); Jayalekshmi, S., E-mail: lakshminathcusat@gmail.com [Division for Research in Advanced Materials, Department of Physics, Cochin University of Science and Technology, Kochi-22, Kerala (India)

    2014-07-01

    Oleic acid capped zinc oxide (ZnO) nanoparticles have been synthesized by a wet chemical route. The chemical oxidative method is employed to synthesize polyaniline (PANI) and PANI/ZnO nanocomposites doped with four different dopants such as orthophosphoric acid (H{sub 3}PO{sub 4}), hydrochloric acid (HCl), naphthalene-2-sulphonic acid and camphor sulphonic acid (CSA). The samples have been structurally characterized by X-ray diffraction (XRD), field emission scanning electron microscopy and Fourier transform infrared (FT-IR) spectroscopic techniques. A comparison of the photoluminescence (PL) emission intensity of PANI and PANI/ZnO nanocomposites is attempted. The enhanced PL intensity in PANI/ZnO nanocomposites is caused by the presence of nanostructured and highly fluorescent ZnO in the composites. It has been observed that, among the composites, the H{sub 3}PO{sub 4} doped PANI/ZnO nanocomposite is found to exhibit the highest PL intensity because of the higher extent of (pi) conjugation and the more orderly arrangement of the benzenoid and quinonoid units. In the present work, transparent thin films of PANI and PANI/ZnO nanocomposite for which PL intensity is found to be maximum, have been prepared after re-doping with CSA by the spin-coating technique. The XRD pattern of the PANI/ZnO film shows exceptionally good crystallanity compared to that of pure PANI, which suggests that the addition of ZnO nanocrystals helps in enhancing the crystallanity of the PANI/ZnO nanocomposite. There is a significant increase in the PL emission intensity of the PANI/ZnO nanocomposite film making it suitable for the fabrication of optoelectronic devices. - Highlights: • Oleic acid capped zinc oxide nanoparticles are synthesized by wet chemical method. • Polyaniline/zinc oxide nanocomposites are prepared by in-situ polymerization. • Polyaniline and polyaniline/zinc oxide thin films are deposited using spin-coating. • Enhanced photoluminescence is observed in polyaniline

  1. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  2. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  3. Influence of indium doping on the properties of zinc tin oxide films and its application to transparent thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Mu Hee; Ma, Tae Young, E-mail: tyma@gnu.ac.kr

    2014-01-01

    In this study, the effects of indium (In) doping on the properties of zinc tin oxide (ZTO) films are reported. ZTO films were prepared by RF magnetron sputtering followed by In layer deposition, for use as the diffusion source. In order to protect the In layer from peeling, a second ZTO film was deposited on the In film. The annealing at 400 °C for 30 min was carried out to diffuse In atoms into the ZTO films. The structural, optical, and elemental properties of the annealed ZTO/In/ZTO films were investigated by X-ray diffraction, UV/vis spectrophotometry, and X-ray photoluminescence spectroscopy, respectively. The ZTO transparent thin film transistors employing the ZTO/In/ZTO films as the source/drain were prepared, and the effects of the In doped source/drain on the threshold voltage and mobility were characterized and analyzed. - Highlights: • We successfully doped zinc tin oxide (ZTO) films using In as a diffusion source. • Indium (In) was diffused in both directions with the diffusion coefficient of ∼ 4.3 × 10{sup −16} cm{sup 2}/s. • The mobility of ZTO thin film transistor was increased 1.6-times by adopting the In-diffused source/drain.

  4. Influence of oblique-angle sputtered transparent conducting oxides on performance of Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Leem, Jung Woo; Yu, Jae Su

    2011-01-01

    The transparent conducting oxide (TCO) films with low-refractive-index (low-n) are fabricated by the oblique-angle sputtering method. By using the experimentally measured physical data of the fabricated low-n TCO films as the simulation parameters, the effect of low-n TCOs on the performance of a-Si:H/μc-Si:H tandem thin film solar cells is investigated using Silvaco ATLAS. The Al-doped zinc oxide, indium tin oxide (ITO), and Sb-doped tin oxide films are deposited at the flux incidence angles of θ i = 0 (normal sputtering) and θ i = 80 from the sputtering target during the sputtering process. The oblique-angle sputtered films at θ i = 80 show the inclined columnar nanostructures compared to those at θ i = 0 , modifying the optical properties of the films. This is caused mainly by the increase of porosity within the film which leads to its low-n characteristics. The a-Si:H/μc-Si:H tandem thin film solar cell incorporated with the low-n ITO film exhibits an improvement in the conversion efficiency of ∝1% under AM1.5g illumination because of its higher transmittance and lower absorption compared to that with the ITO film at θ i = 0 , indicating a conversion efficiency of 13.75%. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Laser-Printed Organic Thin-Film Transistors

    KAUST Repository

    Diemer, Peter J.

    2017-09-20

    Solution deposition of organic optoelectronic materials enables fast roll-to-roll manufacturing of photonic and electronic devices on any type of substrate and at low cost. But controlling the film microstructure when it crystallizes from solution can be challenging. This represents a major limitation of this technology, since the microstructure, in turn, governs the charge transport properties of the material. Further, the solvents typically used are hazardous, which precludes their incorporation in large-scale manufacturing processes. Here, the first ever organic thin-film transistor fabricated with an electrophotographic laser printing process using a standard office laser printer is reported. This completely solvent-free additive manufacturing method allows for simultaneous deposition, purification, and patterning of the organic semiconductor layer. Laser-printed transistors using triisopropylsilylethynyl pentacene as the semiconductor layer are realized on flexible substrates and characterized, making this a successful first demonstration of the potential of laser printing of organic semiconductors.

  6. Investigation of Electrical, Optical and GaS Sensing properties Sol-Gel Derived WO3 Thin Films

    International Nuclear Information System (INIS)

    Dumludag, F.

    2008-01-01

    Tungsten oxide (WO 3 ) is a wide band gap n-type semiconductor. Thin films of WO 3 are considerable interest because of their potential applications in electrochromic devices, and gas sensors. In this work, WO 3 thin films were deposited on IDT (Interdigital electrodes) by a sol-gel dipping process. Precursor solution was prepared by dissolving of tungstic acid in ammonia. We investigated d.c. and a.c. (40 Hz-100 KHz) electrical properties of the films in the temperature range of 293K and 473K in vacuum ambient (10 - 2 mbar). We observed frequency dependent conductivity behavior at high frequencies. Absorption spectra of the film showed that a maximum absorption occurred at 330 nm. We also investigated the response of the films to vapors of the volatile organic compounds (acetone, chloroform, toluene, ethanol, ammonia) and water vapor. The films showed no sensitivity to the test gases at room temperature. Concentration of the vapors were controlled by mass flowmeters. All the measurement system was computerized

  7. Gasochromic performance of WO{sub 3}-nanorod thin films fabricated with an ArF excimer laser

    Energy Technology Data Exchange (ETDEWEB)

    Yaacob, M. H. [RMIT University, Melbourne (Australia); Universiti Putra Malaysia, Selangor (Malaysia); Ou, J. Z.; Wlodarski, W. [RMIT University, Melbourne (Australia); Kim, C. S.; Lee, J. Y. [KAIST, Daejon (Korea, Republic of); Kim, Y. H. [KIST, Seoul (Korea, Republic of); Oh, C. M.; Dhakal, K. P.; Kim, J. Y.; Kang, J. H. [University of Incheon, Incheon (Korea, Republic of)

    2012-02-15

    Thin films with tungsten trioxide (WO{sub 3}) nanorods were fabricated by using an ArF pulsed laser deposition system. Because the ArF excimer laser operates at a very short wavelength of 193 nm, short enough to expect strong absorption of the photons in the semiconductor oxide targets, and because the clusters incoming to the substrates have high momentum, we could build thin films with good surface morphology. Highly homogeneous arrays of nanorods with sizes mostly in the range of 30 - 40 nm were observed. The absorbance response towards hydrogen (H{sub 2}) gas was investigated for a WO{sub 3} film coated with 25-A-thick palladium (Pd). The Pd/WO{sub 3}-nanorod thin films exhibited excellent gasochromic response when measured in the visible-NIR range (400 - 1000 nm). As low as 0.06% H{sub 2} concentration was clearly sensed. A significant reversible absorbance change and fast recovery (<2 min) were observed when the films were exposed to H{sub 2} at different concentrations.

  8. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  9. Nanoscale reduction of graphene oxide thin films and its characterization.

    Science.gov (United States)

    Lorenzoni, M; Giugni, A; Di Fabrizio, E; Pérez-Murano, Francesc; Mescola, A; Torre, B

    2015-07-17

    In this paper, we report on a method to reduce thin films of graphene oxide (GO) to a spatial resolution better than 100 nm over several tens of micrometers by means of an electrochemical scanning probe based lithography. In situ tip-current measurements show that an edged drop in electrical resistance characterizes the reduced areas, and that the reduction process is, to a good approximation, proportional to the applied bias between the onset voltage and the saturation thresholds. An atomic force microscope (AFM) quantifies the drop of the surface height for the reduced profile due to the loss of oxygen. Complementarily, lateral force microscopy reveals a homogeneous friction coefficient of the reduced regions that is remarkably lower than that of native graphene oxide, confirming a chemical change in the patterned region. Micro Raman spectroscopy, which provides access to insights into the chemical process, allows one to quantify the restoration and de-oxidation of the graphitic network driven by the electrochemical reduction and to determine characteristic length scales. It also confirms the homogeneity of the process over wide areas. The results shown were obtained from accurate analysis of the shift, intensity and width of Raman peaks for the main vibrational bands of GO and reduced graphene oxide (rGO) mapped over large areas. Concerning multilayered GO thin films obtained by drop-casting we have demonstrated an unprecedented lateral resolution in ambient conditions as well as an improved control, characterization and understanding of the reduction process occurring in GO randomly folded multilayers, useful for large-scale processing of graphene-based material.

  10. Dataset on electro-optically tunable smart-supercapacitors based on oxygen-excess nanograin tungsten oxide thin film

    Directory of Open Access Journals (Sweden)

    Akbar I. Inamdar

    2017-10-01

    Full Text Available The dataset presented here is related to the research article entitled “Highly Efficient Electro-optically Tunable Smart-supercapacitors Using an Oxygen-excess Nanograin Tungsten Oxide Thin Film” (Akbar et al., 2017 [9] where we have presented a nanograin WO3 film as a bifunctional electrode for smart supercapacitor devices. In this article we provide additional information concerning nanograin tungsten oxide thin films such as atomic force microscopy, Raman spectroscopy, and X-ray diffraction spectroscopy. Moreover, their electrochemical properties such as cyclic voltammetry, electrochemical supercapacitor properties, and electrochromic properties including coloration efficiency, optical modulation and electrochemical impedance spectroscopy are presented.

  11. Magneto-transport properties of oriented Mn{sub 2}CoAl films sputtered on thermally oxidized Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xu, G. Z.; Du, Y.; Zhang, X. M.; Liu, E. K.; Wang, W. H., E-mail: wenhong.wang@iphy.ac.cn; Wu, G. H. [State Key Laboratory for Magnetism, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Zhang, H. G. [College of Materials Science and Engineering, Beijing University of Technology, Beijing 100124 (China)

    2014-06-16

    Spin gapless semiconductors are interesting family of materials by embracing both magnetism and semiconducting due to their unique band structure. Its potential application in future spintronics requires realization in thin film form. In this Letter, we report fabrication and transport properties of spin gapless Mn{sub 2}CoAl films prepared on thermally oxidized Si substrates by magnetron sputtering deposition. The films deposited at 673 K are well oriented to (001) direction and display a uniform-crystalline surface. Magnetotransport measurements on the oriented films reveal a semiconducting-like resistivity, small anomalous Hall conductivity, and linear magnetoresistance representative of the transport signatures of spin gapless semiconductors. The magnetic properties of the films have also been investigated and compared to that of bulk Mn{sub 2}CoAl, showing small discrepancy induced by the composition deviation.

  12. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    Science.gov (United States)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.; Lloyd, Matthew T.; Widjonarko, Nicodemus Edwin; Miedaner, Alexander; Curtis, Calvin J.; Ginley, David S.; Olson, Dana C.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  13. Applications of confocal laser scanning microscopy in research into organic semiconductor thin films

    DEFF Research Database (Denmark)

    Schiek, Manuela; Balzer, Frank

    2014-01-01

    At the center of opto-electronic devices are thin layers of organic semiconductors, which need to be sandwiched between planar electrodes. With the growing demand for opto-electronic devices now and in the future, new electrode materials are needed to meet the requirements of organic semiconductors...

  14. Weak antilocalization induced by Rashba spin-orbit interaction in layered III-VI compound semiconductor GaSe thin films

    Science.gov (United States)

    Takasuna, Shoichi; Shiogai, Junichi; Matsuzaka, Shunichiro; Kohda, Makoto; Oyama, Yutaka; Nitta, Junsaku

    2017-10-01

    Magnetoconductance (MC) at low temperature was measured to investigate spin-related transport affected by spin-orbit interaction (SOI) in III-VI compound n -type GaSe thin films. Results reveal that MC shows weak antilocalization (WAL). Its temperature and gate voltage dependences reveal that the dominant spin relaxation is governed by the D'yakonov-Perel' mechanism associated with the Rashba SOI. The estimated Rashba SOI strength in GaSe is much stronger than that of III-V compound GaAs quantum wells, although the energy gap and spin split-off band in GaSe closely resemble those in GaAs. The angle dependence of WAL amplitude in the in-plane magnetic field direction is almost isotropic. This isotropy indicates that the strength of the Dresselhaus SOI is negligible compared with the Rashba SOI strength. The SOI effect in n -GaSe thin films differs greatly from those of III-V compound semiconductors and transition-metal dichalcogenides.

  15. Beryllium thin films for resistor applications

    Science.gov (United States)

    Fiet, O.

    1972-01-01

    Beryllium thin films have a protective oxidation resistant property at high temperature and high recrystallization temperature. However, the experimental film has very low temperature coefficient of resistance.

  16. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-01-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high

  17. Multilayered metal oxide thin film gas sensors obtained by conventional and RF plasma-assisted laser ablation

    International Nuclear Information System (INIS)

    Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Multilayered thin films of In 2 O 3 and SnO 2 have been deposited by conventional and RF plasma-assisted reactive pulsed laser ablation, with the aim to evaluate their behaviour as toxic gas sensors. The depositions have been carried out by a frequency doubled Nd-YAG laser (λ = 532 nm, τ = 7 ns) on Si(1 0 0) substrates, in O 2 atmosphere. The thin films have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and electrical resistance measurements. A comparison of the electrical response of the simple (indium oxide, tin oxide) and multilayered oxides to toxic gas (nitric oxide, NO) has been performed. The influence on the structural and electrical properties of the deposition parameters, such as substrate temperature and RF power is reported

  18. Modulation of the electrical properties in amorphous indium-gallium zinc-oxide semiconductor films using hydrogen incorporation

    Science.gov (United States)

    Song, Aeran; Park, Hyun-Woo; Chung, Kwun-Bum; Rim, You Seung; Son, Kyoung Seok; Lim, Jun Hyung; Chu, Hye Yong

    2017-12-01

    The electrical properties of amorphous-indium-gallium-zinc-oxide (a-IGZO) thin films were investigated after thermal annealing and plasma treatment under different gas conditions. The electrical resistivity of a-IGZO thin films post-treated in a hydrogen ambient were lower than those without treatment and those annealed in air, regardless of the methods used for both thermal annealing and plasma treatment. The electrical properties can be explained by the quantity of hydrogen incorporated into the samples and the changes in the electronic structure in terms of the chemical bonding states, the distribution of the near-conduction-band unoccupied states, and the band alignment. As a result, the carrier concentrations of the hydrogen treated a-IGZO thin films increased, while the mobility decreased, due to the increase in the oxygen vacancies from the occurrence of unoccupied states in both shallow and deep levels.

  19. Spectroscopic and luminescent properties of Co2+ doped tin oxide thin films by spray pyrolysis

    Directory of Open Access Journals (Sweden)

    K. Durga Venkata Prasad

    2016-07-01

    Full Text Available The wide variety of electronic and chemical properties of metal oxides makes them exciting materials for basic research and for technological applications alike. Oxides span a wide range of electrical properties from wide band-gap insulators to metallic and superconducting. Tin oxide belongs to a class of materials called Transparent Conducting Oxides (TCO which constitutes an important component for optoelectronic applications. Co2+ doped tin oxide thin films were prepared by chemical spray pyrolysis synthesis and characterized by powder X-ray diffraction, SEM, TEM, FT-IR, optical, EPR and PL techniques to collect the information about the crystal structure, coordination/local site symmetry of doped Co2+ ions in the host lattice and the luminescent properties of the prepared sample. Powder XRD data revealed that the crystal structure belongs to tetragonal rutile phase and its lattice cell parameters are evaluated. The average crystallite size was estimated to be 26 nm. The morphology of prepared sample was analyzed by using SEM and TEM studies. Functional groups of the prepared sample were observed in the FT-IR spectrum. Optical absorption and EPR studies have shown that on doping, Co2+ ions enter in the host lattice as octahedral site symmetry. PL studies of Co2+ doped SnO2 thin films exhibit blue and yellow emission bands. CIE chromaticity coordinates were also calculated from emission spectrum of Co2+ doped SnO2 thin films.

  20. Heterogenous integration of a thin-film GaAs photodetector and a microfluidic device on a silicon substrate

    International Nuclear Information System (INIS)

    Song, Fuchuan; Xiao, Jing; Udawala, Fidaali; Seo, Sang-Woo

    2011-01-01

    In this paper, heterogeneous integration of a III–V semiconductor thin-film photodetector (PD) with a microfluidic device is demonstrated on a SiO 2 –Si substrate. Thin-film format of optical devices provides an intimate integration of optical functions with microfluidic devices. As a demonstration of a multi-material and functional system, the biphasic flow structure in the polymeric microfluidic channels was co-integrated with a III–V semiconductor thin-film PD. The fluorescent drops formed in the microfluidic device are successfully detected with an integrated thin-film PD on a silicon substrate. The proposed three-dimensional integration structure is an alternative approach to combine optical functions with microfluidic functions on silicon-based electronic functions.

  1. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  2. Indium oxide thin film as potential photoanodes for corrosion protection of stainless steel under visible light

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yan [Key Laboratory of New Fiber Materials and Modern Textile, Qingdao University, 308 Ningxia Road, Qingdao 266071 (China); Yu, Jianqiang, E-mail: jianqyu@qdu.edu.cn [Key Laboratory of New Fiber Materials and Modern Textile, Qingdao University, 308 Ningxia Road, Qingdao 266071 (China); Sun, Kai; Zhu, Yukun [Key Laboratory of New Fiber Materials and Modern Textile, Qingdao University, 308 Ningxia Road, Qingdao 266071 (China); Bu, Yuyu; Chen, Zhuoyuan [National Engineering Center of Marine Corrosion Protection, Institute of Oceanology, Chinese Academy of Sciences, 7 Nanhai Road, Qingdao 266071 (China)

    2014-05-01

    Graphical abstract: If the conduction band potential of In{sub 2}O{sub 3} is more negative than the corrosion potential of stainless steel, photo-induced electrons will be transferred from In{sub 2}O{sub 3} to the steel, thus shifting the potential of the steel into a corrosion immunity region and preventing the steel from the corrosion. - Highlights: • Indium oxide performed novel application under visible light. • Indium oxide by sol–gel method behaved better photoelectrochemical properties. • Electrons were transferred to stainless steel from indium oxide once light on. - Abstract: This paper reports the photoelectrochemical cathodic protection of 304 stainless steel by In{sub 2}O{sub 3} thin-film under visible-light. The films were fabricated with In{sub 2}O{sub 3} powders, synthesized by both sol–gel (In{sub 2}O{sub 3}-sg) and solid-state (In{sub 2}O{sub 3}-ss) processes. The photo-induced open circuit potential and the photo-to-current efficiency measurements suggested that In{sub 2}O{sub 3} could be a promising candidate material for photoelectrochemical cathodic protection of metallic alloys under visible light. Moreover, the polarization curve experimental results indicated that In{sub 2}O{sub 3}-sg thin-film can mitigate the corrosion potential of 304 stainless steel to much more negative values with a higher photocurrent density than the In{sub 2}O{sub 3}-ss film under visible-light illumination. All the results demonstrated that the In{sub 2}O{sub 3}-sg thin-film provides a better photoelectrochemical cathodic protection for 304 stainless steel than In{sub 2}O{sub 3}-ss thin-film under visible-light illumination. The higher photoelectrochemical efficiency is possibly due to the uniform thin films produced with the smaller particle size of In{sub 2}O{sub 3}-sg, which facilitates the transfer of the photo-induced electrons from bulk to the surface and suppresses the charge recombination of the electrons and holes.

  3. RBS and NRA of cobalt oxide thin films prepared by the sol-gel process

    International Nuclear Information System (INIS)

    Andrade, E.; Huerta, L.; Pineda, J.C.; Zavala, E.P.; Barrera, E.; Rocha, M. F.; Vargas, C.A.

    2001-01-01

    This work presents a study of cobalt oxide thin films produced by the sol-gel process on aluminum and glass substrates. These films have been analyzed using two ion beam analysis (IBA) techniques: a) a standard RBS 4 He 2 MeV and b) nuclear reaction analysis (NRA) using a 1 MeV deuterium beam. The 12 C(d,p 0 ) 13 C nuclear reaction provides information that carbon is incorporated into the film structure, which could be associated to the sinterization film process. Other film measurements such as optical properties, XRD, and SEM were performed in order to complement the IBA analysis. The results show that cobalt oxide film coatings prepared by this technique have good optical properties as solar absorbers and potential uses in solar energy applications

  4. Synthesis of high-oxidation Y-Ba-Cu-O phases in superoxygenated thin films

    Science.gov (United States)

    Zhang, H.; Gauquelin, N.; McMahon, C.; Hawthorn, D. G.; Botton, G. A.; Wei, J. Y. T.

    2018-03-01

    It is known that solid-state reaction in high-pressure oxygen can stabilize high-oxidation phases of Y-Ba-Cu-O superconductors in powder form. We extend this superoxygenation concept of synthesis to thin films which, due to their large surface-to-volume ratio, are more reactive thermodynamically. Epitaxial thin films of YBa2Cu3O7 -δ grown by pulsed laser deposition are annealed at up to 700 atm O2 and 900 ∘C , in conjunction with Cu enrichment by solid-state diffusion. The films show the clear formation of Y2Ba4Cu7O15 -δ and Y2Ba4Cu8O16 as well as regions of YBa2Cu5O9 -δ and YBa2Cu6O10 -δ phases, according to scanning transmission electron microscopy, x-ray diffraction, and x-ray absorption spectroscopy. Similarly annealed YBa2Cu3O7 -δ powders show no phase conversion. Our results demonstrate a route of synthesis towards discovering more complex phases of cuprates and other superconducting oxides.

  5. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  6. Magnetoresistance and Microstructure of Magnetite Nanocrystals Dispersed in Indium−Tin Oxide Thin Films

    OpenAIRE

    Okada, Koichi; Kohiki, Shigemi; Mitome, Masanori; Tanaka, Hidekazu; Arai, Masao; Mito, Masaki; Deguchi, Hiroyuki

    2009-01-01

    Epitaxial indium−tin oxide (ITO) thin films were fabricated on a yttria-stabilized zirconia (YSZ) substrate by pulsed-laser deposition using magnetite (Fe3O4) nanoparticle dispersed ITO powders as a target. Magnetoresistance of the film at a field of 1 T was 39% at 45 K, and it stayed at 3% above 225 K. The film demonstrated cooling hysteresis in the temperature dependence of direct-current magnetization. Transmission electron microscopy revealed that phase-separated Fe3O4 nanocrystals with w...

  7. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jaiswal, Manoj Kumar [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Kumar, Rajesh, E-mail: rajeshkumaripu@gmail.com [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India)

    2013-11-01

    Thin films of tin(IV) oxide (SnO{sub 2}) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au{sup 8+} using 1 pnA current at normal incidence with ion fluences varying from 1 × 10{sup 11} ions/cm{sup 2} to 5 × 10{sup 13} ions/cm{sup 2}. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV–Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm{sup −1} in FTIR spectrum confirmed the O–Sn–O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO{sub 2} were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  8. Ultraviolet-visible electroluminescence from metal-oxide-semiconductor devices with CeO2 films on silicon

    International Nuclear Information System (INIS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2015-01-01

    We report on ultraviolet-visible (UV-Vis) electroluminescence (EL) from metal-oxide-semiconductor (MOS) devices with the CeO 2 films annealed at low temperatures. At the same injection current, the UV-Vis EL from the MOS device with the 550 °C-annealed CeO 2 film is much stronger than that from the counterpart with the 450 °C-annealed CeO 2 film. This is due to that the 550 °C-annealed CeO 2 film contains more Ce 3+ ions and oxygen vacancies. It is tentatively proposed that the recombination of the electrons in multiple oxygen-vacancy–related energy levels with the holes in Ce 4f 1 energy band pertaining to Ce 3+ ions leads to the UV-Vis EL

  9. Electronic grain boundary properties in polycrystalline Cu(In,Ga)Se{sub 2} semiconductors for thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Baier, Robert

    2012-06-25

    Solar cells based on polycrystalline Cu(In,Ga)Se{sub 2} (CIGSe) thin film absorbers reach the highest energy conversion efficiency among all thin film solar cells. The record efficiency is at least partly attributed to benign electronic properties of grain boundaries (GBs) in the CIGSe layers. However, despite a high amount of research on this phenomenon the underlying physics is not sufficiently understood. This thesis presents an elaborate study on the electronic properties of GBs in CIGSe thin films. Kelvin probe force microscopy (KPFM) was employed to investigate the electronic properties of GBs in dependence of the Ga-content. Five CIGSe thin lms with various Ga-contents were grown by means of similar three stage co-evaporation processes. Both as grown as well as chemically treated (KCN etched) thin films were analyzed. The chemical treatment was employed to remove surface oxides. No difference in electronic GB properties was found with or without the chemical treatment. Therefore, we conclude that a moderate surface oxidation does not alter the electronic properties of GBs. In general, one can observe significant variations of electronic potential barriers at GBs. Under consideration of the averaging effect of the work function signal of nanoscale potential distributions in KPFM measurements which was quantified in the course of this thesis both positive and negative potential barriers in a range between ∼-350 mV and ∼+450 mV were detected. Additionally, variations in the defect densities at GBs between ∼3.1 x 10{sup 11} cm{sup -2} and ∼2.1 x 10{sup 12} cm{sup -2} were found. However, no correlation between the electronic properties of GBs and the Ga-content of CIGSe thin films was discovered. Consequently, one cannot explain the drop in device efficiency observed for CIGSe thin film solar cells with a high Ga-content by a change of the electronic properties of GBs. Combined KPFM and electron backscatter diffraction measurements were employed for the

  10. Memristive properties of transparent oxide semiconducting (Ti,Cu)O x -gradient thin film

    Science.gov (United States)

    Domaradzki, Jarosław; Kotwica, Tomasz; Mazur, Michał; Kaczmarek, Danuta; Wojcieszak, Damian

    2018-01-01

    The paper presents the results of the analysis of memristive properties observed in (Ti,Cu)-oxide thin film with gradient distribution of elements, prepared using the multi-source reactive magnetron co-sputtering process. The performed electrical measurements showed the presence of pinched hysteresis loops in the voltage-current plane for direct and alternating current bipolar periodic signal stimulation. Investigations performed using a transmission electron microscope equipped with an energy dispersive spectrometer showed that the elemental composition at the cross section of the thin film was very well correlated with the gradient V-shaped profile of the powering of the magnetron source equipped with a Cu target. The prepared samples were transparent in the visible part of optical radiation. The obtained results showed that the prepared gradient (Ti,Cu)O x thin film could be an interesting alternative to the conventional multilayer stack construction of memristive devices, which makes them a promising material for manufacturing transparent memory devices for transparent electronics.

  11. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  12. Synthesis, characterization, and photoactivity of InTaO4 and In0.9Ni0.1TaO4 thin films prepared by electron evaporation

    International Nuclear Information System (INIS)

    Rico, V. J.; Frutos, F.; Yubero, F.; Espinos, J. P.; Gonzales-Elipe, A. R.

    2010-01-01

    InTaO 4 and In 0.9 Ni 0.1 TaO 4 thin films have been prepared by electron evaporation of successive layers of the single oxide components and posterior annealing at T>800 deg. C. The annealed thin films presented the monoclinic crystallographic structure typical of these mixed oxides. The electrical and optical behaviors of the films, assessed by C-V measurements, surface conductivity as a function of temperature, and UV-vis absorption spectroscopy, indicate that these oxides are wide band gap semiconductors with a variable dielectric constant depending on the annealing conditions. By reflection electron energy loss spectroscopy some electronic states have been found in the gap at an energy that is compatible with the activation energy deduced from the conductivity versus 1/T plots for these oxides. The photoactivity of these materials has been assessed by looking to the evolution of the wetting contact angle as a function of the irradiation time. All the films became superhydrophilic when irradiated with UV light, while the In 0.9 Ni 0.1 TaO 4 thin films also presented a small partial decrease in wetting angle when irradiated with visible photons.

  13. Plasma-treated Langmuir-Blodgett reduced graphene oxide thin film for applications in biophotovoltaics

    Science.gov (United States)

    Ibrahim, Siti Aisyah; Jaafar, Muhammad Musoddiq; Ng, Fong-Lee; Phang, Siew-Moi; Kumar, G. Ghana; Majid, Wan Haliza Abd; Periasamy, Vengadesh

    2018-01-01

    The surface optimization and structural characteristics of Langmuir-Blodgett (LB) reduced graphene oxide thin (rGO) film treated by argon plasma treatment were studied. In this work, six times deposition of rGO was deposited on a clean glass substrate using the LB method. Plasma technique involving a variation of plasma power, i.e., 20, 60, 100 and 140 W was exposed to the LB-rGO thin films under argon ambience. The plasma treatment generally improves the wettability or hydrophilicity of the film surface compared to without treatment. Maximum wettability was observed at a plasma power of 20 W, while also increasing the adhesion of the rGO film with the glass substrate. The multilayer films fabricated were characterized by means of spectroscopic, structural and electrical studies. The treatment of rGO with argon plasma was found to have improved its biocompatibility, and thus its performance as an electrode for biophotovoltaic devices has been shown to be enhanced considerably.

  14. UV absorption by cerium oxide nanoparticles/epoxy composite thin films

    International Nuclear Information System (INIS)

    Dao, Ngoc Nhiem; Luu, Minh Dai; Nguyen, Quang Khuyen; Kim, Byung Sun

    2011-01-01

    Cerium oxide (CeO 2 ) nanoparticles have been used to modify properties of an epoxy matrix in order to improve the ultra-violet (UV) absorption property of epoxy thin films. The interdependence of mechanical properties, UV absorption property and the dispersed concentration of CeO 2 nanoparticles was investigated. Results showed that, by increasing the dispersed concentration of CeO 2 nanoparticles up to 3 wt%, tensile modulus increases while two other mechanical properties, namely tensile strength and elongation, decrease. The UV absorption peak and the absorption edges of the studied thin films were observed in the UV-Vis absorption spectra. By incorporating CeO 2 nanoparticles into the epoxy matrix, an absorption peak appears at around 318 nm in UV-Vis spectra with increasing CeO 2 concentration from 0.1 to 1.0 wt%. Scanning electron microscopy (SEM) images revealed that a good dispersion of nanoparticles in the epoxy matrix by an ultrasonic method was achieved

  15. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    Science.gov (United States)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  16. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  17. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  18. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  19. Compositional influence on the electrical performance of zinc indium tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Marsal, A.; Carreras, P.; Puigdollers, J.; Voz, C.; Galindo, S.; Alcubilla, R.; Bertomeu, J.; Antony, A.

    2014-01-01

    In this work, zinc indium tin oxide layers with different compositions are used as the active layer of thin film transistors. This multicomponent transparent conductive oxide is gaining great interest due to its reduced content of the scarce indium element. Experimental data indicate that the incorporation of zinc promotes the creation of oxygen vacancies, which results in a higher free carrier density. In thin-film transistors this effect leads to a higher off current and threshold voltage values. The field-effect mobility is also strongly degraded, probably due to coulomb scattering by ionized defects. A post deposition annealing in air reduces the density of oxygen vacancies and improves the field-effect mobility by orders of magnitude. Finally, the electrical characteristics of the fabricated thin-film transistors have been analyzed to estimate the density of states in the gap of the active layers. These measurements reveal a clear peak located at 0.3 eV from the conduction band edge that could be attributed to oxygen vacancies. - Highlights: • Zinc promotes the creation of oxygen vacancies in zinc indium tin oxide transistors. • Post deposition annealing in air reduces the density of oxygen. • Density of states reveals a clear peak located at 0.3 eV from the conduction band

  20. Tungsten oxide (WO3) thin films for application in advanced energy systems

    International Nuclear Information System (INIS)

    Gullapalli, S. K.; Vemuri, R. S.; Manciu, F. S.; Enriquez, J. L.; Ramana, C. V.

    2010-01-01

    Inherent processes in coal gasification plants produce hazardous hydrogen sulfide (H 2 S), which must be continuously and efficiently detected and removed before the fuel is used for power generation. An attempt has been made in this work to fabricate tungsten oxide (WO 3 ) thin films by radio-frequency reactive magnetron-sputter deposition. The impetus being the use of WO 3 films for H 2 S sensors in coal gasification plants. The effect of growth temperature, which is varied in the range of 30-500 deg. C, on the growth and microstructure of WO 3 thin films is investigated. Characterizations made using scanning electron microscopy (SEM) and x-ray diffraction (XRD) indicate that the effect of temperature is significant on the microstructure of WO 3 films. XRD and SEM results indicate that the WO 3 films grown at room temperature are amorphous, whereas films grown at higher temperatures are nanocrystalline. The average grain-size increases with increasing temperature. WO 3 films exhibit smooth morphology at growth temperatures ≤300 deg. C while relatively rough at >300 deg. C. The analyses indicate that the nanocrystalline WO 3 films grown at 100-300 deg. C could be the potential candidates for H 2 S sensor development for application in coal gasification systems.