Zhu, Lisha; Hu, Wei; Gao, Chao; Guo, Yongcai
2017-12-01
This paper reports the reversible transition processes between the bipolar and complementary resistive switching (CRS) characteristics on the binary metal-oxide resistive memory devices of Pt/HfO x /TiN and Pt/TaO x /TiN by applying the appropriate bias voltages. More interestingly, by controlling the amplitude of the negative bias, the parasitic resistive switching effect exhibiting repeatable switching behavior is uncovered from the CRS behavior. The electrical observation of the parasitic resistive switching effect can be explained by the controlled size of the conductive filament. This work confirms the transformation and interrelationship among the bipolar, parasitic, and CRS effects, and thus provides new insight into the understanding of the physical mechanism of the binary metal-oxide resistive switching memory devices.
International Nuclear Information System (INIS)
Seo, Kyungah; Park, Sangsu; Lee, Kwanghee; Lee, Byounghun; Hwang, Hyunsang; Kim, Insung; Jung, Seungjae; Jo, Minseok; Park, Jubong; Shin, Jungho; Biju, Kuyyadi P; Kong, Jaemin
2011-01-01
We demonstrated analog memory, synaptic plasticity, and a spike-timing-dependent plasticity (STDP) function with a nanoscale titanium oxide bilayer resistive switching device with a simple fabrication process and good yield uniformity. We confirmed the multilevel conductance and analog memory characteristics as well as the uniformity and separated states for the accuracy of conductance change. Finally, STDP and a biological triple model were analyzed to demonstrate the potential of titanium oxide bilayer resistive switching device as synapses in neuromorphic devices. By developing a simple resistive switching device that can emulate a synaptic function, the unique characteristics of synapses in the brain, e.g. combined memory and computing in one synapse and adaptation to the outside environment, were successfully demonstrated in a solid state device.
Energy Technology Data Exchange (ETDEWEB)
Kawakita, Masatoshi; Okabe, Kyota [Department of Physics, Kyushu University, 6-10-1 Hakozaki, Fukuoka 812-8581 (Japan); Kimura, Takashi [Department of Physics, Kyushu University, 6-10-1 Hakozaki, Fukuoka 812-8581 (Japan); Research Center for Quantum Nano-Spin Sciences, Kyushu University, 6-10-1 Hakozaki, Fukuoka 812-8581 (Japan)
2016-01-11
We have developed a fabrication process for a laterally configured resistive switching device based on a Gd oxide. A nano-gap electrode connected by a Gd oxide with the ideal interfaces has been created by adapting the electro-migration method in a metal/GdO{sub x} bilayer system. Bipolar set and reset operations have been clearly observed in the Pt/GdO{sub x} system similarly in the vertical device based on GdO{sub x}. Interestingly, we were able to observe a clear bipolar switching also in a ferromagnetic CoFeB nano-gap electrode with better stability compared to the Pt/GdO{sub x} device. The superior performance of the CoFeB/GdO{sub x} device implies the importance of the spin on the resistive switching.
Migration of interfacial oxygen ions modulated resistive switching in oxide-based memory devices
Chen, C.; Gao, S.; Zeng, F.; Tang, G. S.; Li, S. Z.; Song, C.; Fu, H. D.; Pan, F.
2013-07-01
Oxides-based resistive switching memory induced by oxygen ions migration is attractive for future nonvolatile memories. Numerous works had focused their attentions on the sandwiched oxide materials for depressing the characteristic variations, but the comprehensive studies of the dependence of electrodes on the migration behavior of oxygen ions are overshadowed. Here, we investigated the interaction of various metals (Ni, Co, Al, Ti, Zr, and Hf) with oxygen atoms at the metal/Ta2O5 interface under electric stress and explored the effect of top electrode on the characteristic variations of Ta2O5-based memory device. It is demonstrated that chemically inert electrodes (Ni and Co) lead to the scattering switching characteristics and destructive gas bubbles, while the highly chemically active metals (Hf and Zr) formed a thick and dense interfacial intermediate oxide layer at the metal/Ta2O5 interface, which also degraded the resistive switching behavior. The relatively chemically active metals (Al and Ti) can absorb oxygen ions from the Ta2O5 film and avoid forming the problematic interfacial layer, which is benefit to the formation of oxygen vacancies composed conduction filaments in Ta2O5 film thus exhibit the minimum variations of switching characteristics. The clarification of oxygen ions migration behavior at the interface can lead further optimization of resistive switching performance in Ta2O5-based memory device and guide the rule of electrode selection for other oxide-based resistive switching memories.
Metal oxide resistive random access memory based synaptic devices for brain-inspired computing
Gao, Bin; Kang, Jinfeng; Zhou, Zheng; Chen, Zhe; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan
2016-04-01
The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT), and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non-parallel data processing, and the buses requirement between memory units and logic units. The brain-inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain-inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM-based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low-energy training behavior are provided. A three-dimensional vertical synapse network architecture is proposed for high-density integration and low-cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.
Chen, Kai-Huang; Cheng, Chien-Min; Kao, Ming-Cheng; Chang, Kuan-Chang; Chang, Ting-Chang; Tsai, Tsung-Ming; Wu, Sean; Su, Feng-Yi
2017-04-01
The bipolar switching properties and electrical conduction mechanism of vanadium oxide thin-film resistive random-access memory (RRAM) devices obtained using a rapid thermal annealing (RTA) process have been investigated in high-resistive status/low-resistive status (HRS/LRS) and are discussed herein. In addition, the resistance switching properties and quality improvement of the vanadium oxide thin-film RRAM devices were measured by x-ray diffraction (XRD) analysis, x-ray photoelectron spectrometry (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage ( I- V) measurements. The activation energy of the hopping conduction mechanism in the devices was investigated based on Arrhenius plots in HRS and LRS. The hopping conduction distance and activation energy barrier were obtained as 12 nm and 45 meV, respectively. The thermal annealing process is recognized as a candidate method for fabrication of thin-film RRAM devices, being compatible with integrated circuit technology for nonvolatile memory devices.
Wu, Xinghui; Zhang, Qiuhui; Cui, Nana; Xu, Weiwei; Wang, Kefu; Jiang, Wei; Xu, Qixing
2018-06-01
In this paper, we report our investigation of room-temperature-fabricated tungsten/indium tin oxide/gold (W/ITO/Au) resistive random access memory (RRAM), which exhibits asymmetric bipolar resistive switching (BRS) behavior. The device displays good write/erase endurance and data retention properties. The device shows complementary resistive switching (CRS) characteristics after controlling the compliance current. A WO x layer electrically formed at the W/ITO in the forming process. Mobile oxygen ions within ITO migrate toward the electrode/ITO interface and produce a semiconductor-like layer that acts as a free-carrier barrier. The CRS characteristic here can be elucidated in light of the evolution of an asymmetric free-carrier blocking layer at the electrode/ITO interface.
Light-activated resistance switching in SiOx RRAM devices
Mehonic, A.; Gerard, T.; Kenyon, A. J.
2017-12-01
We report a study of light-activated resistance switching in silicon oxide (SiOx) resistive random access memory (RRAM) devices. Our devices had an indium tin oxide/SiOx/p-Si Metal/Oxide/Semiconductor structure, with resistance switching taking place in a 35 nm thick SiOx layer. The optical activity of the devices was investigated by characterising them in a range of voltage and light conditions. Devices respond to illumination at wavelengths in the range of 410-650 nm but are unresponsive at 1152 nm, suggesting that photons are absorbed by the bottom p-type silicon electrode and that generation of free carriers underpins optical activity. Applied light causes charging of devices in the high resistance state (HRS), photocurrent in the low resistance state (LRS), and lowering of the set voltage (required to go from the HRS to LRS) and can be used in conjunction with a voltage bias to trigger switching from the HRS to the LRS. We demonstrate negative correlation between set voltage and applied laser power using a 632.8 nm laser source. We propose that, under illumination, increased electron injection and hence a higher rate of creation of Frenkel pairs in the oxide—precursors for the formation of conductive oxygen vacancy filaments—reduce switching voltages. Our results open up the possibility of light-triggered RRAM devices.
Bipolar resistive switching in room temperature grown disordered vanadium oxide thin-film devices
Wong, Franklin J.; Sriram, Tirunelveli S.; Smith, Brian R.; Ramanathan, Shriram
2013-09-01
We demonstrate bipolar switching with high OFF/ON resistance ratios (>104) in Pt/vanadium oxide/Cu structures deposited entirely at room temperature. The SET (RESET) process occurs when negative (positive) bias is applied to the top Cu electrode. The vanadium oxide (VOx) films are amorphous and close to the vanadium pentoxide stoichiometry. We also investigated Cu/VOx/W structures, reversing the position of the Cu electrode, and found the same polarity dependence with respect to the top and bottom electrodes, which suggests that the bipolar nature is linked to the VOx layer itself. Bipolar switching can be observed at 100 °C, indicating that it not due to a temperature-induced metal-insulator transition of a vanadium dioxide second phase. We discuss how ionic drift can lead to the bipolar electrical behavior of our junctions, similar to those observed in devices based on several other defective oxides. Such low-temperature processed oxide switches could be of relevance to back-end or package integration processing schemes.
Copper oxide resistive switching memory for e-textile
Directory of Open Access Journals (Sweden)
Jin-Woo Han
2011-09-01
Full Text Available A resistive switching memory suitable for integration into textiles is demonstrated on a copper wire network. Starting from copper wires, a Cu/CuxO/Pt sandwich structure is fabricated. The active oxide film is produced by simple thermal oxidation of Cu in atmospheric ambient. The devices display a resistance switching ratio of 102 between the high and low resistance states. The memory states are reversible and retained over 107 seconds, with the states remaining nondestructive after multiple read operations. The presented device on the wire network can potentially offer a memory for integration into smart textile.
A graphene integrated highly transparent resistive switching memory device
Dugu, Sita; Pavunny, Shojan P.; Limbu, Tej B.; Weiner, Brad R.; Morell, Gerardo; Katiyar, Ram S.
2018-05-01
We demonstrate the hybrid fabrication process of a graphene integrated highly transparent resistive random-access memory (TRRAM) device. The indium tin oxide (ITO)/Al2O3/graphene nonvolatile memory device possesses a high transmittance of >82% in the visible region (370-700 nm) and exhibits stable and non-symmetrical bipolar switching characteristics with considerably low set and reset voltages (ITO/Al2O3/Pt device and studied its switching characteristics for comparison and a better understanding of the ITO/Al2O3/graphene device characteristics. The conduction mechanisms in high and low resistance states were analyzed, and the observed polarity dependent resistive switching is explained based on electro-migration of oxygen ions.
International Nuclear Information System (INIS)
Tanaka, Yuusuke; Tanabe, Akira; Suzuki, Katsumi
1998-01-01
The effects of synchrotron x-ray irradiation on the device characteristics and hot-carrier resistance of n- and p-channel metal oxide semiconductor field effect transistors (MOSFETs) with 4 nm thick gate oxides are investigated. In p-channel MOSFETs, device characteristics were significantly affected by the x-ray irradiation but completely recovered after annealing, while the device characteristics in n-channel MOSFETs were not noticeably affected by the irradiation. This difference appears to be due to a difference in interface-state generation. In p-channel MOSFETs, defects caused by boron-ion penetration through the gate oxides may be sensitive to x-ray irradiation, causing the generation of many interface states. These interface states are completely eliminated after annealing in hydrogen gas. The effects of irradiation on the resistance to hot-carrier degradation in annealed 4 nm thick gate-oxide MOSFETs were negligible even at an x-ray dose of 6,000 mJ/cm 2
Metal oxide nanostructures as gas sensing devices
Eranna, G
2016-01-01
Metal Oxide Nanostructures as Gas Sensing Devices explores the development of an integrated micro gas sensor that is based on advanced metal oxide nanostructures and is compatible with modern semiconductor fabrication technology. This sensor can then be used to create a compact, low-power, handheld device for analyzing air ambience. The book first covers current gas sensing tools and discusses the necessity for miniaturized sensors. It then focuses on the materials, devices, and techniques used for gas sensing applications, such as resistance and capacitance variations. The author addresses the issues of sensitivity, concentration, and temperature dependency as well as the response and recovery times crucial for sensors. He also presents techniques for synthesizing different metal oxides, particularly those with nanodimensional structures. The text goes on to highlight the gas sensing properties of many nanostructured metal oxides, from aluminum and cerium to iron and titanium to zinc and zirconium. The final...
Effect of oxide insertion layer on resistance switching properties of copper phthalocyanine
Joshi, Nikhil G.; Pandya, Nirav C.; Joshi, U. S.
2013-02-01
Organic memory device showing resistance switching properties is a next-generation of the electrical memory unit. We have investigated the bistable resistance switching in current-voltage (I-V) characteristics of organic diode based on copper phthalocyanine (CuPc) film sandwiched between aluminum (Al) electrodes. Pronounced hysteresis in the I-V curves revealed a resistance switching with on-off ratio of the order of 85%. In order to control the charge injection in the CuPc, nanoscale indium oxide buffer layer was inserted to form Al/CuPc/In2O3/Al device. Analysis of I-V measurements revealed space charge limited switching conduction at the Al/CuPc interface. The traps in the organic layer and charge blocking by oxide insertion layer have been used to explain the absence of resistance switching in the oxide buffer layered memory device cell. Present study offer potential applications for CuPc organic semiconductor in low power non volatile resistive switching memory and logic circuits.
Polarization-coupled tunable resistive behavior in oxide ferroelectric heterostructures
Energy Technology Data Exchange (ETDEWEB)
Gruverman, Alexei [Univ. of Nebraska, Lincoln, NE (United States); Tsymbal, Evgeny Y. [Univ. of Nebraska, Lincoln, NE (United States); Eom, Chang-Beom [Univ. of Wisconsin, Madison, WI (United States)
2017-05-03
This research focuses on investigation of the physical mechanism of the electrically and mechanically tunable resistive behavior in oxide ferroelectric heterostructures with engineered interfaces realized via a strong coupling of ferroelectric polarization with tunneling electroresistance and metal-insulator (M-I) transitions. This report describes observation of electrically conductive domain walls in semiconducting ferroelectrics, voltage-free control of resistive switching and demonstration of a new mechanism of electrical control of 2D electron gas (2DEG) at oxide interfaces. The research goals are achieved by creating strong synergy between cutting-edge fabrication of epitaxial single-crystalline complex oxides, nanoscale electrical characterization by scanning probe microscopy and theoretical modeling of the observed phenomena. The concept of the ferroelectric devices with electrically and mechanically tunable nonvolatile resistance represents a new paradigm shift in realization of the next-generation of non-volatile memory devices and low-power logic switches.
Three-terminal resistive switching memory in a transparent vertical-configuration device
International Nuclear Information System (INIS)
Ungureanu, Mariana; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E.
2014-01-01
The resistive switching phenomenon has attracted much attention recently for memory applications. It describes the reversible change in the resistance of a dielectric between two non-volatile states by the application of electrical pulses. Typical resistive switching memories are two-terminal devices formed by an oxide layer placed between two metal electrodes. Here, we report on the fabrication and operation of a three-terminal resistive switching memory that works as a reconfigurable logic component and offers an increased logic density on chip. The three-terminal memory device we present is transparent and could be further incorporated in transparent computing electronic technologies
Bonafos, C.; Benassayag, G.; Cours, R.; Pécassou, B.; Guenery, P. V.; Baboux, N.; Militaru, L.; Souifi, A.; Cossec, E.; Hamga, K.; Ecoffey, S.; Drouin, D.
2018-01-01
We report on the direct ion beam synthesis of a delta-layer of indium oxide nanocrystals (In2O3-NCs) in silica matrices by using ultra-low energy ion implantation. The formation of the indium oxide phase can be explained by (i) the affinity of indium with oxygen, (ii) the generation of a high excess of oxygen recoils generated by the implantation process in the region where the nanocrystals are formed and (iii) the proximity of the indium-based nanoparticles with the free surface and oxidation from the air. Taking advantage of the selective diffusivity of implanted indium in SiO2 with respect to Si3N4, In2O3-NCs have been inserted in the SiO2 switching oxide of micrometric planar oxide-based resistive random access memory (OxRAM) devices fabricated using the nanodamascene process. Preliminary electrical measurements show switch voltage from high to low resistance state. The devices with In2O3-NCs have been cycled 5 times with identical operating voltages and RESET current meanwhile no switch has been observed for non implanted devices. This first measurement of switching is very promising for the concept of In2O3-NCs based OxRAM memories.
Lee, N. J.; Kang, T. S.; Hu, Q.; Lee, T. S.; Yoon, T.-S.; Lee, H. H.; Yoo, E. J.; Choi, Y. J.; Kang, C. J.
2018-06-01
Tri-state resistive switching characteristics of bilayer resistive random access memory devices based on manganese oxide (MnO)/tantalum oxide (Ta2O5) have been studied. The current–voltage (I–V) characteristics of the Ag/MnO/Ta2O5/Pt device show tri-state resistive switching (RS) behavior with a high resistance state (HRS), intermediate resistance state (IRS), and low resistance state (LRS), which are controlled by the reset process. The MnO/Ta2O5 film shows bipolar RS behavior through the formation and rupture of conducting filaments without the forming process. The device shows reproducible and stable RS both from the HRS to the LRS and from the IRS to the LRS. In order to elucidate the tri-state RS mechanism in the Ag/MnO/Ta2O5/Pt device, transmission electron microscope (TEM) images are measured in the LRS, IRS and HRS. White lines like dendrites are observed in the Ta2O5 film in both the LRS and the IRS. Poole–Frenkel conduction, space charge limited conduction, and Ohmic conduction are proposed as the dominant conduction mechanisms for the Ag/MnO/Ta2O5/Pt device based on the obtained I–V characteristics and TEM images.
Reduction of graphene oxide and its effect on square resistance of reduced graphene oxide films
Energy Technology Data Exchange (ETDEWEB)
Hou, Zhaoxia; Zhou, Yin; Li, Guang Bin; Wang, Shaohong; Wang, Mei Han; Hu, Xiaodan; Li, Siming [Liaoning Province Key Laboratory of New Functional Materials and Chemical Technology, School ofMechanical Engineering, Shenyang University, Shenyang (China)
2015-06-15
Graphite oxide was prepared via the modified Hummers’ method and graphene via chemical reduction. Deoxygenation efficiency of graphene oxide was compared among single reductants including sodium borohydride, hydrohalic acids, hydrazine hydrate, and vitamin C. Two-step reduction of graphene oxide was primarily studied. The reduced graphene oxide was characterized by XRD, TG, SEM, XPS, and Raman spectroscopy. Square resistance was measured as well. Results showed that films with single-step N2H4 reduction have the best transmittance and electrical conductivity with square resistance of ~5746 Ω/sq at 70% transmittance. This provided an experimental basis of using graphene for electronic device applications.
Singh, Rakesh; Kumar, Ravi; Kumar, Anil; Kashyap, Rajesh; Kumar, Mukesh; Kumar, Dinesh
2018-05-01
Graphene oxide based devices have attracted much attention recently because of their possible application in next generation electronic devices. In this study, bipolar resistive switching characteristics of graphene oxide based metal insulator metal structure were investigated for nonvolatile memories. The graphene oxide was prepared by the conventional Hummer's method and deposited on ITO coated glass by spin-coating technique. The dominant mechanism of resistive switching is the formation and rupture of the conductive filament inside the graphene oxide. The conduction mechanism for low and high resistance states are dominated by two mechanism the ohmic conduction and space charge limited current (SCLC) mechanism, respectively. Atomic Force Microscopy, X-ray diffraction, Cyclic-Voltammetry were conducted to observe the morphology, structure and behavior of the material. The fabricated device with Al/GO/ITO structure exhibited reliable bipolar resistive switching with set & reset voltage of -2.3 V and 3V respectively.
Stability, bistability and instability of amorphous ZrO2 resistive memory devices
International Nuclear Information System (INIS)
Parreira, P; Paterson, G W; McVitie, S; MacLaren, D A
2016-01-01
Amorphous zirconium oxide thin films deposited at room temperature, sandwiched between Pt and Ti electrodes, show resistive bipolar resistive switching with good overall performance figures (retention, ON/OFF ratio and durability). A variability observed during electrical characterisation is consistent with the coexistence of two different resistive switching mechanisms within the ZrO 2 layer. Electron energy loss spectroscopy is used to map chemical variations across the device on the nanoscale. Partial oxidation of the Ti electrode creates an ohmic contact with zirconia and injects positively charged oxygen vacancies into the zirconia layer that are then responsible for resistive switching at the Pt / zirconia interface. (paper)
Spike-Timing Dependent Plasticity in Unipolar Silicon Oxide RRAM Devices.
Zarudnyi, Konstantin; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Hudziak, Stephen; Kenyon, Anthony J
2018-01-01
Resistance switching, or Resistive RAM (RRAM) devices show considerable potential for application in hardware spiking neural networks (neuro-inspired computing) by mimicking some of the behavior of biological synapses, and hence enabling non-von Neumann computer architectures. Spike-timing dependent plasticity (STDP) is one such behavior, and one example of several classes of plasticity that are being examined with the aim of finding suitable algorithms for application in many computing tasks such as coincidence detection, classification and image recognition. In previous work we have demonstrated that the neuromorphic capabilities of silicon-rich silicon oxide (SiO x ) resistance switching devices extend beyond plasticity to include thresholding, spiking, and integration. We previously demonstrated such behaviors in devices operated in the unipolar mode, opening up the question of whether we could add plasticity to the list of features exhibited by our devices. Here we demonstrate clear STDP in unipolar devices. Significantly, we show that the response of our devices is broadly similar to that of biological synapses. This work further reinforces the potential of simple two-terminal RRAM devices to mimic neuronal functionality in hardware spiking neural networks.
Lin, Yi; Bunker, Christopher E.; Fernandos, K. A. Shiral; Connell, John W.
2012-01-01
The impurity-free aqueous dispersions of boron nitride nanosheets (BNNS) allowed the facile preparation of silver (Ag) nanoparticle-decorated BNNS by chemical reduction of an Ag salt with hydrazine in the presence of BNNS. The resultant Ag-BNNS nanohybrids remained dispersed in water, allowing convenient subsequent solution processing. By using substrate transfer techniques, Ag-BNNS nanohybrid thin film coatings on quartz substrates were prepared and evaluated as reusable surface enhanced Raman spectroscopy (SERS) sensors that were robust against repeated solvent washing. In addition, because of the unique thermal oxidation-resistant properties of the BNNS, the sensor devices may be readily recycled by short-duration high temperature air oxidation to remove residual analyte molecules in repeated runs. The limiting factor associated with the thermal oxidation recycling process was the Ostwald ripening effect of Ag nanostructures.
Phillips, W. M.
1977-01-01
Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.
Status and Prospects of ZnO-Based Resistive Switching Memory Devices
Simanjuntak, Firman Mangasa; Panda, Debashis; Wei, Kung-Hwa; Tseng, Tseung-Yuen
2016-08-01
In the advancement of the semiconductor device technology, ZnO could be a prospective alternative than the other metal oxides for its versatility and huge applications in different aspects. In this review, a thorough overview on ZnO for the application of resistive switching memory (RRAM) devices has been conducted. Various efforts that have been made to investigate and modulate the switching characteristics of ZnO-based switching memory devices are discussed. The use of ZnO layer in different structure, the different types of filament formation, and the different types of switching including complementary switching are reported. By considering the huge interest of transparent devices, this review gives the concrete overview of the present status and prospects of transparent RRAM devices based on ZnO. ZnO-based RRAM can be used for flexible memory devices, which is also covered here. Another challenge in ZnO-based RRAM is that the realization of ultra-thin and low power devices. Nevertheless, ZnO not only offers decent memory properties but also has a unique potential to be used as multifunctional nonvolatile memory devices. The impact of electrode materials, metal doping, stack structures, transparency, and flexibility on resistive switching properties and switching parameters of ZnO-based resistive switching memory devices are briefly compared. This review also covers the different nanostructured-based emerging resistive switching memory devices for low power scalable devices. It may give a valuable insight on developing ZnO-based RRAM and also should encourage researchers to overcome the challenges.
Papagianni, Christina
Pr0.7Ca0.3MnO3 (PCMO) manganese oxide belongs in the family of materials known as transition metal oxides. These compounds have received increased attention due to their perplexing properties such as Colossal Magnetoresistance effect, Charge-Ordered phase, existence of phase-separated states etc. In addition, it was recently discovered that short electrical pulses in amplitude and duration are sufficient to induce reversible and non-volatile resistance changes in manganese perovskite oxide thin films at room temperature, known as the EPIR effect. The existence of the EPIR effect in PCMO thin films at room temperature opens a viable way for the realization of fast, high-density, low power non-volatile memory devices in the near future. The purpose of this study is to investigate, optimize and understand the properties of Pr0.7Ca0.3MnO 3 (PCMO) thin film devices and to identify how these properties affect the EPIR effect. PCMO thin films were deposited on various substrates, such as metals, and conducting and insulating oxides, by pulsed laser and radio frequency sputtering methods. Our objective was to understand and compare the induced resistive states. We attempted to identify the induced resistance changes by considering two resistive models to be equivalent to our devices. Impedance spectroscopy was also utilized in a wide temperature range that was extended down to 70K. Fitted results of the temperature dependence of the resistance states were also included in this study. In the same temperature range, we probed the resistance changes in PCMO thin films and we examined whether the phase transitions affect the EPIR effect. In addition, we included a comparison of devices with electrodes consisting of different size and different materials. We demonstrated a direct relation between the EPIR effect and the phase diagram of bulk PCMO samples. A model that could account for the observed EPIR effect is presented.
Giant magneto-resistance devices
Hirota, Eiichi; Inomata, Koichiro
2002-01-01
This book deals with the application of giant magneto-resistance (GMR) effects to electronic devices. It will appeal to engineers and graduate students in the fields of electronic devices and materials. The main subjects are magnetic sensors with high resolution and magnetic read heads with high sensitivity, required for hard-disk drives with recording densities of several gigabytes. Another important subject is novel magnetic random-access memories (MRAM) with non-volatile non-destructive and radiation-resistant characteristics. Other topics include future GMR devices based on bipolar spin transistors, spin field-effect transistors (FETs) and double-tunnel junctions.
Sub-30 nm patterning of molecular resists based on crosslinking through tip based oxidation
Lorenzoni, Matteo; Wagner, Daniel; Neuber, Christian; Schmidt, Hans-Werner; Perez-Murano, Francesc
2018-06-01
Oxidation Scanning Probe Lithography (o-SPL) is an established method employed for device patterning at the nanometer scale. It represents a feasible and inexpensive alternative to standard lithographic techniques such as electron beam lithography (EBL) and nanoimprint lithography (NIL). In this work we applied non-contact o-SPL to an engineered class of molecular resists in order to obtain crosslinking by electrochemical driven oxidation. By patterning and developing various resist formulas we were able to obtain a reliable negative tone resist behavior based on local oxidation. Under optimal conditions, directly written patterns can routinely reach sub-30 nm lateral resolution, while the final developed features result wider, approaching 50 nm width.
Sub-10 nm low current resistive switching behavior in hafnium oxide stack
Energy Technology Data Exchange (ETDEWEB)
Hou, Y., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn [Institute of Microelectronics, Peking University, 100871 Beijing (China); IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee (Belgium); Celano, U.; Xu, Z.; Vandervorst, W. [IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee (Belgium); Goux, L.; Fantini, A.; Degraeve, R.; Youssef, A.; Jurczak, M. [IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Liu, L., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn; Cheng, Y.; Kang, J. [Institute of Microelectronics, Peking University, 100871 Beijing (China)
2016-03-21
In this letter, a tip-induced cell relying on the conductive atomic force microscope is proposed. It is verified as a referable replica of an integrated resistive random access memory (RRAM) device. On the basis of this cell, the functionality of sub-10 nm resistive switching is confirmed in hafnium oxide stack. Moreover, the low current switching behavior in the sub-10 nm dimension is found to be more pronounced than that of a 50 × 50 nm{sup 2} device. It shows better ON/OFF ratio and low leakage current. The enhanced memory performance is ascribed to a change in the shape of the conductive filament as the device dimensions are reduced to sub-10 nm. Therefore, device downscaling provides a promising approach for the resistance optimization that benefits the RRAM array design.
Jiang, Hao; Stewart, Derek A.
2016-04-01
Metal oxide resistive memory devices based on Ta2O5 have demonstrated high switching speed, long endurance, and low set voltage. However, the physical origin of this improved performance is still unclear. Ta2O5 is an important archetype of a class of materials that possess an adaptive crystal structure that can respond easily to the presence of defects. Using first principles nudged elastic band calculations, we show that this adaptive crystal structure leads to low energy barriers for in-plane diffusion of oxygen vacancies in λ phase Ta2O5. Identified diffusion paths are associated with collective motion of neighboring atoms. The overall vacancy diffusion is anisotropic with higher diffusion barriers found for oxygen vacancy movement between Ta-O planes. Coupled with the fact that oxygen vacancy formation energy in Ta2O5 is relatively small, our calculated low diffusion barriers can help explain the low set voltage in Ta2O5 based resistive memory devices. Our work shows that other oxides with adaptive crystal structures could serve as potential candidates for resistive random access memory devices. We also discuss some general characteristics for ideal resistive RAM oxides that could be used in future computational material searches.
Transparent resistive switching memory using aluminum oxide on a flexible substrate
International Nuclear Information System (INIS)
Yeom, Seung-Won; Kim, Tan-Young; Ha, Hyeon Jun; Ju, Byeong-Kwon; Shin, Sang-Chul; Shim, Jae Won; Lee, Yun-Hi
2016-01-01
Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al 2 O 3 -based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400–800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al 2 O 3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole–Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al 2 O 3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices. (letter)
Transparent resistive switching memory using aluminum oxide on a flexible substrate
Yeom, Seung-Won; Shin, Sang-Chul; Kim, Tan-Young; Ha, Hyeon Jun; Lee, Yun-Hi; Shim, Jae Won; Ju, Byeong-Kwon
2016-02-01
Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al2O3-based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400-800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al2O3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole-Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al2O3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices.
Nanoscale chemical state analysis of resistance random access memory device reacting with Ti
Shima, Hisashi; Nakano, Takashi; Akinaga, Hiro
2010-05-01
The thermal stability of the resistance random access memory material in the reducing atmosphere at the elevated temperature was improved by the addition of Ti. The unipolar resistance switching before and after the postdeposition annealing (PDA) process at 400 °C was confirmed in Pt/CoO/Ti(5 nm)/Pt device, while the severe degradation of the initial resistance occurs in the Pt/CoO/Pt and Pt/CoO/Ti(50 nm)/Pt devices. By investigating the chemical bonding states of Co, O, and Ti using electron energy loss spectroscopy combined with transmission electron microscopy, it was revealed that excess Ti induces the formation of metallic Co, while the thermal stability was improved by trace Ti. Moreover, it was indicated that the filamentary conduction path can be thermally induced after PDA in the oxide layer by analyzing electrical properties of the degraded devices. The adjustment of the reducing elements is quite essential in order to participate in their profits.
Wan, Tao; Qu, Bo; Du, Haiwei; Lin, Xi; Lin, Qianru; Wang, Da-Wei; Cazorla, Claudio; Li, Sean; Liu, Sidong; Chu, Dewei
2018-02-15
Resistive switching behaviour can be classified into digital and analog switching based on its abrupt and gradual resistance change characteristics. Realizing the transition from digital to analog switching in the same device is essential for understanding and controlling the performance of the devices with various switching mechanisms. Here, we investigate the resistive switching in a device made with strontium titanate (SrTiO 3 ) nanoparticles using X-ray diffractometry, scanning electron microscopy, Raman spectroscopy, and direct electrical measurements. It is found that the well-known rupture/formation of Ag filaments is responsible for the digital switching in the device with Ag as the top electrode. To modulate the switching performance, we insert a reduced graphene oxide layer between SrTiO 3 and the bottom FTO electrode owing to its good barrier property for the diffusion of Ag ions and high out-of-plane resistance. In this case, resistive switching is changed from digital to analog as determined by the modulation of interfacial resistance under applied voltage. Based on that controllable resistance, potentiation and depression behaviours are implemented as well. This study opens up new ways for the design of multifunctional devices which are promising for memory and neuromorphic computing applications. Copyright © 2017 Elsevier Inc. All rights reserved.
High temperature oxidation resistant cermet compositions
Phillips, W. M. (Inventor)
1976-01-01
Cermet compositions are designed to provide high temperature resistant refractory coatings on stainless steel or molybdenum substrates. A ceramic mixture of chromium oxide and aluminum oxide form a coating of chromium oxide as an oxidation barrier around the metal particles, to provide oxidation resistance for the metal particles.
Aspera, Susan Meñez; Kasai, Hideaki; Kishi, Hirofumi; Awaya, Nobuyoshi; Ohnishi, Shigeo; Tamai, Yukio
2013-01-01
The resistance random access memory (RRAM™) device, with its electrically induced nanoscale resistive switching capacity, has attracted considerable attention as a future nonvolatile memory device. Here, we propose a mechanism of switching based on an oxygen vacancy migration-driven change in the electronic properties of the transition-metal oxide film stimulated by set pulse voltages. We used density functional theory-based calculations to account for the effect of oxygen vacancies and their migration on the electronic properties of HfO2 and Ta/HfO2 systems, thereby providing a complete explanation of the RRAM™ switching mechanism. Furthermore, computational results on the activation energy barrier for oxygen vacancy migration were found to be consistent with the set and reset pulse voltage obtained from experiments. Understanding this mechanism will be beneficial to effectively realizing the materials design in these devices.
Indium-oxide nanoparticles for RRAM devices compatible with CMOS back-end-off-line
León Pérez, Edgar A. A.; Guenery, Pierre-Vincent; Abouzaid, Oumaïma; Ayadi, Khaled; Brottet, Solène; Moeyaert, Jérémy; Labau, Sébastien; Baron, Thierry; Blanchard, Nicholas; Baboux, Nicolas; Militaru, Liviu; Souifi, Abdelkader
2018-05-01
We report on the fabrication and characterization of Resistive Random Access Memory (RRAM) devices based on nanoparticles in MIM structures. Our approach is based on the use of indium oxide (In2O3) nanoparticles embedded in a dielectric matrix using CMOS-full-compatible fabrication processes in view of back-end-off-line integration for non-volatile memory (NVM) applications. A bipolar switching behavior has been observed using current-voltage measurements (I-V) for all devices. Very high ION/IOFF ratios have been obtained up to 108. Our results provide insights for further integration of In2O3 nanoparticles-based devices for NVM applications. He is currently a Postdoctoral Researcher in the Institute of Nanotechnologies of Lyon (INL), INSA de Lyon, France, in the Electronics Department. His current research include indium oxide nanoparticles for non-volatile memory applications, and the integrations of these devices in CMOS BEOL.
International Nuclear Information System (INIS)
Kim, Seonghyun; Park, Jubong; Jung, Seungjae; Lee, Wootae; Shin, Jungho; Hwang, Hyunsang; Lee, Daeseok; Woo, Jiyong; Choi, Godeuni
2012-01-01
In this study, we propose a new and effective methodology for improving the resistive-switching performance of memory devices by high-pressure hydrogen annealing under ambient conditions. The reduction effect results in the uniform creation of oxygen vacancies that in turn enable forming-free operation and afford uniform switching characteristics. In addition, H + and mobile hydroxyl (OH − ) ions are generated, and these induce fast switching operation due to the higher mobility compared to oxygen ions. Defect engineering, specifically, the introduction of hydrogen atom impurities, improves the device performance for metal–oxide-based resistive-switching random access memory devices. (paper)
Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic
Kim, Wonjoo; Chattopadhyay, Anupam; Siemon, Anne; Linn, Eike; Waser, Rainer; Rana, Vikas
2016-11-01
Redox-based resistive switching random access memory (ReRAM) offers excellent properties to implement future non-volatile memory arrays. Recently, the capability of two-state ReRAMs to implement Boolean logic functionality gained wide interest. Here, we report on seven-states Tantalum Oxide Devices, which enable the realization of an intrinsic modular arithmetic using a ternary number system. Modular arithmetic, a fundamental system for operating on numbers within the limit of a modulus, is known to mathematicians since the days of Euclid and finds applications in diverse areas ranging from e-commerce to musical notations. We demonstrate that multistate devices not only reduce the storage area consumption drastically, but also enable novel in-memory operations, such as computing using high-radix number systems, which could not be implemented using two-state devices. The use of high radix number system reduces the computational complexity by reducing the number of needed digits. Thus the number of calculation operations in an addition and the number of logic devices can be reduced.
Neuro-inspired computing using resistive synaptic devices
2017-01-01
This book summarizes the recent breakthroughs in hardware implementation of neuro-inspired computing using resistive synaptic devices. The authors describe how two-terminal solid-state resistive memories can emulate synaptic weights in a neural network. Readers will benefit from state-of-the-art summaries of resistive synaptic devices, from the individual cell characteristics to the large-scale array integration. This book also discusses peripheral neuron circuits design challenges and design strategies. Finally, the authors describe the impact of device non-ideal properties (e.g. noise, variation, yield) and their impact on the learning performance at the system-level, using a device-algorithm co-design methodology. • Provides single-source reference to recent breakthroughs in resistive synaptic devices, not only at individual cell-level, but also at integrated array-level; • Includes detailed discussion of the peripheral circuits and array architecture design of the neuro-crossbar system; • Focuses on...
Oxide materials for spintronic device applications
Prestgard, Megan Campbell
device-based applications. This dissertation presents experimental results on the use of oxides for fulfilling the three spintronic device requirements. In the case of spin injection, the study of dilute magnetic dielectrics (DMDs) shows the importance of doping on the magnetic properties of the resulting tunnel barriers. The study of spin transport in ZnO has shown that, even at room temperature, the spin diffusion length is relatively long, on the order of 100 nm. These studies have also probed the spin relaxation mechanics in ZnO and have shown that Dyakonov-Perel spin relaxation, operating according to Fermi-Dirac statistics, is the dominant spin relaxation mechanism in zinc oxide. Finally, spin detection in ZnO has shown that, similar to other semiconductors, by modifying the resistivity of the ZnO thin films, the spin Hall angle (SHA) can be enhanced to nearly that of metals. This is possible by enhancing extrinsic SOC due to skew-scattering from impurities as well as phonons. In addition, thermal spin injection has also been detected using ZnO, which results support the independently measured inverse spin-Hall effect studies. The work represented herein illustrates that oxide materials have the potential to enhance spintronic device potential in all processes pertinent to spintronic applications.
Non-Hebbian learning implementation in light-controlled resistive memory devices.
Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E
2012-01-01
Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.
Temperature induced complementary switching in titanium oxide resistive random access memory
Energy Technology Data Exchange (ETDEWEB)
Panda, D., E-mail: dpanda@nist.edu [Department of Electronics Engineering, National Institute of Science and Technology, Berhampur, Odisha 761008 (India); Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Simanjuntak, F. M.; Tseng, T.-Y. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)
2016-07-15
On the way towards high memory density and computer performance, a considerable development in energy efficiency represents the foremost aspiration in future information technology. Complementary resistive switch consists of two antiserial resistive switching memory (RRAM) elements and allows for the construction of large passive crossbar arrays by solving the sneak path problem in combination with a drastic reduction of the power consumption. Here we present a titanium oxide based complementary RRAM (CRRAM) device with Pt top and TiN bottom electrode. A subsequent post metal annealing at 400°C induces CRRAM. Forming voltage of 4.3 V is required for this device to initiate switching process. The same device also exhibiting bipolar switching at lower compliance current, Ic <50 μA. The CRRAM device have high reliabilities. Formation of intermediate titanium oxi-nitride layer is confirmed from the cross-sectional HRTEM analysis. The origin of complementary switching mechanism have been discussed with AES, HRTEM analysis and schematic diagram. This paper provides valuable data along with analysis on the origin of CRRAM for the application in nanoscale devices.
Bulk heterojunction polymer memory devices with reduced graphene oxide as electrodes.
Liu, Juqing; Yin, Zongyou; Cao, Xiehong; Zhao, Fei; Lin, Anping; Xie, Linghai; Fan, Quli; Boey, Freddy; Zhang, Hua; Huang, Wei
2010-07-27
A unique device structure with a configuration of reduced graphene oxide (rGO) /P3HT:PCBM/Al has been designed for the polymer nonvolatile memory device. The current-voltage (I-V) characteristics of the fabricated device showed the electrical bistability with a write-once-read-many-times (WORM) memory effect. The memory device exhibits a high ON/OFF ratio (10(4)-10(5)) and low switching threshold voltage (0.5-1.2 V), which are dependent on the sheet resistance of rGO electrode. Our experimental results confirm that the carrier transport mechanisms in the OFF and ON states are dominated by the thermionic emission current and ohmic current, respectively. The polarization of PCBM domains and the localized internal electrical field formed among the adjacent domains are proposed to explain the electrical transition of the memory device.
Oxidation Resistant Graphite Studies
Energy Technology Data Exchange (ETDEWEB)
W. Windes; R. Smith
2014-07-01
The Very High Temperature Reactor (VHTR) Graphite Research and Development Program is investigating doped nuclear graphite grades exhibiting oxidation resistance. During a oxygen ingress accident the oxidation rates of the high temperature graphite core region would be extremely high resulting in significant structural damage to the core. Reducing the oxidation rate of the graphite core material would reduce the structural effects and keep the core integrity intact during any air-ingress accident. Oxidation testing of graphite doped with oxidation resistant material is being conducted to determine the extent of oxidation rate reduction. Nuclear grade graphite doped with varying levels of Boron-Carbide (B4C) was oxidized in air at nominal 740°C at 10/90% (air/He) and 100% air. The oxidation rates of the boronated and unboronated graphite grade were compared. With increasing boron-carbide content (up to 6 vol%) the oxidation rate was observed to have a 20 fold reduction from unboronated graphite. Visual inspection and uniformity of oxidation across the surface of the specimens were conducted. Future work to determine the remaining mechanical strength as well as graphite grades with SiC doped material are discussed.
Stabilization of metal-oxide bulk switching device with diffused Bi contacts
International Nuclear Information System (INIS)
Lalevic, B.; Shoga, M.; Gvishi, M.; Levy, S.; Army ERADCOM, Ft. Monmouth, NJ)
1979-01-01
Threshold switching from the high to low resistance state has been investigated in the polycrystalline and single crystal NbO/sub x/ (where x is approximately = 2) metal-oxide devices. Stable and reproducible switching performance is observed in a configuration Bi-NbO 2 -Bi where Bi electrodes were covered with Au films. Improvement in the device performance is attributed to the Bi diffusion into NbO/sub x/ which has been confirmed by the Auger electron spectroscopy. Typical off state resistance of these devices is approx.100 KΩ and threshold switching voltage in the range from 100 to 2500 V. The delay time tau/sub d/ is exponentially dependent on the applied voltage V/sub appl/ and at larger V/sub appl'/ the delay time is less than a nanosecond. Recovery time of a device is approx.0.5 μsec as determined by the method of decreasing time interval between two successive pulses. Holding voltage is approx.40 V. The pulsed switched devices can withstand pulse durations between 0.1 to 3 μsec, repetition rate of 100 C/s and current intensities of 10 to 15 A, or 25 A peak with the applied pulse duration of 20 μsec, single shot
Torricelli, F.; Meijboom, J.R.; Smits, E.; Tripathi, A.K.; Gelinck, G.H.; Colalongo, L.; Kovacs-Vajna, Z.M.; Leeuw, D. de; Cantatore, E.
2011-01-01
Abstract—Short-channel zinc oxide (ZnO) thin-film transistors (TFTs) are investigated in a wide range of temperatures and bias conditions. Scaling down the channel length, the TFT performance is seriously affected by contact resistances, which depend on gate voltage and temperature. To account for
Torricelli, F.; Smits, E.C.P.; Meijboom, J.R.; Tripathi, A.K.; Gelinck, G.H.; Colalongo, L.; Kovacs-Vajna, Z.M.; Cantatore, E.
2011-01-01
Short-channel zinc oxide (ZnO) thin-film transistors (TFTs) are investigated in a wide range of temperatures and bias conditions. Scaling down the channel length, the TFT performance is seriously affected by contact resistances, which depend on gate voltage and temperature. To account for the
Jian, Wen-Yi; You, Hsin-Chiang; Wu, Cheng-Yen
2018-01-01
In this work, we used a sol-gel process to fabricate a ZnO-ZrO2-stacked resistive switching random access memory (ReRAM) device and investigated its switching mechanism. The Gibbs free energy in ZnO, which is higher than that in ZrO2, facilitates the oxidation and reduction reactions of filaments in the ZnO layer. The current-voltage (I-V) characteristics of the device revealed a forming-free operation because of nonlattice oxygen in the oxide layer. In addition, the device can operate under bipolar or unipolar conditions with a reset voltage of 0 to ±2 V, indicating that in this device, Joule heating dominates at reset and the electric field dominates in the set process. Furthermore, the characteristics reveal why the fabricated device exhibits a greater discrete distribution phenomenon for the set voltage than for the reset voltage. These results will enable the fabrication of future ReRAM devices with double-layer oxide structures with improved characteristics.
Energy Technology Data Exchange (ETDEWEB)
Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.; Wong, J. I. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Z. [School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Y. [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Leong, K. C. [GLOBALFOUNDRIES Singapore Pte Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)
2014-01-20
A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying a voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.
Realization of transient memory-loss with NiO-based resistive switching device
Hu, S. G.; Liu, Y.; Chen, T. P.; Liu, Z.; Yu, Q.; Deng, L. J.; Yin, Y.; Hosaka, Sumio
2012-11-01
A resistive switching device based on a nickel-rich nickel oxide thin film, which exhibits inherent learning and memory-loss abilities, is reported in this work. The conductance of the device gradually increases and finally saturates with the number of voltage pulses (or voltage sweepings), which is analogous to the behavior of the short-term and long-term memory in the human brain. Furthermore, the number of the voltage pulses (or sweeping cycles) required to achieve a given conductance state increases with the interval between two consecutive voltage pulses (or sweeping cycles), which is attributed to the heat diffusion in the material of the conductive filaments formed in the nickel oxide thin film. The phenomenon resembles the behavior of the human brain, i.e., forgetting starts immediately after an impression, a larger interval of the impressions leads to more memory loss, thus the memorization needs more impressions to enhance.
Oxide bipolar electronics: materials, devices and circuits
International Nuclear Information System (INIS)
Grundmann, Marius; Klüpfel, Fabian; Karsthof, Robert; Schlupp, Peter; Schein, Friedrich-Leonhard; Splith, Daniel; Yang, Chang; Bitter, Sofie; Von Wenckstern, Holger
2016-01-01
We present the history of, and the latest progress in, the field of bipolar oxide thin film devices. As such we consider primarily pn-junctions in which at least one of the materials is a metal oxide semiconductor. A wide range of n-type and p-type oxides has been explored for the formation of such bipolar diodes. Since most oxide semiconductors are unipolar, challenges and opportunities exist with regard to the formation of heterojunction diodes and band lineups. Recently, various approaches have led to devices with high rectification, namely p-type ZnCo 2 O 4 and NiO on n-type ZnO and amorphous zinc-tin-oxide. Subsequent bipolar devices and applications such as photodetectors, solar cells, junction field-effect transistors and integrated circuits like inverters and ring oscillators are discussed. The tremendous progress shows that bipolar oxide electronics has evolved from the exploration of various materials and heterostructures to the demonstration of functioning integrated circuits. Therefore a viable, facile and high performance technology is ready for further exploitation and performance optimization. (topical review)
Interfacial behavior of resistive switching in ITO–PVK–Al WORM memory devices
International Nuclear Information System (INIS)
Whitcher, T J; Woon, K L; Wong, W S; Chanlek, N; Nakajima, H; Saisopa, T; Songsiriritthigul, P
2016-01-01
Understanding the mechanism of resistive switching in a memory device is fundamental in order to improve device performance. The mechanism of current switching in a basic organic write-once read-many (WORM) memory device is investigated by determining the energy level alignments of indium tin oxide (ITO), poly(9-vinylcarbazole) (PVK) and aluminum (Al) using x-ray and ultraviolet photoelectron spectroscopy, current–voltage characterization and Auger depth profiling. The current switching mechanism was determined to be controlled by the interface between the ITO and the PVK. The electric field applied across the device causes the ITO from the uneven surface of the anode to form metallic filaments through the PVK, causing a shorting effect within the device leading to increased conduction. This was found to be independent of the PVK thickness, although the switch-on voltage was non-linearly dependent on the thickness. The formation of these filaments also caused the destruction of the interfacial dipole at the PVK–Al interface. (paper)
Interfacial behavior of resistive switching in ITO-PVK-Al WORM memory devices
Whitcher, T. J.; Woon, K. L.; Wong, W. S.; Chanlek, N.; Nakajima, H.; Saisopa, T.; Songsiriritthigul, P.
2016-02-01
Understanding the mechanism of resistive switching in a memory device is fundamental in order to improve device performance. The mechanism of current switching in a basic organic write-once read-many (WORM) memory device is investigated by determining the energy level alignments of indium tin oxide (ITO), poly(9-vinylcarbazole) (PVK) and aluminum (Al) using x-ray and ultraviolet photoelectron spectroscopy, current-voltage characterization and Auger depth profiling. The current switching mechanism was determined to be controlled by the interface between the ITO and the PVK. The electric field applied across the device causes the ITO from the uneven surface of the anode to form metallic filaments through the PVK, causing a shorting effect within the device leading to increased conduction. This was found to be independent of the PVK thickness, although the switch-on voltage was non-linearly dependent on the thickness. The formation of these filaments also caused the destruction of the interfacial dipole at the PVK-Al interface.
"A New Class of Creep Resistant Oxide/Oxide Ceramic Matrix Composites"
Energy Technology Data Exchange (ETDEWEB)
Dr. Mohit Jain, Dr. Ganesh Skandan, Prof. Roger Cannon, Rutgers University
2007-03-30
Despite recent progress in the development of SiC-SiC ceramic matrix composites (CMCs), their application in industrial gas turbines for distributed energy (DE) systems has been limited. The poor oxidation resistance of the non-oxide ceramics warrants the use of envrionmental barrier coatings (EBCs), which in turn lead to issues pertaining to life expectancy of the coatings. On the other hand, oxide/oxide CMCs are potential replacements, but their use has been limited until now due to the poor creep resistance at high temperatures, particularly above 1200 oC: the lack of a creep resistant matrix has been a major limiting factor. Using yttrium aluminum garnet (YAG) as the matrix material system, we have advanced the state-of-the-art in oxide/oxide CMCs by introducing innovations in both the structure and composition of the matrix material, thereby leading to high temperature matrix creep properties not achieved until now. An array of YAG-based powders with a unique set of particle characteristics were produced in-house and sintered to full density and compressive creep data was obtained. Aided in part by the composition and the microstructure, the creep rates were found to be two orders of magnitude smaller than the most creep resistant oxide fiber available commercially. Even after accounting for porosity and a smaller matrix grain size in a practical CMC component, the YAG-based matrix material was found to creep slower than the most creep resistant oxide fiber available commercially.
Metal-free, single-polymer device exhibits resistive memory effect
Bhansali, Unnat Sampatraj; Khan, Yasser; Cha, Dong Kyu; Almadhoun, Mahmoud N.; Li, Ruipeng; Chen, Long; Amassian, Aram; Odeh, Ihab N.; Alshareef, Husam N.
2013-01-01
All-polymer, write-once-read-many times resistive memory devices have been fabricated on flexible substrates using a single polymer, poly(3,4- ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS). Spin-cast or inkjet-printed films of solvent-modified PEDOT:PSS are used as electrodes, while the unmodified or as-is PEDOT:PSS is used as the semiconducting active layer. The all-polymer devices exhibit an irreversible but stable transition from a low resistance state (ON) to a high resistance state (OFF) at low voltages caused by an electric-field-induced morphological rearrangement of PEDOT and PSS at the electrode interface. However, in the metal-PEDOT:PSS-metal devices, we have shown a metal filament formation switching the device from an initial high resistance state (OFF) to the low resistance state (ON). The all-PEDOT:PSS memory device has low write voltages (<3 V), high ON/OFF ratio (>10 3), good retention characteristics (>10 000 s), and stability in ambient storage (>3 months). © 2013 American Chemical Society.
Metal-free, single-polymer device exhibits resistive memory effect
Bhansali, Unnat Sampatraj
2013-12-23
All-polymer, write-once-read-many times resistive memory devices have been fabricated on flexible substrates using a single polymer, poly(3,4- ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS). Spin-cast or inkjet-printed films of solvent-modified PEDOT:PSS are used as electrodes, while the unmodified or as-is PEDOT:PSS is used as the semiconducting active layer. The all-polymer devices exhibit an irreversible but stable transition from a low resistance state (ON) to a high resistance state (OFF) at low voltages caused by an electric-field-induced morphological rearrangement of PEDOT and PSS at the electrode interface. However, in the metal-PEDOT:PSS-metal devices, we have shown a metal filament formation switching the device from an initial high resistance state (OFF) to the low resistance state (ON). The all-PEDOT:PSS memory device has low write voltages (<3 V), high ON/OFF ratio (>10 3), good retention characteristics (>10 000 s), and stability in ambient storage (>3 months). © 2013 American Chemical Society.
Switching behavior of resistive change memory using oxide nanowires
Aono, Takashige; Sugawa, Kosuke; Shimizu, Tomohiro; Shingubara, Shoso; Takase, Kouichi
2018-06-01
Resistive change random access memory (ReRAM), which is expected to be the next-generation nonvolatile memory, often has wide switching voltage distributions due to many kinds of conductive filaments. In this study, we have tried to suppress the distribution through the structural restriction of the filament-forming area using NiO nanowires. The capacitor with Ni metal nanowires whose surface is oxidized showed good switching behaviors with narrow distributions. The knowledge gained from our study will be very helpful in producing practical ReRAM devices.
International Nuclear Information System (INIS)
Khurana, Geetika; Kumar, Nitu; Katiyar, Ram S; Misra, Pankaj; Kooriyattil, Sudheendran; Scott, James F
2016-01-01
Forming-free resistive random access memory (ReRAM) devices having low switching voltages are a prerequisite for their commercial applications. In this study, the forming-free resistive switching characteristics of graphene oxide (GO) films embedded with gold nanoparticles (Au Nps), having an enhanced on/off ratio at very low switching voltages, were investigated for non-volatile memories. The GOAu films were deposited by the electrophoresis method and as-grown films were found to be in the low resistance state; therefore no forming voltage was required to activate the devices for switching. The devices having an enlarged on/off ratio window of ∼10"6 between two resistance states at low voltages (<1 V) for repetitive dc voltage sweeps showed excellent properties of endurance and retention. In these films Au Nps were uniformly dispersed over a large area that provided charge traps, which resulted in improved switching characteristics. Capacitance was also found to increase by a factor of ∼10, when comparing high and low resistance states in GOAu and pristine GO devices. Charge trapping and de-trapping by Au Nps was the mechanism responsible for the improved switching characteristics in the films. (paper)
Polycrystalline intrinsic zinc oxide to be used in transparent electronic devices
International Nuclear Information System (INIS)
Pimentel, A.; Fortunato, E.; Goncalves, A.; Marques, A.; Aguas, H.; Pereira, L.; Ferreira, I.; Martins, R.
2005-01-01
In this paper we present results of intrinsic/non-doped zinc oxide deposited at room temperature by radio frequency magnetron sputtering able to be used as a semiconductor material on electronic devices, like for example ozone gas sensors, ultra-violet detectors and thin film transistors. These films present a resistivity as high as 2.5x10 8 Ω cm with an optical transmittance of 90%. Concerning the structural properties, these films are polycrystalline presenting a uniform and very smooth surface
Chemical insight into origin of forming-free resistive random-access memory devices
Wu, X.
2011-09-29
We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS)analysis has been carried out to identify the distribution and the role played by Ti in the RRAM stack. Our results show that Ti out-diffusion into the HfOx layer is the chemical cause of forming-free behavior. Moreover, the capability of Ti to change its ionic state in HfOx eases the reduction-oxidation (redox) reaction, thus lead to the RRAM devices performance improvements.
Characterization and device physics of polymer semiconducting devices with metal oxide contacts
de Bruyn, Paul
2018-01-01
Dit proefschrift beschrijft de fabricatie en karakterisatie van organische elektronische devices met metaal oxide contacten. Voornamelijk zijn zink oxide en vanadium pentoxide onderzocht. Manieren om op lage temperatuur dunne lagen te maken van deze metaal oxides zijn onderzocht om ze verenigbaar te
Directory of Open Access Journals (Sweden)
Emerson Roberto SANTOS
2009-02-01
Full Text Available In this work were carried out treatments with oxygen plasma and aquaregia on fluorinated tin oxide (FTO films varying the treatment times. After treatments, the samples were analyzed by techniques measurements: sheet resistance, thickness, Hall effect, transmittance and superficial roughness. Devices using FTO/PEDOT:PSS/OC1C10-PPV/Al were assembled. In this experiment some variations were observed by sheet resistance and thickness and Hall effect measurements indicated most elevated carriers concentration and resistivity for aquaregia than that oxygen plasma. The roughness was elevated for the first minutes with treatment by aquaregia too. In the I-V curves the aquaregia devices presented the lowest threshold voltage for 30 minutes and devices treated by oxygen plasma presented a behavior most resistivity different of typical curves for PLEDs devices.
Electrochromic device containing metal oxide nanoparticles and ultraviolet blocking material
Garcia, Guillermo; Koo, Bonil; Gregoratto, Ivano; Basu, Sourav; Rosen, Evelyn; Holt, Jason; Thomsen, Scott
2017-10-17
An electrochromic device includes a nanostructured transition metal oxide bronze layer that includes one or more transition metal oxide and one or more dopant. The electrochromic device also includes nanoparticles containing one or more transparent conducting oxide (TCO), a solid state electrolyte, a counter electrode, and at least one protective layer to prevent degradation of the one or more nanostructured transition metal oxide bronze. The nanostructured transition metal oxide bronze selectively modulates transmittance of near-infrared (NIR) and visible radiation as a function of an applied voltage to the device.
Cooper, David; Baeumer, Christoph; Bernier, Nicolas; Marchewka, Astrid; La Torre, Camilla; Dunin-Borkowski, Rafal E; Menzel, Stephan; Waser, Rainer; Dittmann, Regina
2017-06-01
The control and rational design of redox-based memristive devices, which are highly attractive candidates for next-generation nonvolatile memory and logic applications, is complicated by competing and poorly understood switching mechanisms, which can result in two coexisting resistance hystereses that have opposite voltage polarity. These competing processes can be defined as regular and anomalous resistive switching. Despite significant characterization efforts, the complex nanoscale redox processes that drive anomalous resistive switching and their implications for current transport remain poorly understood. Here, lateral and vertical mapping of O vacancy concentrations is used during the operation of such devices in situ in an aberration corrected transmission electron microscope to explain the anomalous switching mechanism. It is found that an increase (decrease) in the overall O vacancy concentration within the device after positive (negative) biasing of the Schottky-type electrode is associated with the electrocatalytic release and reincorporation of oxygen at the electrode/oxide interface and is responsible for the resistance change. This fundamental insight presents a novel perspective on resistive switching processes and opens up new technological opportunities for the implementation of memristive devices, as anomalous switching can now be suppressed selectively or used deliberately to achieve the desirable so-called deep Reset. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Wang, Lai-Guo; Cao, Zheng-Yi; Qian, Xu; Zhu, Lin; Cui, Da-Peng; Li, Ai-Dong; Wu, Di
2017-02-22
Al 2 O 3 - or HfO 2 -based nanocomposite structures with embedded CoPt x nanocrystals (NCs) on TiN-coated Si substrates have been prepared by combination of thermal atomic layer deposition (ALD) and plasma-enhanced ALD for resistive random access memory (RRAM) applications. The impact of CoPt x NCs and their average size/density on the resistive switching properties has been explored. Compared to the control sample without CoPt x NCs, ALD-derived Pt/oxide/100 cycle-CoPt x NCs/TiN/SiO 2 /Si exhibits a typical bipolar, reliable, and reproducible resistive switching behavior, such as sharp distribution of RRAM parameters, smaller set/reset voltages, stable resistance ratio (≥10 2 ) of OFF/ON states, better switching endurance up to 10 4 cycles, and longer data retention over 10 5 s. The possible resistive switching mechanism based on nanocomposite structures of oxide/CoPt x NCs has been proposed. The dominant conduction mechanisms in low- and high-resistance states of oxide-based device units with embedded CoPt x NCs are Ohmic behavior and space-charge-limited current, respectively. The insertion of CoPt x NCs can effectively improve the formation of conducting filaments due to the CoPt x NC-enhanced electric field intensity. Besides excellent resistive switching performances, the nanocomposite structures also simultaneously present ferromagnetic property. This work provides a flexible pathway by combining PEALD and TALD compatible with state-of-the-art Si-based technology for multifunctional electronic devices applications containing RRAM.
Development of Magnetorheological Resistive Exercise Device for Rowing Machine
Directory of Open Access Journals (Sweden)
Vytautas Grigas
2016-01-01
Full Text Available Training equipment used by professional sportsmen has a great impact on their sport performance. Most universal exercisers may help only to improve the general physical condition due to the specific kinematics and peculiar resistance generated by their loading units. Training of effective techniques and learning of psychomotor skills are possible only when exercisers conform to the movements and resistance typical for particular sports kinematically and dynamically. Methodology of developing a magnetorheological resistive exercise device for generating the desired law of passive resistance force and its application in a lever-type rowing machine are described in the paper. The structural parameters of a controllable hydraulic cylinder type device were found by means of the computational fluid dynamics simulation performed by ANSYS CFX software. Parameters describing the magnetorheological fluid as non-Newtonian were determined by combining numerical and experimental research of the resistance force generated by the original magnetorheological damper. A structural scheme of the device control system was developed and the variation of the strength of magnetic field that affects the magnetorheological fluid circulating in the device was determined, ensuring a variation of the resistance force on the oar handle adequate for the resistance that occurs during a real boat rowing stroke.
A microdot multilayer oxide device: let us tune the strain-ionic transport interaction.
Schweiger, Sebastian; Kubicek, Markus; Messerschmitt, Felix; Murer, Christoph; Rupp, Jennifer L M
2014-05-27
In this paper, we present a strategy to use interfacial strain in multilayer heterostructures to tune their resistive response and ionic transport as active component in an oxide-based multilayer microdot device on chip. For this, fabrication of strained multilayer microdot devices with sideways attached electrodes is reported with the material system Gd0.1Ce0.9O(2-δ)/Er2O3. The fast ionic conducting Gd0.1Ce0.9O(2-δ) single layers are altered in lattice strain by the electrically insulating erbia phases of a microdot. The strain activated volume of the Gd0.1Ce0.9O(2-δ) is investigated by changing the number of individual layers from 1 to 60 while keeping the microdot at a constant thickness; i.e., the proportion of strained volume was systematically varied. Electrical measurements showed that the activation energy of the devices could be altered by Δ0.31 eV by changing the compressive strain of a microdot ceria-based phase by more than 1.16%. The electrical conductivity data is analyzed and interpreted with a strain volume model and defect thermodynamics. Additionally, an equivalent circuit model is presented for sideways contacted multilayer microdots. We give a proof-of-concept for microdot contacting to capture real strain-ionic transport effects and reveal that for classic top-electrode contacting the effect is nil, highlighting the need for sideways electric contacting on a nanoscopic scale. The near order ionic transport interaction is supported by Raman spectroscopy measurements. These were conducted and analyzed together with fully relaxed single thin film samples. Strain states are described relative to the strain activated volumes of Gd0.1Ce0.9O(2-δ) in the microdot multilayer. These findings reveal that strain engineering in microfabricated devices allows altering the ionic conduction over a wide range beyond classic doping strategies for single films. The reported fabrication route and concept of strained multilayer microdots is a promising path
Resistance switching memory in perovskite oxides
International Nuclear Information System (INIS)
Yan, Z.B.; Liu, J.-M.
2015-01-01
The resistance switching behavior has recently attracted great attentions for its application as resistive random access memories (RRAMs) due to a variety of advantages such as simple structure, high-density, high-speed and low-power. As a leading storage media, the transition metal perovskite oxide owns the strong correlation of electrons and the stable crystal structure, which brings out multifunctionality such as ferroelectric, multiferroic, superconductor, and colossal magnetoresistance/electroresistance effect, etc. The existence of rich electronic phases, metal–insulator transition and the nonstoichiometric oxygen in perovskite oxide provides good platforms to insight into the resistive switching mechanisms. In this review, we first introduce the general characteristics of the resistance switching effects, the operation methods and the storage media. Then, the experimental evidences of conductive filaments, the transport and switching mechanisms, and the memory performances and enhancing methods of perovskite oxide based filamentary RRAM cells have been summarized and discussed. Subsequently, the switching mechanisms and the performances of the uniform RRAM cells associating with the carrier trapping/detrapping and the ferroelectric polarization switching have been discussed. Finally, the advices and outlook for further investigating the resistance switching and enhancing the memory performances are given
Desgin of On-line Monitoring Device for MOA (Metal Oxide Arrestor Based on FPGA and C8051F
Directory of Open Access Journals (Sweden)
Xiaotong YAO
2014-10-01
Full Text Available Monitoring of metal oxide surge arresters (MOA due to aging, moisture and other components cause increased resistive current. Through a lot of practices, it has been proved that in the early days, MOA insulation damage and current increase is not obvious. The accurate working conditions of the MOA are also not obvious but it can reflect the aging or moisture of MOA. When the resistive current of the fundamental component increases, there is no increment in the harmonic components that is the general performance of a serious or moisture contamination. In the same way when the resistive current of harmonic components increases, the fundamental component is not increased and it is the general performance of aging. Therefore, this paper designed an experiment-based FPGA and C8051F-line monitoring device. This device uses resistive current as a detection target. The main monitoring parameters are the fundamental and peak value of resistive current, third harmonic content of the leakage current, phase angle difference and power consumption. Through laboratory tests, the device can be used with a network arrester line monitoring, maintenance, reduce the economic losses caused by power outages and improve the distribution network reliability.
Nanostructured Transparent Conducting Oxides for Device Applications
Dutta, Titas
2011-12-01
Research on transparent conducting oxides (TCOs) alternative to indium tin oxide (ITO) has attracted a lot of attention due to the serious concern related to cost and chemical stability of indium tin oxide. The primary aim of this research is to develop low cost alternative transparent conducting oxides with an eye towards (1) increasing the organic solar cell efficiency and (2) fabricating transparent electronic devices utilizing p-type TCOs. To investigate the fundamental properties, the novel TCO films have been grown on sapphire and economical glass substrates using pulsed laser deposition (PLD) technique. The films were also grown under different deposition conditions in order to understand the effect of processing parameters on the film properties. The characteristics of the thin films have been investigated in detail using (X-ray diffraction, TEM, X-ray photoelectron spectroscopy (XPS), UV- photoelectron spectroscopy (UPS), four probe resistivity and UV-Vis transmittance measurements) in order to establish processing-structure-property correlation. ZnO doped with group III elements is a promising candidate because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function (4.4 eV, compared to that of 4.8 eV for ITO), which increases the energy barrier and affects the carrier transport across ZnGa0.05O/organic layer interface. To overcome this issue of ZnO based TCOs, the growth of bilayered structure consisting of very thin MoOx (2.0 target composition. These optimized bilayer films exhibited good optical transmittance (≥ 80%) and low resistivity of ˜ 10-4 O-cm. The optimized NiO1+x / GZO and MoOx / GZO bilayers showed significant increase in work function values (˜5.3 eV). The work function of the bilayer films was tuned by varying the processing conditions and doping of over layers. Preliminary test device results of the organic photovoltaic cells (OPVs
Recent Developments in p-Type Oxide Semiconductor Materials and Devices
Wang, Zhenwei
2016-02-16
The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.
Recent Developments in p-Type Oxide Semiconductor Materials and Devices
Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.
2016-01-01
The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.
Determination of internal series resistance of PV devices: repeatability and uncertainty
International Nuclear Information System (INIS)
Trentadue, Germana; Pavanello, Diego; Salis, Elena; Field, Mike; Müllejans, Harald
2016-01-01
The calibration of photovoltaic devices requires the measurement of their current–voltage characteristics at standard test conditions (STC). As the latter can only be reached approximately, a curve translation is necessary, requiring among others the internal series resistance of the photovoltaic device as an input parameter. Therefore accurate and reliable determination of the series resistance is important in measurement and test laboratories. This work follows standard IEC 60891 ed 2 (2009) for the determination of the internal series resistance and investigates repeatability and uncertainty of the result in three aspects for a number of typical photovoltaic technologies. Firstly the effect of varying device temperature on the determined series resistance is determined experimentally and compared to a theoretical derivation showing agreement. It is found that the series resistance can be determined with an uncertainty of better than 5% if the device temperature is stable within ±0.1 °C, whereas the temperature range of ±2 °C allowed by the standard leads to much larger variations. Secondly the repeatability of the series resistance determination with respect to noise in current–voltage measurement is examined yielding typical values of ±5%. Thirdly the determination of the series resistance using three different experimental set-ups (solar simulators) shows agreement on the level of ±5% for crystalline Silicon photovoltaic devices and deviations up to 15% for thin-film devices. It is concluded that the internal series resistance of photovoltaic devices could be determined with an uncertainty of better than 10%. The influence of this uncertainty in series resistance on the electrical performance parameters of photovoltaic devices was estimated and showed a contribution of 0.05% for open-circuit voltage and 0.1% for maximum power. Furthermore it is concluded that the range of device temperatures allowed during determination of series
Resistive field structures for semiconductor devices and uses therof
Marinella, Matthew; DasGupta, Sandeepan; Kaplar, Robert; Baca, Albert G.
2017-09-12
The present disclosure relates to resistive field structures that provide improved electric field profiles when used with a semiconductor device. In particular, the resistive field structures provide a uniform electric field profile, thereby enhancing breakdown voltage and improving reliability. In example, the structure is a field cage that is configured to be resistive, in which the potential changes significantly over the distance of the cage. In another example, the structure is a resistive field plate. Using these resistive field structures, the characteristics of the electric field profile can be independently modulated from the physical parameters of the semiconductor device. Additional methods and architectures are described herein.
Kim, Woo Kyum; Wu, Chaoxing; Kim, Tae Whan
2018-06-01
The electrical characteristics of flexible memristive devices utilizing a graphene oxide (GO):polyvinylpyrrolidone (PVP) nanocomposite charge-trapping layer with a poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS)-modified layer fabricated on an indium-tin-oxide (ITO)-coated polyethylene glycol naphthalate (PEN) substrate were investigated. Current-voltage (I-V) curves for the Al/GO:PVP/PEDOT:PSS/ITO/PEN devices showed remarkable hysteresis behaviors before and after bending. The maximum memory margins of the devices before and after 100 bending cycles were approximately 7.69 × 103 and 5.16 × 102, respectively. The devices showed nonvolatile memory effect with a retention time of more than 1 × 104 s. The "Reset" voltages were distributed between 2.3 and 3.5 V, and the "Set" voltages were dispersed between -0.7 and -0.2 V, indicative of excellent, uniform electrical performance. The endurance number of ON/OFF-switching and bending cycles for the devices was 1 × 102, respectively. The bipolar resistive switching behavior was explained on the basis of I-V results. In particular, the bipolar resistive switching behaviors of the LRS and the HRS for the devices are dominated by the Ohmic and space charge current mechanisms, respectively.
Chuang, Kai-Chi; Chung, Hao-Tung; Chu, Chi-Yan; Luo, Jun-Dao; Li, Wei-Shuo; Li, Yi-Shao; Cheng, Huang-Chung
2018-06-01
An AlO x layer was deposited on HfO x , and bilayered dielectric films were found to confine the formation locations of conductive filaments (CFs) during the forming process and then improve device-to-device uniformity. In addition, the Ti interposing layer was also adopted to facilitate the formation of oxygen vacancies. As a result, the resistive random access memory (RRAM) device with TiN/Ti/AlO x (1 nm)/HfO x (6 nm)/TiN stack layers demonstrated excellent device-to-device uniformity although it achieved slightly larger resistive switching characteristics, which were forming voltage (V Forming) of 2.08 V, set voltage (V Set) of 1.96 V, and reset voltage (V Reset) of ‑1.02 V, than the device with TiN/Ti/HfO x (6 nm)/TiN stack layers. However, the device with a thicker 2-nm-thick AlO x layer showed worse uniformity than the 1-nm-thick one. It was attributed to the increased oxygen atomic percentage in the bilayered dielectric films of the 2-nm-thick one. The difference in oxygen content showed that there would be less oxygen vacancies to form CFs. Therefore, the random growth of CFs would become severe and the device-to-device uniformity would degrade.
Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.
2017-09-01
A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.
International Nuclear Information System (INIS)
Borgatti, F.; Torelli, P.; Panaccione, G.
2016-01-01
Highlights: • Hard X-ray PhotoElectron Spectroscopy (HAXPES) applied to buried interfaces of systems involving Transition Metal Oxides. • Enhanced contribution of the s states at high kinetic energies both for valence and core level spectra. • Sensitivity to chemical changes promoted by electric field across metal-oxide interfaces in resistive switching devices. - Abstract: Photoelectron spectroscopy is one of the most powerful tool to unravel the electronic structure of strongly correlated materials also thanks to the extremely large dynamic range in energy, coupled to high energy resolution that this form of spectroscopy covers. The kinetic energy range typically used for photoelectron experiments corresponds often to a strong surface sensitivity, and this turns out to be a disadvantage for the study of transition metal oxides, systems where structural and electronic reconstruction, different oxidation state, and electronic correlation may significantly vary at the surface. We report here selected Hard X-ray PhotoElectron Spectroscopy (HAXPES) results from transition metal oxides, and from buried interfaces, where we highlight some of the important features that such bulk sensitive technique brings in the analysis of electronic properties of the solids.
Energy Technology Data Exchange (ETDEWEB)
Borgatti, F., E-mail: francesco.borgatti@cnr.it [Istituto per lo Studio dei Materiali Nanostrutturati (ISMN), Consiglio Nazionale delle Ricerche (CNR), via P. Gobetti 101, Bologna I-40129 (Italy); Torelli, P.; Panaccione, G. [Istituto Officina dei Materiali (IOM)-CNR, Laboratorio TASC, Area Science Park, Trieste I-34149 (Italy)
2016-04-15
Highlights: • Hard X-ray PhotoElectron Spectroscopy (HAXPES) applied to buried interfaces of systems involving Transition Metal Oxides. • Enhanced contribution of the s states at high kinetic energies both for valence and core level spectra. • Sensitivity to chemical changes promoted by electric field across metal-oxide interfaces in resistive switching devices. - Abstract: Photoelectron spectroscopy is one of the most powerful tool to unravel the electronic structure of strongly correlated materials also thanks to the extremely large dynamic range in energy, coupled to high energy resolution that this form of spectroscopy covers. The kinetic energy range typically used for photoelectron experiments corresponds often to a strong surface sensitivity, and this turns out to be a disadvantage for the study of transition metal oxides, systems where structural and electronic reconstruction, different oxidation state, and electronic correlation may significantly vary at the surface. We report here selected Hard X-ray PhotoElectron Spectroscopy (HAXPES) results from transition metal oxides, and from buried interfaces, where we highlight some of the important features that such bulk sensitive technique brings in the analysis of electronic properties of the solids.
Nanostructured transparent conducting oxide electrochromic device
Milliron, Delia; Tangirala, Ravisubhash; Llordes, Anna; Buonsanti, Raffaella; Garcia, Guillermo
2016-05-17
The embodiments described herein provide an electrochromic device. In an exemplary embodiment, the electrochromic device includes (1) a substrate and (2) a film supported by the substrate, where the film includes transparent conducting oxide (TCO) nanostructures. In a further embodiment, the electrochromic device further includes (a) an electrolyte, where the nanostructures are embedded in the electrolyte, resulting in an electrolyte, nanostructure mixture positioned above the substrate and (b) a counter electrode positioned above the mixture. In a further embodiment, the electrochromic device further includes a conductive coating deposited on the substrate between the substrate and the mixture. In a further embodiment, the electrochromic device further includes a second substrate positioned above the mixture.
Stochastic learning in oxide binary synaptic device for neuromorphic computing.
Yu, Shimeng; Gao, Bin; Fang, Zheng; Yu, Hongyu; Kang, Jinfeng; Wong, H-S Philip
2013-01-01
Hardware implementation of neuromorphic computing is attractive as a computing paradigm beyond the conventional digital computing. In this work, we show that the SET (off-to-on) transition of metal oxide resistive switching memory becomes probabilistic under a weak programming condition. The switching variability of the binary synaptic device implements a stochastic learning rule. Such stochastic SET transition was statistically measured and modeled for a simulation of a winner-take-all network for competitive learning. The simulation illustrates that with such stochastic learning, the orientation classification function of input patterns can be effectively realized. The system performance metrics were compared between the conventional approach using the analog synapse and the approach in this work that employs the binary synapse utilizing the stochastic learning. The feasibility of using binary synapse in the neurormorphic computing may relax the constraints to engineer continuous multilevel intermediate states and widens the material choice for the synaptic device design.
Scalability of voltage-controlled filamentary and nanometallic resistance memory devices.
Lu, Yang; Lee, Jong Ho; Chen, I-Wei
2017-08-31
Much effort has been devoted to device and materials engineering to realize nanoscale resistance random access memory (RRAM) for practical applications, but a rational physical basis to be relied on to design scalable devices spanning many length scales is still lacking. In particular, there is no clear criterion for switching control in those RRAM devices in which resistance changes are limited to localized nanoscale filaments that experience concentrated heat, electric current and field. Here, we demonstrate voltage-controlled resistance switching, always at a constant characteristic critical voltage, for macro and nanodevices in both filamentary RRAM and nanometallic RRAM, and the latter switches uniformly and does not require a forming process. As a result, area-scalability can be achieved under a device-area-proportional current compliance for the low resistance state of the filamentary RRAM, and for both the low and high resistance states of the nanometallic RRAM. This finding will help design area-scalable RRAM at the nanoscale. It also establishes an analogy between RRAM and synapses, in which signal transmission is also voltage-controlled.
An oxide-based thermoelectric generator: Transversal thermoelectric strip-device
Teichert, S.; Bochmann, A.; Reimann, T.; Schulz, T.; Dreßler, C.; Töpfer, J.
2015-07-01
A special design of an oxide-based transversal thermoelectric device utilizing thermoelectric oxides in combination with a ceramic multilayer technology is proposed. Metal strips within the ceramic matrix replace the tilted stack of alternating layers used in artificial anisotropic transversal thermoelectric devices. Numerical three-dimensional simulations of both device types reveal better thermoelectric performance data for the device with metal stripes. A monolithic transversal strip-device based on the material combination La1.97Sr0.03CuO4/Ag6Pd1 was prepared and electrically characterized. A maximum power output of 4.0 mW was determined at ΔT = 225 K for the monolithic device. The observed results are in remarkable agreement with three-dimensional numerical simulations utilizing the transport parameters of the two materials and the geometry data of the device.
Electronic bipolar resistive switching behavior in Ni/VOx/Al device
Energy Technology Data Exchange (ETDEWEB)
Xia, Mengseng [School of Electronic Information Engineering, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices, Tianjin 300130 (China); School of Electronic Information Engineering, Tianjin Key Laboratory of Film Electronic & Communication Devices, Tianjin University of Technology, Tianjin 300384 (China); Zhang, Kailiang, E-mail: kailiang_zhang@163.com [School of Electronic Information Engineering, Tianjin Key Laboratory of Film Electronic & Communication Devices, Tianjin University of Technology, Tianjin 300384 (China); Yang, Ruixia, E-mail: yangrx@hebut.edu.cn [School of Electronic Information Engineering, Hebei University of Technology, Tianjin Key Laboratory of Electronic Materials and Devices, Tianjin 300130 (China); Wang, Fang; Zhang, Zhichao; Wu, Shijian [School of Electronic Information Engineering, Tianjin Key Laboratory of Film Electronic & Communication Devices, Tianjin University of Technology, Tianjin 300384 (China)
2017-07-15
Highlights: • The resistive random access memory of Ni/VOx/Al was fabricated. • The device has the electronic bipolar resistive switching characteristic. • The activity energy (Ea) of HRS has been calculated. • The reasons of the degradation of the resistance ratio of HRS/LRS were analyzed. - Abstract: In this paper, the Ni/VOx/Al resistive random access memory (RRAM) device is constructed and it shows bipolar resistive switching behavior, low resistive state (LRS) nonlinearity, and good retention. The set and reset processes are likely induced by the electron trapping and detrapping of trapping centers in the VOx films, respectively. The conduction mechanism in negative/positive region are controlled by space charge limited current mechanism (SCLC)/Schottky emission. The temperature dependence of I–V curves for HRS is measured to confirm the defects trapping and detrapping electrons model. activation energy was calculated to analyze the endurance performance of the device. The detailed analysis of the switching behavior with SCLC mechanism and Schottky emission mechanism could provide useful information for electronic bipolar resistive switching (eBRS) characteristics.
Electronic bipolar resistive switching behavior in Ni/VOx/Al device
International Nuclear Information System (INIS)
Xia, Mengseng; Zhang, Kailiang; Yang, Ruixia; Wang, Fang; Zhang, Zhichao; Wu, Shijian
2017-01-01
Highlights: • The resistive random access memory of Ni/VOx/Al was fabricated. • The device has the electronic bipolar resistive switching characteristic. • The activity energy (Ea) of HRS has been calculated. • The reasons of the degradation of the resistance ratio of HRS/LRS were analyzed. - Abstract: In this paper, the Ni/VOx/Al resistive random access memory (RRAM) device is constructed and it shows bipolar resistive switching behavior, low resistive state (LRS) nonlinearity, and good retention. The set and reset processes are likely induced by the electron trapping and detrapping of trapping centers in the VOx films, respectively. The conduction mechanism in negative/positive region are controlled by space charge limited current mechanism (SCLC)/Schottky emission. The temperature dependence of I–V curves for HRS is measured to confirm the defects trapping and detrapping electrons model. activation energy was calculated to analyze the endurance performance of the device. The detailed analysis of the switching behavior with SCLC mechanism and Schottky emission mechanism could provide useful information for electronic bipolar resistive switching (eBRS) characteristics.
Heat resistant/radiation resistant cable and incore structure test device for FBR type reactor
International Nuclear Information System (INIS)
Tanimoto, Hajime; Shiono, Takeo; Sato, Yoshimi; Ito, Kazumi; Sudo, Shigeaki; Saito, Shin-ichi; Mitsui, Hisayasu.
1995-01-01
A heat resistant/radiation resistant coaxial cable of the present invention comprises an insulation layer, an outer conductor and a protection cover in this order on an inner conductor, in which the insulation layer comprises thermoplastic polyimide. In the same manner, a heat resistant/radiation resistant power cable has an insulation layer comprising thermoplastic polyimide on a conductor, and is provided with a protection cover comprising braid of alamide fibers at the outer circumference of the insulation layer. An incore structure test device for an FBR type reactor comprises the heat resistant/radiation resistant coaxial cable and/or the power cable. The thermoplastic polyimide can be extrusion molded, and has excellent radiation resistant by the extrusion, as well as has high dielectric withstand voltage, good flexibility and electric characteristics at high temperature. The incore structure test device for the FBR type reactor of the present invention comprising such a cable has excellent reliability and durability. (T.M.)
Indium-Doped Zinc Oxide Thin Films as Effective Anodes of Organic Photovoltaic Devices
Directory of Open Access Journals (Sweden)
Ziyang Hu
2011-01-01
Full Text Available Indium-doped zinc oxide (IZO thin films were prepared by low-cost ultrasonic spray pyrolysis (USP. Both a low resistivity (3.13×10−3 Ω cm and an average direct transmittance (400∼1500 nm about 80% of the IZO films were achieved. The IZO films were investigated as anodes in bulk-heterojunction organic photovoltaic (OPV devices based on poly(3-hexylthiophene and [6,6]-phenyl C61-butyric acid methyl ester. The device fabricated on IZO film-coated glass substrate showed an open circuit voltage of 0.56 V, a short circuit current of 8.49 mA cm-2, a fill factor of 0.40, and a power conversion efficiency of 1.91%, demonstrating that the IZO films prepared by USP technique are promising low In content and transparent electrode candidates of low-cost OPV devices.
Genome-wide association analysis of oxidative stress resistance in Drosophila melanogaster.
Directory of Open Access Journals (Sweden)
Allison L Weber
Full Text Available Aerobic organisms are susceptible to damage by reactive oxygen species. Oxidative stress resistance is a quantitative trait with population variation attributable to the interplay between genetic and environmental factors. Drosophila melanogaster provides an ideal system to study the genetics of variation for resistance to oxidative stress.We used 167 wild-derived inbred lines of the Drosophila Genetic Reference Panel for a genome-wide association study of acute oxidative stress resistance to two oxidizing agents, paraquat and menadione sodium bisulfite. We found significant genetic variation for both stressors. Single nucleotide polymorphisms (SNPs associated with variation in oxidative stress resistance were often sex-specific and agent-dependent, with a small subset common for both sexes or treatments. Associated SNPs had moderately large effects, with an inverse relationship between effect size and allele frequency. Linear models with up to 12 SNPs explained 67-79% and 56-66% of the phenotypic variance for resistance to paraquat and menadione sodium bisulfite, respectively. Many genes implicated were novel with no known role in oxidative stress resistance. Bioinformatics analyses revealed a cellular network comprising DNA metabolism and neuronal development, consistent with targets of oxidative stress-inducing agents. We confirmed associations of seven candidate genes associated with natural variation in oxidative stress resistance through mutational analysis.We identified novel candidate genes associated with variation in resistance to oxidative stress that have context-dependent effects. These results form the basis for future translational studies to identify oxidative stress susceptibility/resistance genes that are evolutionary conserved and might play a role in human disease.
Cao, Huiliang; Qin, Hui; Zhao, Yaochao; Jin, Guodong; Lu, Tao; Meng, Fanhao; Zhang, Xianlong; Liu, Xuanyong
2016-02-22
Since the use of systemic antibiotics for preventing acute biomaterial-associated infections (BAIs) may build up bacterial resistance and result in huge medical costs and unpredictable mortality, new precaution strategies are required. Here, it demonstrated that titanium armed with a nano-thick calcium oxide layer was effective on averting methicillin-resistant Staphylococcus aureus (MRSA) infections in rabbits. The calcium oxide layer was constructed by, firstly, injecting of metallic calcium into titanium via a plasma immersion ion implantation process, and then transforming the outer most surface into oxide by exposing to the atmosphere. Although the calcium oxide armed titanium had a relative low reduction rate (~74%) in growth of MRSA in vitro, it could markedly promote the osteogenic differentiation of bone marrow stem cells (BMSCs), restore local bone integration against the challenge of MRSA, and decrease the incidence of MRSA infection with a rate of 100% (compared to the titanium control). This study demonstrated for the first time that calcium, as one of the major elements in a human body, could be engineered to avert MRSA infections, which is promising as a safe precaution of disinfection for implantable biomedical devices.
Cao, Huiliang; Qin, Hui; Zhao, Yaochao; Jin, Guodong; Lu, Tao; Meng, Fanhao; Zhang, Xianlong; Liu, Xuanyong
2016-02-01
Since the use of systemic antibiotics for preventing acute biomaterial-associated infections (BAIs) may build up bacterial resistance and result in huge medical costs and unpredictable mortality, new precaution strategies are required. Here, it demonstrated that titanium armed with a nano-thick calcium oxide layer was effective on averting methicillin-resistant Staphylococcus aureus (MRSA) infections in rabbits. The calcium oxide layer was constructed by, firstly, injecting of metallic calcium into titanium via a plasma immersion ion implantation process, and then transforming the outer most surface into oxide by exposing to the atmosphere. Although the calcium oxide armed titanium had a relative low reduction rate (~74%) in growth of MRSA in vitro, it could markedly promote the osteogenic differentiation of bone marrow stem cells (BMSCs), restore local bone integration against the challenge of MRSA, and decrease the incidence of MRSA infection with a rate of 100% (compared to the titanium control). This study demonstrated for the first time that calcium, as one of the major elements in a human body, could be engineered to avert MRSA infections, which is promising as a safe precaution of disinfection for implantable biomedical devices.
Tai, Yanlong
2017-03-23
Carbon nanomaterials have excellent humidity sensing performance. Here, we demonstrate that reduced-graphene-oxide- (rGO) based conductive films with different thermal reduction times have gradient and invertible humidity/electrical resistance responses: rGO films (< 11 h, negative response, regarded as a signal of “0”), rGO films (around 11-13 h, balance point) and rGO films (> 13 h, negative response, regarded as a signal of “1”). We propose a new mechanism that describes a “scale”-like model for rGO films to explain these behaviors based on contributions from Ohm-contact resistance and capacitive reactance at interplate junctions, and intrinsic resistances of the nanoplates, respectively. This mechanism is accordingly validated via a series of experiments and electrical impedance spectroscopies, which complement more classical models based on proton conductivity. To explore the practical applications of the converse humidity/resistance responses, three simple flexible logic devices were developed, i) a rGO pattern for humidity-insensitive conductive film, which has the potential to greatly improve the stability of carbon-based electrical device to humidity; ii) a Janus pattern of rGO films for gesture recognition, which is very useful to human/machine interactions; iii) a sandwich pattern of rGO films for 3-dimensional (3D) noncontact sensing, which will be complementary to existing 3D touch technique.
Amphoteric oxide semiconductors for energy conversion devices: a tutorial review.
Singh, Kalpana; Nowotny, Janusz; Thangadurai, Venkataraman
2013-03-07
In this tutorial review, we discuss the defect chemistry of selected amphoteric oxide semiconductors in conjunction with their significant impact on the development of renewable and sustainable solid state energy conversion devices. The effect of electronic defect disorders in semiconductors appears to control the overall performance of several solid-state ionic devices that include oxide ion conducting solid oxide fuel cells (O-SOFCs), proton conducting solid oxide fuel cells (H-SOFCs), batteries, solar cells, and chemical (gas) sensors. Thus, the present study aims to assess the advances made in typical n- and p-type metal oxide semiconductors with respect to their use in ionic devices. The present paper briefly outlines the key challenges in the development of n- and p-type materials for various applications and also tries to present the state-of-the-art of defect disorders in technologically related semiconductors such as TiO(2), and perovskite-like and fluorite-type structure metal oxides.
Vishwanath, Sujaya Kumar; Woo, Hyunsuk; Jeon, Sanghun
2018-06-01
Atomic switches are considered to be building blocks for future non-volatile data storage and internet of things. However, obtaining device structures capable of ultrahigh density data storage, high endurance, and long data retention, and more importantly, understanding the switching mechanisms are still a challenge for atomic switches. Here, we achieved improved resistive switching performance in a bilayer structure containing aluminum oxide, with an oxygen-deficient oxide as the top switching layer and stoichiometric oxide as the bottom switching layer, using atomic layer deposition. This bilayer device showed a high on/off ratio (105) with better endurance (∼2000 cycles) and longer data retention (104 s) than single-oxide layers. In addition, depending on the compliance current, the bilayer device could be operated in four different resistance states. Furthermore, the depth profiles of the hourglass-shaped conductive filament of the bilayer device was observed by conductive atomic force microscopy.
Directory of Open Access Journals (Sweden)
Cheng-Chang Yu
2013-01-01
Full Text Available ZnO thin film was fabricated by thermally oxidized Zn at 600°C for 1 h. A surface containing nanostructured dumbbell and lines was observed by scanning electron microscope (SEM. The ZnO resistor device was formed after the following Ti/Au metallization. The device resistance was characterized at different oxygen pressure environment in the dark and under ultraviolet (UV light illumination coming from the mercury lamp with a short pass filter. The resistance increases with the increase of oxygen pressure. The resistance decreases and response increases with the increase of light intensity. Models considering the barrier height variation caused by the adsorbed oxygen related species were used to explain these results. The UV light illumination technology shows an effective method to enhance the detection response for this ZnO resistor oxygen sensor.
Application of nanomaterials in two-terminal resistive-switching memory devices
Directory of Open Access Journals (Sweden)
Jianyong Ouyang
2010-05-01
Full Text Available Nanometer materials have been attracting strong attention due to their interesting structure and properties. Many important practical applications have been demonstrated for nanometer materials based on their unique properties. This article provides a review on the fabrication, electrical characterization, and memory application of two-terminal resistive-switching devices using nanomaterials as the active components, including metal and semiconductor nanoparticles (NPs, nanotubes, nanowires, and graphenes. There are mainly two types of device architectures for the two-terminal devices with NPs. One has a triple-layer structure with a metal film sandwiched between two organic semiconductor layers, and the other has a single polymer film blended with NPs. These devices can be electrically switched between two states with significant different resistances, i.e. the ‘ON’ and ‘OFF’ states. These render the devices important application as two-terminal non-volatile memory devices. The electrical behavior of these devices can be affected by the materials in the active layer and the electrodes. Though the mechanism for the electrical switches has been in argument, it is generally believed that the resistive switches are related to charge storage on the NPs. Resistive switches were also observed on crossbars formed by nanotubes, nanowires, and graphene ribbons. The resistive switches are due to nanoelectromechanical behavior of the materials. The Coulombic interaction of transient charges on the nanomaterials affects the configurable gap of the crossbars, which results into significant change in current through the crossbars. These nanoelectromechanical devices can be used as fast-response and high-density memory devices as well. Dr. Jianyong Ouyang received his bachelor degree from the Tsinghua University in Beijing, China, and MSc from the Institute of Chemistry, Chinese Academy of Science. He received his PhD from the Institute for Molecular
Resistance Switching Characteristics in ZnO-Based Nonvolatile Memory Devices
Directory of Open Access Journals (Sweden)
Fu-Chien Chiu
2013-01-01
Full Text Available Bipolar resistance switching characteristics are demonstrated in Pt/ZnO/Pt nonvolatile memory devices. A negative differential resistance or snapback characteristic can be observed when the memory device switches from a high resistance state to a low resistance state due to the formation of filamentary conducting path. The dependence of pulse width and temperature on set/reset voltages was examined in this work. The exponentially decreasing trend of set/reset voltage with increasing pulse width is observed except when pulse width is larger than 1 s. Hence, to switch the ZnO memory devices, a minimum set/reset voltage is required. The set voltage decreases linearly with the temperature whereas the reset voltage is nearly temperature-independent. In addition, the ac cycling endurance can be over 106 switching cycles, whereas, the dependence of HRS/LRS resistance distribution indicates that a significant memory window closure may take place after about 102 dc switching cycles.
Energy Technology Data Exchange (ETDEWEB)
Kang, Dae Yun; Lee, Tae-Ho; Kim, Tae Geun, E-mail: tgkim1@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 02841 (Korea, Republic of)
2016-08-15
The authors report an improvement in resistive switching (RS) characteristics of amorphous indium-gallium-zinc-oxide (a-IGZO)-based resistive random access memory devices using hydrogen post-annealing. Because this a-IGZO thin film has oxygen off-stoichiometry in the form of deficient and excessive oxygen sites, the film properties can be improved by introducing hydrogen atoms through the annealing process. After hydrogen post-annealing, the device exhibited a stable bipolar RS, low-voltage set and reset operation, long retention (>10{sup 5 }s), good endurance (>10{sup 6} cycles), and a narrow distribution in each current state. The effect of hydrogen post-annealing is also investigated by analyzing the sample surface using X-ray photon spectroscopy and atomic force microscopy.
Resistive switching characteristics of HfO2-based memory devices on flexible plastics.
Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig
2014-11-01
In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.
Shim, Jaewoo; Oh, Seyong; Kang, Dong-Ho; Jo, Seo-Hyeon; Ali, Muhammad Hasnain; Choi, Woo-Young; Heo, Keun; Jeon, Jaeho; Lee, Sungjoo; Kim, Minwoo; Song, Young Jae; Park, Jin-Hong
2016-01-01
Recently, negative differential resistance devices have attracted considerable attention due to their folded current–voltage characteristic, which presents multiple threshold voltage values. Because of this remarkable property, studies associated with the negative differential resistance devices have been explored for realizing multi-valued logic applications. Here we demonstrate a negative differential resistance device based on a phosphorene/rhenium disulfide (BP/ReS2) heterojunction that is formed by type-III broken-gap band alignment, showing high peak-to-valley current ratio values of 4.2 and 6.9 at room temperature and 180 K, respectively. Also, the carrier transport mechanism of the BP/ReS2 negative differential resistance device is investigated in detail by analysing the tunnelling and diffusion currents at various temperatures with the proposed analytic negative differential resistance device model. Finally, we demonstrate a ternary inverter as a multi-valued logic application. This study of a two-dimensional material heterojunction is a step forward toward future multi-valued logic device research. PMID:27819264
Shim, Jaewoo; Oh, Seyong; Kang, Dong-Ho; Jo, Seo-Hyeon; Ali, Muhammad Hasnain; Choi, Woo-Young; Heo, Keun; Jeon, Jaeho; Lee, Sungjoo; Kim, Minwoo; Song, Young Jae; Park, Jin-Hong
2016-11-01
Recently, negative differential resistance devices have attracted considerable attention due to their folded current-voltage characteristic, which presents multiple threshold voltage values. Because of this remarkable property, studies associated with the negative differential resistance devices have been explored for realizing multi-valued logic applications. Here we demonstrate a negative differential resistance device based on a phosphorene/rhenium disulfide (BP/ReS2) heterojunction that is formed by type-III broken-gap band alignment, showing high peak-to-valley current ratio values of 4.2 and 6.9 at room temperature and 180 K, respectively. Also, the carrier transport mechanism of the BP/ReS2 negative differential resistance device is investigated in detail by analysing the tunnelling and diffusion currents at various temperatures with the proposed analytic negative differential resistance device model. Finally, we demonstrate a ternary inverter as a multi-valued logic application. This study of a two-dimensional material heterojunction is a step forward toward future multi-valued logic device research.
Oxidation resistance of nickel alloys at high temperature
International Nuclear Information System (INIS)
Tyuvin, Yu.D.; Rogel'berg, I.L.; Ryabkina, M.M.; Plakushchaya, A.F.
1977-01-01
The heat resistance properties of nickel alloys Ni-Cr-Si, Ni-Si-Al, Ni-Si-Mn and Ni-Al-Mn have been studied by the weight method during oxidation in air at 1000 deg and 1200 deg C. It is demonstrated that manganese reduces the heat resistance properties of Ni-Si and Ni-Al alloys, whilst the addition of over 3% aluminium enhances the heat resistance properties of Ni-Si (over 1.5%) alloys. The maximum heat resistance properties are shown by Ni-Si-Al and Ni-Cr-Si alloys with over 2% Si. These alloys offer 3 to 4 times better oxidation resistance as compared with pure nickel at 1000 deg C and 10 times at 1200 deg C
Filamentary model in resistive switching materials
Jasmin, Alladin C.
2017-12-01
The need for next generation computer devices is increasing as the demand for efficient data processing increases. The amount of data generated every second also increases which requires large data storage devices. Oxide-based memory devices are being studied to explore new research frontiers thanks to modern advances in nanofabrication. Various oxide materials are studied as active layers for non-volatile memory. This technology has potential application in resistive random-access-memory (ReRAM) and can be easily integrated in CMOS technologies. The long term perspective of this research field is to develop devices which mimic how the brain processes information. To realize such application, a thorough understanding of the charge transport and switching mechanism is important. A new perspective in the multistate resistive switching based on current-induced filament dynamics will be discussed. A simple equivalent circuit of the device gives quantitative information about the nature of the conducting filament at different resistance states.
Demonstration of Ultra-Fast Switching in Nano metallic Resistive Switching Memory Devices
International Nuclear Information System (INIS)
Yang, Y.
2016-01-01
Interdependency of switching voltage and time creates a dilemma/obstacle for most resistive switching memories, which indicates low switching voltage and ultra-fast switching time cannot be simultaneously achieved. In this paper, an ultra-fast (sub-100 ns) yet low switching voltage resistive switching memory device (“nano metallic ReRAM”) was demonstrated. Experimental switching voltage is found independent of pulse width (intrinsic device property) when the pulse is long but shows abrupt time dependence (“cliff”) as pulse width approaches characteristic RC time of memory device (extrinsic device property). Both experiment and simulation show that the onset of cliff behavior is dependent on physical device size and parasitic resistance, which is expected to diminish as technology nodes shrink down. We believe this study provides solid evidence that nano metallic resistive switching memory can be reliably operated at low voltage and ultra-fast regime, thus beneficial to future memory technology.
High Velocity Oxidation and Hot Corrosion Resistance of Some ODS Alloys
Lowell, C. E.; Deadmore, D. L.
1977-01-01
Several oxide dispersion strengthened (ODS) alloys were tested for cyclic, high velocity, oxidation, and hot corrosion resistance. These results were compared to the resistance of an advanced, NiCrAl coated superalloy. An ODS FeCrAl were identified as having sufficient oxidation and hot corrosion resistance to allow potential use in an aircraft gas turbine without coating.
Numerical analysis of a polysilicon-based resistive memory device
Berco, Dan; Chand, Umesh
2018-01-01
This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles
Stewart, Ian Edward
Printed electronics, including transparent conductors, currently rely on expensive materials to generate high conductivity devices. Conductive inks for thick film applications utilizing inkjet, aerosol, and screen printing technologies are often comprised of expensive and rare silver particles. Thin film applications such as organic light emitting diodes (OLEDs) and organic photovoltaics (OPVs) predominantly employ indium tin oxide (ITO) as the transparent conductive layer which requires expensive and wasteful vapor deposition techniques. Thus an alternative to silver and ITO with similar performance in printed electronics warrants considerable attention. Copper nanomaterials, being orders of magnitude cheaper and more abundant than silver or indium, solution-coatable, and exhibiting a bulk conductivity only 6 % less than silver, have emerged as a promising candidate for incorporation in printed electronics. First, we examine the effect of nanomaterial shape on the conductivity of thick films. The inks used in such films often require annealing at elevated temperature in order to sinter the silver nanoparticles together and obtain low resistivities. We explore the change in morphology and resistivity that occurs upon heating thick films of silver nanowires (of two different lengths, Ag NWs), nanoparticles (Ag NPs), and microflakes (Ag MFs) deposited from water at temperatures between 70 and 400 °C. At the lowest temperatures, longer Ag NWs exhibited the lowest resistivity (1.8 x 10-5 O cm), suggesting that the resistivity of thick films of silver nanostructures is dominated by the contact resistance between particles. This result supported previous research showing that junction resistance between Ag NWs in thin film conductors also dominates optoelectronic performance. Since the goal is to replace silver with copper, we perform a similar analysis by using a pseudo-2D rod network modeling approach that has been modified to include lognormal distributions in length
Variable range hopping in TiO2 insulating layers for oxide electronic devices
Directory of Open Access Journals (Sweden)
Y. L. Zhao
2012-03-01
Full Text Available TiO2 thin films are of importance in oxide electronics, e.g., Pt/TiO2/Pt for memristors and Co-TiO2/TiO2/Co-TiO2 for spin tunneling devices. When such structures are deposited at a variety of oxygen pressures, how does TiO2 behave as an insulator? We report the discovery of an anomalous resistivity minimum in a TiO2 film at low pressure (not strongly dependent on deposition temperature. Hall measurements rule out band transport and in most of the pressure range the transport is variable range hopping (VRH though below 20 K it was difficult to differentiate between Mott and Efros-Shklovskii's (ES mechanism. Magnetoresistance (MR of the sample with lowest resistivity was positive at low temperature (for VRH but negative above 10 K indicating quantum interference effects.
Thin film photovoltaic devices with a minimally conductive buffer layer
Barnes, Teresa M.; Burst, James
2016-11-15
A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.
Levy, Pablo
2015-03-01
In the first part of my talk, I will describe the status of the experimental research in Condensed Matter Physics in Argentina, biased towards developments related to micro and nanotechnology. In the second part, I will describe the MeMOSat Project, a consortium aimed at producing non-volatile memory devices to work in aggressive environments, like those found in the aerospace and nuclear industries. Our devices rely on the Resistive Switching mechanism, which produces a permanent but reversible change in the electrical resistance across a metal-insulator-metal structure by means of a pulsed protocol of electrical stimuli. Our project is devoted to the study of Memory Mechanisms in Oxides (MeMO) in order to establish a technological platform that tests the Resistive RAM (ReRAM) technology for aerospace applications. A review of MeMOSat's activities is presented, covering the initial Proof of Concept in ceramic millimeter sized samples; the study of different oxide-metal couples including (LaPr)2/3Ca1/3MnO, La2/3Ca1/3MnO3, YBa2Cu3O7, TiO2, HfO2, MgO and CuO; and recent miniaturized arrays of micrometer sized devices controlled by in-house designed electronics, which were launched with the BugSat01 satellite in June2014 by the argentinian company Satellogic.
Resistive switching memories in MoS{sub 2} nanosphere assemblies
Energy Technology Data Exchange (ETDEWEB)
Xu, Xiao-Yong, E-mail: xxxy@yzu.edu.cn, E-mail: xcxseu@seu.edu.cn, E-mail: jghu@yzu.edu.cn [School of Physics Science and Technology, Yangzhou University, Yangzhou 225002 (China); State Key Laboratory of Bioelectronics and School of Electronic Science and Engineering, Southeast University, Nanjing 210096 (China); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Yin, Zong-You [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Xu, Chun-Xiang, E-mail: xxxy@yzu.edu.cn, E-mail: xcxseu@seu.edu.cn, E-mail: jghu@yzu.edu.cn; Dai, Jun [State Key Laboratory of Bioelectronics and School of Electronic Science and Engineering, Southeast University, Nanjing 210096 (China); Hu, Jing-Guo, E-mail: xxxy@yzu.edu.cn, E-mail: xcxseu@seu.edu.cn, E-mail: jghu@yzu.edu.cn [School of Physics Science and Technology, Yangzhou University, Yangzhou 225002 (China)
2014-01-20
A resistive switching memory device consisting of reduced graphene oxide and indium tin oxide as top/bottom two electrodes, separated by dielectric MoS{sub 2} nanosphere assemblies as the active interlayer, was fabricated. This device exhibits the rewritable nonvolatile resistive switching with low SET/RESET voltage (∼2 V), high ON/OFF resistance ratio (∼10{sup 4}), and superior electrical bistability, introducing a potential application in data storage field. The resistance switching mechanism was analyzed in the assumptive model of the electron tunneling across the polarized potential barriers.
Energy Technology Data Exchange (ETDEWEB)
Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)
2014-07-01
Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and
Thermal Oxidation Resistance of Rare Earth-Containing Composite Elastomer
Institute of Scientific and Technical Information of China (English)
邱关明; 张明; 周兰香; 中北里志; 井上真一; 冈本弘
2001-01-01
The rare earth-containing composite elastomer was obtained by the reaction of vinyl pyridine-SBR (PSBR) latex with rare earth alkoxides, and its thermal oxidation resistance was studied. After aging test, it is found that its retention rate of mechanical properties is far higher than that of the control sample. The results of thermogravimetric analysis show that its thermal-decomposing temperature rises largely. The analysis of oxidation mechanisms indicates that the main reasons for thermal oxidation resistance are that rare earth elements are of the utility to discontinue autoxidation chain reaction and that the formed complex structure has steric hindrance effect on oxidation.
Field-induced resistance switching at metal/perovskite manganese oxide interface
International Nuclear Information System (INIS)
Ohkubo, I.; Tsubouchi, K.; Harada, T.; Kumigashira, H.; Itaka, K.; Matsumoto, Y.; Ohnishi, T.; Lippmaa, M.; Koinuma, H.; Oshima, M.
2008-01-01
Planar type metal/insulator/metal structures composed of an epitaxial perovskite manganese oxide layer and various metal electrodes were prepared for electric-field-induced resistance switching. Only the electrode pairs including Al show good resistance switching and the switching ratio reaches its maximum of 1000. This resistance switching occurs around the interface between Al electrodes and epitaxial perovskite manganese oxide thin films
Reducing contact resistance in graphene devices through contact area patterning.
Smith, Joshua T; Franklin, Aaron D; Farmer, Damon B; Dimitrakopoulos, Christos D
2013-04-23
Performance of graphene electronics is limited by contact resistance associated with the metal-graphene (M-G) interface, where unique transport challenges arise as carriers are injected from a 3D metal into a 2D-graphene sheet. In this work, enhanced carrier injection is experimentally achieved in graphene devices by forming cuts in the graphene within the contact regions. These cuts are oriented normal to the channel and facilitate bonding between the contact metal and carbon atoms at the graphene cut edges, reproducibly maximizing "edge-contacted" injection. Despite the reduction in M-G contact area caused by these cuts, we find that a 32% reduction in contact resistance results in Cu-contacted, two-terminal devices, while a 22% reduction is achieved for top-gated graphene transistors with Pd contacts as compared to conventionally fabricated devices. The crucial role of contact annealing to facilitate this improvement is also elucidated. This simple approach provides a reliable and reproducible means of lowering contact resistance in graphene devices to bolster performance. Importantly, this enhancement requires no additional processing steps.
Mino, Lorenzo; Bonino, Valentina; Agostino, Angelo; Prestipino, Carmelo; Borfecchia, Elisa; Lamberti, Carlo; Operti, Lorenza; Fretto, Matteo; De Leo, Natascia; Truccato, Marco
2017-08-22
X-ray nanofabrication has so far been usually limited to mask methods involving photoresist impression and subsequent etching. Herein we show that an innovative maskless X-ray nanopatterning approach allows writing electrical devices with nanometer feature size. In particular we fabricated a Josephson device on a Bi 2 Sr 2 CaCu 2 O 8+δ (Bi-2212) superconducting oxide micro-crystal by drawing two single lines of only 50 nm in width using a 17.4 keV synchrotron nano-beam. A precise control of the fabrication process was achieved by monitoring in situ the variations of the device electrical resistance during X-ray irradiation, thus finely tuning the irradiation time to drive the material into a non-superconducting state only in the irradiated regions, without significantly perturbing the crystal structure. Time-dependent finite element model simulations show that a possible microscopic origin of this effect can be related to the instantaneous temperature increase induced by the intense synchrotron picosecond X-ray pulses. These results prove that a conceptually new patterning method for oxide electrical devices, based on the local change of electrical properties, is actually possible with potential advantages in terms of heat dissipation, chemical contamination, miniaturization and high aspect ratio of the devices.
Oxidation resistant high creep strength austenitic stainless steel
Brady, Michael P.; Pint, Bruce A.; Liu, Chain-Tsuan; Maziasz, Philip J.; Yamamoto, Yukinori; Lu, Zhao P.
2010-06-29
An austenitic stainless steel displaying high temperature oxidation and creep resistance has a composition that includes in weight percent 15 to 21 Ni, 10 to 15 Cr, 2 to 3.5 Al, 0.1 to 1 Nb, and 0.05 to 0.15 C, and that is free of or has very low levels of N, Ti and V. The alloy forms an external continuous alumina protective scale to provide a high oxidation resistance at temperatures of 700 to 800.degree. C. and forms NbC nanocarbides and a stable essentially single phase fcc austenitic matrix microstructure to give high strength and high creep resistance at these temperatures.
Effects of Oxidation on Oxidation-Resistant Graphite
Energy Technology Data Exchange (ETDEWEB)
Windes, William [Idaho National Lab. (INL), Idaho Falls, ID (United States); Smith, Rebecca [Idaho National Lab. (INL), Idaho Falls, ID (United States); Carroll, Mark [Idaho National Lab. (INL), Idaho Falls, ID (United States)
2015-05-01
The Advanced Reactor Technology (ART) Graphite Research and Development Program is investigating doped nuclear graphite grades that exhibit oxidation resistance through the formation of protective oxides on the surface of the graphite material. In the unlikely event of an oxygen ingress accident, graphite components within the VHTR core region are anticipated to oxidize so long as the oxygen continues to enter the hot core region and the core temperatures remain above 400°C. For the most serious air-ingress accident which persists over several hours or days the continued oxidation can result in significant structural damage to the core. Reducing the oxidation rate of the graphite core material during any air-ingress accident would mitigate the structural effects and keep the core intact. Previous air oxidation testing of nuclear-grade graphite doped with varying levels of boron-carbide (B4C) at a nominal 739°C was conducted for a limited number of doped specimens demonstrating a dramatic reduction in oxidation rate for the boronated graphite grade. This report summarizes the conclusions from this small scoping study by determining the effects of oxidation on the mechanical strength resulting from oxidation of boronated and unboronated graphite to a 10% mass loss level. While the B4C additive did reduce mechanical strength loss during oxidation, adding B4C dopants to a level of 3.5% or more reduced the as-fabricated compressive strength nearly 50%. This effectively minimized any benefits realized from the protective film formed on the boronated grades. Future work to infuse different graphite grades with silicon- and boron-doped material as a post-machining conditioning step for nuclear components is discussed as a potential solution for these challenges in this report.
Materials growth and characterization of thermoelectric and resistive switching devices
Norris, Kate J.
In the 74 years since diode rectifier based radar technology helped the allied forces win WWII, semiconductors have transformed the world we live in. From our smart phones to semiconductor-based energy conversion, semiconductors touch every aspect of our lives. With this thesis I hope to expand human knowledge of semiconductor thermoelectric devices and resistive switching devices through experimentation with materials growth and subsequent materials characterization. Metal organic chemical vapor deposition (MOCVD) was the primary method of materials growth utilized in these studies. Additionally, plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD),ion beam sputter deposition, reactive sputter deposition and electron-beam (e-beam) evaporation were also used in this research for device fabrication. Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), and Electron energy loss spectroscopy (EELS) were the primary characterization methods utilized for this research. Additional device and materials characterization techniques employed include: current-voltage measurements, thermoelectric measurements, x-ray diffraction (XRD), reflection absorption infra-red spectroscopy (RAIRS), atomic force microscopy (AFM), photoluminescence (PL), and raman spectroscopy. As society has become more aware of its impact on the planet and its limited resources, there has been a push toward developing technologies to sustainably produce the energy we need. Thermoelectric devices convert heat directly into electricity. Thermoelectric devices have the potential to save huge amounts of energy that we currently waste as heat, if we can make them cost-effective. Semiconducting thin films and nanowires appear to be promising avenues of research to attain this goal. Specifically, in this work we will explore the use of ErSb thin films as well as Si and InP nanowire networks for thermoelectric applications. First we will discuss the growth of
The etiology of oxidative stress in insulin resistance
Directory of Open Access Journals (Sweden)
Samantha Hurrle
2017-10-01
Full Text Available Insulin resistance is a prevalent syndrome in developed as well as developing countries. It is the predisposing factor for type 2 diabetes mellitus, the most common end stage development of metabolic syndrome in the United States. Previously, studies investigating type 2 diabetes have focused on beta cell dysfunction in the pancreas and insulin resistance, and developing ways to correct these dysfunctions. However, in recent years, there has been a profound interest in the role that oxidative stress in the peripheral tissues plays to induce insulin resistance. The objective of this review is to focus on the mechanism of oxidative species generation and its direct correlation to insulin resistance, to discuss the role of obesity in the pathophysiology of this phenomenon, and to explore the potential of antioxidants as treatments for metabolic dysfunction.
Total antioxidant and oxidant status in obese children without insulin resistance
Ayşegül Doğan Demir; Ufuk Erenberk; İlker Tolga Özgen; Emin Özkaya; Aysel Vahapoğlu Türkmen; M. Ruşen Dündaröz; Özcan Erel
2014-01-01
Objective: Oxidative stress in obese children may lead in adulthood serious conditions such as coronary heart diseases or type 2 diabetes mellitus. In childhood oxidative stress is associated with insulin resistance or extreme obesity. In this study, we aimed to evaluate oxidative stress status in moderately obese children without insulin resistance. Methods: A total of 38 obese children (21 male, 17 female) without insulin resistance, mean aged 9.4±3.8 years) and 51 normal weight children...
Resistive switching in microscale anodic titanium dioxide-based memristors
Aglieri, V.; Zaffora, A.; Lullo, G.; Santamaria, M.; Di Franco, F.; Lo Cicero, U.; Mosca, M.; Macaluso, R.
2018-01-01
The potentiality of anodic TiO2 as an oxide material for the realization of resistive switching memory cells has been explored in this paper. Cu/anodic-TiO2/Ti memristors of different sizes, ranging from 1 × 1 μm2 to 10 × 10 μm2 have been fabricated and characterized. The oxide films were grown by anodizing Ti films, using three different process conditions. Measured IV curves have shown similar asymmetric bipolar hysteresis behaviors in all the tested devices, with a gradual switching from the high resistance state to the low resistance state and vice versa, and a ROFF/RON ratio of 80 for the thickest oxide film devices.
Surface modification and characterization of indium-tin oxide for organic light-emitting devices.
Zhong, Z Y; Jiang, Y D
2006-10-15
In this work, we used different treatment methods (ultrasonic degreasing, hydrochloric acid treatment, and oxygen plasma) to modify the surfaces of indium-tin oxide (ITO) substrates for organic light-emitting devices. The surface properties of treated ITO substrates were studied by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), sheet resistance, contact angle, and surface energy measurements. Experimental results show that the ITO surface properties are closely related to the treatment methods, and the oxygen plasma is more efficient than the other treatments since it brings about smoother surfaces, lower sheet resistance, higher work function, and higher surface energy and polarity of the ITO substrate. Moreover, polymer light-emitting electrochemical cells (PLECs) with differently treated ITO substrates as device electrodes were fabricated and characterized. It is found that surface treatments of ITO substrates have a certain degree of influence upon the injection current, brightness, and efficiency, but hardly upon the turn-on voltages of current injection and light emission, which are in agreement with the measured optical energy gap of the electroluminescent polymer. The oxygen plasma treatment on the ITO substrate yields the best performance of PLECs, due to the improvement of interface formation and electrical contact of the ITO substrate with the polymer blend in the PLECs.
Younis, Adnan; Chu, Dewei; Li, Sean
2015-09-01
Further progress in high-performance microelectronic devices relies on the development of novel materials and device architectures. However, the components and designs that are currently in use have reached their physical limits. Intensive research efforts, ranging from device fabrication to performance evaluation, are required to surmount these limitations. In this paper, we demonstrate that the superior bipolar resistive switching characteristics of a CeO2:Gd-based memory device can be manipulated by means of UV radiation, serving as a new degree of freedom. Furthermore, the metal oxide-based (CeO2:Gd) memory device was found to possess electrical and neuromorphic multifunctionalities. To investigate the underlying switching mechanism of the device, its plasticity behaviour was studied by imposing weak programming conditions. In addition, a short-term to long-term memory transition analogous to the forgetting process in the human brain, which is regarded as a key biological synaptic function for information processing and data storage, was realized. Based on a careful examination of the device’s retention behaviour at elevated temperatures, the filamentary nature of switching in such devices can be understood from a new perspective.
Effects of iron content on electrical resistivity of oxide films on Zr-base alloys
International Nuclear Information System (INIS)
Kubo, Toshio; Uno, Masayoshi
1991-01-01
Measurements of electrical resistivity were made for oxide films formed by anodic oxidation and steam oxidation (400degC/12 h) on Zr plates with different Fe contents. When the Fe content was higher than about 1,000 ppm the electrical resistivity of the steam oxide films was almost equivalent to that of the anodic oxide films, while at lower Fe content the former exhibited lower electrical resistivity than the latter by about 1∼3 orders of magnitude. The anodic oxide film was an almost homogeneous single oxide layer. The steam oxide films, on the other hand, were composed of duplex oxide layers. The oxide layer formed in the vicinity of the oxide/metal interface had higher electrical resistivity than the near-surface oxide layer by about 1∼4 orders of magnitude. The oxide layer in the vicinity of the interface could act as a protective film against corrosion and its electrical resistivity is one important factor controlling the layer protectiveness. The electrical resistivity of the oxide/metal interfacial layer was strongly dependent on the Fe content. One possible reason for Fe to improve the corrosion resistance is that Fe ions would tend to stabilize the tetragonal (or cubic) phase and consequently suppress the formation of open pores and cracks in the interfacial layer. (author)
Resistively heated shape memory polymer device
Energy Technology Data Exchange (ETDEWEB)
Marion, III, John E.; Bearinger, Jane P.; Wilson, Thomas S.; Maitland, Duncan J.
2017-09-05
A resistively heated shape memory polymer device is made by providing a rod, sheet or substrate that includes a resistive medium. The rod, sheet or substrate is coated with a first shape memory polymer providing a coated intermediate unit. The coated intermediate unit is in turn coated with a conductive material providing a second intermediate unit. The second coated intermediate unit is in turn coated with an outer shape memory polymer. The rod, sheet or substrate is exposed and an electrical lead is attached to the rod, sheet or substrate. The conductive material is exposed and an electrical lead is attached to the conductive material.
Resistively heated shape memory polymer device
Energy Technology Data Exchange (ETDEWEB)
Marion, III, John E.; Bearinger, Jane P.; Wilson, Thomas S.; Maitland, Duncan J.
2016-10-25
A resistively heated shape memory polymer device is made by providing a rod, sheet or substrate that includes a resistive medium. The rod, sheet or substrate is coated with a first shape memory polymer providing a coated intermediate unit. The coated intermediate unit is in turn coated with a conductive material providing a second intermediate unit. The second coated intermediate unit is in turn coated with an outer shape memory polymer. The rod, sheet or substrate is exposed and an electrical lead is attached to the rod, sheet or substrate. The conductive material is exposed and an electrical lead is attached to the conductive material.
Energy Technology Data Exchange (ETDEWEB)
Choi, Kyung Hyun; Ali, Junaid [Department of Mechatronics Engineering, Jeju National University, Jeju 690-756 (Korea, Republic of); Na, Kyoung-Hoan, E-mail: khna@dankook.ac.kr [College of Engineering, Dankook University, Yongin-si, Gyeonggi-do 448-701 (Korea, Republic of)
2015-10-15
This paper describes synthesis of graphene/poly(4-vinylphenol) (PVP) nanocomposite and deposition of thin film by electrohydrodynamic atomization (EHDA) for fabrication flexible resistive switching device. EHDA technique proved its viability for thin film deposition after surface morphology analyses by field emission scanning electron microscope (FESEM) and non-destructive 3D Nano-profilometry, as the deposited films were, devoid of abnormalities. The commercially available graphene micro-flakes were exfoliated and broken down to ultra-small (20 nm–200 nm) nano-flakes by ultra-sonication in presence of N-methyl-pyrrolidone (NMP). These graphene nanoflakes with PVP nanocomposite, were successfully deposited as thin films (thickness ~140±7 nm, R{sub a}=2.59 nm) on indium–tin-oxide (ITO) coated polyethylene terephthalate (PET) substrate. Transmittance data revealed that thin films are up to ~87% transparent in visible and NIR region. Resistive switching behaviour of graphene/PVP nanocomposite thin film was studied by using the nanocomposite as active layer in Ag/active layer/ITO sandwich structure. The resistive switching devices thus fabricated, showed characteristic OFF to ON (high resistance to low resistance) transition at low voltages, when operated between ±3 V, characterized at 10 nA compliance currents. The devices fabricated by this approach exhibited a stable room temperature, low power current–voltage hysteresis and well over 1 h retentivity, and R{sub OFF}/R{sub ON}≈35:1. The device showed stable flexibility up to a minimum bending diameter of 1.8 cm.
Low-power resistive random access memory by confining the formation of conducting filaments
International Nuclear Information System (INIS)
Huang, Yi-Jen; Lee, Si-Chen; Shen, Tzu-Hsien; Lee, Lan-Hsuan; Wen, Cheng-Yen
2016-01-01
Owing to their small physical size and low power consumption, resistive random access memory (RRAM) devices are potential for future memory and logic applications in microelectronics. In this study, a new resistive switching material structure, TiO_x/silver nanoparticles/TiO_x/AlTiO_x, fabricated between the fluorine-doped tin oxide bottom electrode and the indium tin oxide top electrode is demonstrated. The device exhibits excellent memory performances, such as low operation voltage (<±1 V), low operation power, small variation in resistance, reliable data retention, and a large memory window. The current-voltage measurement shows that the conducting mechanism in the device at the high resistance state is via electron hopping between oxygen vacancies in the resistive switching material. When the device is switched to the low resistance state, conducting filaments are formed in the resistive switching material as a result of accumulation of oxygen vacancies. The bottom AlTiO_x layer in the device structure limits the formation of conducting filaments; therefore, the current and power consumption of device operation are significantly reduced.
Robust resistive memory devices using solution-processable metal-coordinated azo aromatics
Goswami, Sreetosh; Matula, Adam J.; Rath, Santi P.; Hedström, Svante; Saha, Surajit; Annamalai, Meenakshi; Sengupta, Debabrata; Patra, Abhijeet; Ghosh, Siddhartha; Jani, Hariom; Sarkar, Soumya; Motapothula, Mallikarjuna Rao; Nijhuis, Christian A.; Martin, Jens; Goswami, Sreebrata; Batista, Victor S.; Venkatesan, T.
2017-12-01
Non-volatile memories will play a decisive role in the next generation of digital technology. Flash memories are currently the key player in the field, yet they fail to meet the commercial demands of scalability and endurance. Resistive memory devices, and in particular memories based on low-cost, solution-processable and chemically tunable organic materials, are promising alternatives explored by the industry. However, to date, they have been lacking the performance and mechanistic understanding required for commercial translation. Here we report a resistive memory device based on a spin-coated active layer of a transition-metal complex, which shows high reproducibility (~350 devices), fast switching (106 s) and scalability (down to ~60 nm2). In situ Raman and ultraviolet-visible spectroscopy alongside spectroelectrochemistry and quantum chemical calculations demonstrate that the redox state of the ligands determines the switching states of the device whereas the counterions control the hysteresis. This insight may accelerate the technological deployment of organic resistive memories.
Irradiation test plan of oxidation-resistant graphite in WWR-K Research Reactor
International Nuclear Information System (INIS)
Sumita, Junya; Shibata, Taiju; Sakaba, Nariaki; Osaki, Hirotaka; Kato, Hideki; Fujitsuka, Kunihiro; Muto, Takenori; Gizatulin, Shamil; Shaimerdenov, Asset; Dyussambayev, Daulet; Chakrov, Petr
2014-01-01
Graphite materials are used for the in-core components of High Temperature Gas-cooled Reactor (HTGR) which is a graphite-moderated and helium gas-cooled reactor. In the case of air ingress accident in HTGR, SiO_2 protective layer is formed on the surface of SiC layer in TRISO CFP and oxidation of SiC does not proceed and fission products are retained inside the fuel particle. A new safety concept for the HTGR, called Naturally Safe HTGR, has been recently proposed. To enhance the safety of Naturally Safe HTGR ultimately, it is expected that oxidation-resistant graphite is used for graphite components to prevent the TRISO CFPs and fuel compacts from failure. SiC coating is one of candidate methods for oxidation-resistant graphite. JAEA and four graphite companies launched R&Ds to develop the oxidation-resistant graphite and the International Science and Technology Center (ISTC) partner project with JAEA and INP was launched to investigate the irradiation effects on the oxidation-resistant graphite. To determine grades of the oxidation-resistant graphite which will be adopted as irradiation test, a preliminary oxidation test was carried out. This paper described the results of the preliminary oxidation test, the plan of out-of-pile test, irradiation test and post-irradiation test (PIE) of the oxidation-resistant graphite. The results of the preliminary oxidation test showed that the integrity of the oxidation resistant graphite was confirmed and that all of grades used in the preliminary test can be adopted as the irradiation test. Target irradiation temperature was determined to be 1473 (K) and neutron fluence was determined to be from 0.54 × 10"2"5through 1.4 × 10"2"5 (/m"2, E>0.18MeV). Weight change, oxidation rate, activation energy, surface condition, etc. will be evaluated in out-of-pile test and weight change, irradiation effect on oxidation rate and activation energy, surface condition, etc. will be evaluated in PIE. (author)
Rajan, Krishna; Roppolo, Ignazio; Bejtka, Katarzyna; Chiappone, Annalisa; Bocchini, Sergio; Perrone, Denis; Pirri, Candido Fabrizio; Ricciardi, Carlo; Chiolerio, Alessandro
2018-06-01
The present work compares the influence of different polymer matrices on the performance of planar asymmetric Resistive Switching Devices (RSDs) based on silver nitrate and Ionic Liquid (IL). PolyVinyliDene Fluoride-HexaFluoroPropylene (PVDF-HFP), PolyEthylene Oxide (PEO), PolyMethyl MethAcrylate (PMMA) and a blend of PVDF-HFP and PEO were used as matrices and compared. RSDs represent perhaps the most promising electron device to back the More than Moore development, and our approach through functional polymers enables low temperature processing and gives compatibility towards flexible/stretchable/wearable equipment. The switching mechanism in all the four sample families is explained by means of a filamentary conduction. A huge difference in the cyclability and the On/Off ratio is experienced when changing the active polymers and explained based on the polymer crystallinity degree and general morphology of the prepared nanocomposite. It is worth noting that all the RSDs discussed here present good switching behaviour with reasonable endurance. The current study displays one of the most cost-effective and effortless ways to produce an RSD based on solution-processable materials.
Numerical analysis of a polysilicon-based resistive memory device
Berco, Dan
2018-03-08
This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles. The low and high resistive state values in each cycle are calculated, and the analysis shows that the structure has excellent retention reliability properties. The presented Cu species density plot indicates that Cu insertion occurs almost exclusively along grain boundaries resulting in a confined isomorphic conductive filament that maintains its overall shape and electric properties during cycling. The superior reliability of this structure may thus be attributed to the relatively low amount of Cu migrating into the RSL during initial formation. In addition, the results show a good match and help to confirm experimental measurements done over a previously demonstrated device.
Using Dopants to Tune Oxygen Vacancy Formation in Transition Metal Oxide Resistive Memory.
Jiang, Hao; Stewart, Derek A
2017-05-17
Introducing dopants is an important way to tailor and improve electronic properties of transition metal oxides used as high-k dielectric thin films and resistance switching layers in leading memory technologies, such as dynamic and resistive random access memory (ReRAM). Ta 2 O 5 has recently received increasing interest because Ta 2 O 5 -based ReRAM demonstrates high switching speed, long endurance, and low operating voltage. However, advances in optimizing device characteristics with dopants have been hindered by limited and contradictory experiments in this field. We report on a systematic study on how various metal dopants affect oxygen vacancy formation in crystalline and amorphous Ta 2 O 5 from first principles. We find that isoelectronic dopants and weak n-type dopants have little impact on neutral vacancy formation energy and that p-type dopants can lower the formation energy significantly by introducing holes into the system. In contrast, n-type dopants have a deleterious effect and actually increase the formation energy for charged oxygen vacancies. Given the similar doping trend reported for other binary transition metal oxides, this doping trend should be universally valid for typical binary transition metal oxides. Based on this guideline, we propose that p-type dopants (Al, Hf, Zr, and Ti) can lower the forming/set voltage and improve retention properties of Ta 2 O 5 ReRAM.
Nho, Hyun Woo; Kim, Jong Yun; Wang, Jian; Shin, Hyun-Joon; Choi, Sung-Yool; Yoon, Tae Hyun
2014-01-01
Here, an in situ probe for scanning transmission X-ray microscopy (STXM) has been developed and applied to the study of the bipolar resistive switching (BRS) mechanism in an Al/graphene oxide (GO)/Al resistive random access memory (RRAM) device. To perform in situ STXM studies at the C K- and O K-edges, both the RRAM junctions and the I0 junction were fabricated on a single Si3N4 membrane to obtain local XANES spectra at these absorption edges with more delicate I0 normalization. Using this probe combined with the synchrotron-based STXM technique, it was possible to observe unique chemical changes involved in the BRS process of the Al/GO/Al RRAM device. Reversible oxidation and reduction of GO induced by the externally applied bias voltages were observed at the O K-edge XANES feature located at 538.2 eV, which strongly supported the oxygen ion drift model that was recently proposed from ex situ transmission electron microscope studies.
Directory of Open Access Journals (Sweden)
Paul C. McIntyre
2012-07-01
Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.
Influence of oxygen doping on resistive-switching characteristic of a-Si/c-Si device
Zhang, Jiahua; Chen, Da; Huang, Shihua
2017-12-01
The influence of oxygen doping on resistive-switching characteristics of Ag/a-Si/p+-c-Si device was investigated. By oxygen doping in the growth process of amorphous silicon, the device resistive-switching performances, such as the ON/OFF resistance ratios, yield and stability were improved, which may be ascribed to the significant reduction of defect density because of oxygen incorporation. The device I-V characteristics are strongly dependent on the oxygen doping concentration. As the oxygen doping concentration increases, the Si-rich device gradually transforms to an oxygen-rich device, and the device yield, switching characteristics, and stability may be improved for silver/oxygen-doped a-Si/p+-c-Si device. Finally, the device resistive-switching mechanism was analyzed. Project supported by the Zhejiang Provincial Natural Science Foundation of China (No. LY17F040001), the Open Project Program of Surface Physics Laboratory (National Key Laboratory) of Fudan University (No. KF2015_02), the Open Project Program of National Laboratory for Infrared Physics, Chinese Academy of Sciences (No. M201503), the Zhejiang Provincial Science and Technology Key Innovation Team (No. 2011R50012), and the Zhejiang Provincial Key Laboratory (No. 2013E10022).
Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki
2012-11-01
We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.
Mattox, Tracy M.; Koo, Bonil; Garcia, Guillermo; Milliron, Delia J.; Trizio, Luca De; Dahlman, Clayton
2017-10-10
An electrochromic device includes a nanostructured transition metal oxide bronze layer that includes one or more transition metal oxide and one or more dopant, a solid state electrolyte, and a counter electrode. The nanostructured transition metal oxide bronze selectively modulates transmittance of near-infrared (NIR) spectrum and visible spectrum radiation as a function of an applied voltage to the device.
Surface-Controlled Metal Oxide Resistive Memory
Ke, Jr-Jian
2015-10-28
To explore the surface effect on resistive random-access memory (ReRAM), the impact of surface roughness on the characteristics of ZnO ReRAM were studied. The thickness-independent resistance and the higher switching probability of ZnO ReRAM with rough surfaces indicate the importance of surface oxygen chemisorption on the switching process. Furthermore, the improvements in switching probability, switching voltage and resistance distribution observed for ReRAM with rough surfaces can be attributed to the stable oxygen adatoms under various ambience conditions. The findings validate the surface-controlled stability and uniformity of ReRAM and can serve as the guideline for developing practical device applications.
Structural basis of protein oxidation resistance: a lysozyme study.
Directory of Open Access Journals (Sweden)
Marion Girod
Full Text Available Accumulation of oxidative damage in proteins correlates with aging since it can cause irreversible and progressive degeneration of almost all cellular functions. Apparently, native protein structures have evolved intrinsic resistance to oxidation since perfectly folded proteins are, by large most robust. Here we explore the structural basis of protein resistance to radiation-induced oxidation using chicken egg white lysozyme in the native and misfolded form. We study the differential resistance to oxidative damage of six different parts of native and misfolded lysozyme by a targeted tandem/mass spectrometry approach of its tryptic fragments. The decay of the amount of each lysozyme fragment with increasing radiation dose is found to be a two steps process, characterized by a double exponential evolution of their amounts: the first one can be largely attributed to oxidation of specific amino acids, while the second one corresponds to further degradation of the protein. By correlating these results to the structural parameters computed from molecular dynamics (MD simulations, we find the protein parts with increased root-mean-square deviation (RMSD to be more susceptible to modifications. In addition, involvement of amino acid side-chains in hydrogen bonds has a protective effect against oxidation Increased exposure to solvent of individual amino acid side chains correlates with high susceptibility to oxidative and other modifications like side chain fragmentation. Generally, while none of the structural parameters alone can account for the fate of peptides during radiation, together they provide an insight into the relationship between protein structure and susceptibility to oxidation.
Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Pan, Chih-Hung; Chang, Kuan-Chang; Tsai, Tsung-Ming; Chang, Ting-Chang; Sze, Simon M.; Lee, Jack C.
2016-04-01
We realize a device with biological synaptic behaviors by integrating silicon oxide (SiOx) resistive switching memory with Si diodes to further minimize total synaptic power consumption due to sneak-path currents and demonstrate the capability for spike-induced synaptic behaviors, representing critical milestones for the use of SiO2-based materials in future neuromorphic computing applications. Biological synaptic behaviors such as long-term potentiation, long-term depression, and spike-timing dependent plasticity are demonstrated systemically with comprehensive investigation of spike waveform analyses and represent a potential application for SiOx-based resistive switching materials. The resistive switching SET transition is modeled as hydrogen (proton) release from the (SiH)2 defect to generate the hydrogenbridge defect, and the RESET transition is modeled as an electrochemical reaction (proton capture) that re-forms (SiH)2. The experimental results suggest a simple, robust approach to realize programmable neuromorphic chips compatible with largescale complementary metal-oxide semiconductor manufacturing technology.
Oxidation resistance coating for niobium base structural composites
International Nuclear Information System (INIS)
Tabaru, T.; Shobu, K.; Kim, J.H.; Hirai, H.; Hanada, S.
2003-01-01
Oxidation behavior of Al-rich Mo(Si,Al) 2 base alloys, which is a candidate material for the oxidation resistance coating on Nb base structural composites, were investigated by thermogravimetry. The Mo(Si,Al) 2 base alloys containing Mo 5 (Si,Al) 3 up to about 10 vol% exhibits excellent oxidation resistance at temperatures ranging from 780 to 1580 K, particularly at 1580 K due to continuous Al 2 O 3 layer development. To evaluate the applicability of the Mo(Si,Al) 2 base coating, plasma spraying on Nb base composites were undertaken. However, interface reaction layer was found to form during the following heat treatment. Preparation of Mo(Si,Al) 2 /Al 2 O 3 /Nb layered structures via powder metallurgical process was attempted to preclude diffusion reaction between coating and substrate. (orig.)
A TECHNIQUE OF MEASURING OF RESISTANCE OF A GROUNDING DEVICE
Directory of Open Access Journals (Sweden)
I.V. Nizhevskyi
2016-06-01
Full Text Available Introduction. Measurement of resistance of the grounding device (GD by means of a three-electrode system. This requires not only the right choice of installation locations of measuring electrodes, but also the determination of the point of zero potential. Implementation of these requirements quite time-consuming, and in some cases impossible. Aim. Develop a new technique for measuring the electrical resistance of the GD. Task. The method of measuring the resistance of the GD with the help of a three-electrode setup is necessary to exclude the determination of the point of zero potential. Method. Mathematical modeling and calculation engine. Results. A three-electrode system for measuring the resistance of grounding devices (GD for various purposes is considered. On the basis of Maxwell equations a theoretical substantiation of a new technique for measuring the resistance of any GD of any construction in random soil structure has been proposed. An equation system of the sixth order has been obtained, its solution makes it possible to measure its own mutual resistance in the three-electrode installation with sufficiently high accuracy. Peculiarities of drawing up a calculation scheme of substitution of a three-electrode installation with lumped parameters: self and mutual impedance. Use of the principle of reciprocity eliminates the need of finding a point of zero potential which is a rather difficult task. The technique allows to minimize the spacing of measuring electrodes outside the GD, which substantially reduces the length of wiring of the measurement circuit and increases the «signal-to-interference» ratio and also removes the restrictions on the development of the territory outside the GD being tested. Conclusion. The procedure allows to evaluate the self and mutual impedance grounding all the electrodes in a three-electrode measuring installation of the grounding resistance of the device without finding the point of zero potential.
Insulin resistance in H pylori infection and its association with oxidative stress.
Aslan, Mehmet; Horoz, Mehmet; Nazligul, Yasar; Bolukbas, Cengiz; Bolukbas, F Fusun; Selek, Sahbettin; Celik, Hakim; Erel, Ozcan
2006-11-14
To determine the insulin resistance (IR) and oxidative status in H pylori infection and to find out if there is any relationship between these parameters and insulin resistance. Fifty-five H pylori positive and 48 H pylori negative patients were enrolled. The homeostasis model assessment (HOMA) was used to assess insulin resistance. Serum total antioxidant capacity (TAC), total oxidant status (TOS) and oxidative stress index (OSI) were determined in all subjects. The total antioxidant capacity was significantly lower in H pylori positive group than in H pylori negative group (1.36 +/- 0.33 and 1.70 +/- 0.50, respectively; P total oxidant status and oxidative stress index were significantly higher in H pylori positive group than in H pylori negative group (6.79 +/- 3.40 and 5.08 +/- 0.95, and 5.42 +/- 3.40 and 3.10 +/- 0.92, respectively; P total antioxidant capacity (r = -0.251, P total oxidant status (r = 0.365, P antioxidant vitamins to H pylori eradication therapy on insulin resistance during H pylori infection.
Oxidative stress resistance in Porphyromonas gingivalis
Henry, Leroy G; McKenzie, Rachelle ME; Robles, Antonette; Fletcher, Hansel M
2012-01-01
Porphyromonas gingivalis, a black-pigmented, Gram-negative anaerobe, is an important etiologic agent of periodontal disease. The harsh inflammatory condition of the periodontal pocket implies that this organism has properties that will facilitate its ability to respond and adapt to oxidative stress. Because the stress response in the pathogen is a major determinant of its virulence, a comprehensive understanding of its oxidative stress resistance strategy is vital. We discuss multiple mechanisms and systems that clearly work in synergy to defend and protect P. gingivalis against oxidative damage caused by reactive oxygen species. The involvement of multiple hypothetical proteins and/or proteins of unknown function in this process may imply other unique mechanisms and potential therapeutic targets. PMID:22439726
Printing an ITO-free flexible poly (4-vinylphenol) resistive switching device
Ali, Junaid; Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Aziz, Shahid; Choi, Kyung Hyun
2018-02-01
Resistive switching in a sandwich structure of silver (Ag)/Polyvinyl phenol (PVP)/carbon nanotube (CNTs)-silver nanowires (AgNWs) coated on a flexible PET substrate is reported in this work. Densely populated networks of one dimensional nano materials (1DNM), CNTs-AgNWs have been used as the conductive bottom electrode with the prominent features of high flexibility and low sheet resistance of 90 Ω/sq. Thin, yet uniform active layer of PVP was deposited on top of the spin coated 1DNM thin film through state of the art printing technique of electrohydrodynamic atomization (EHDA) with an average thickness of 170 ± 28 nm. Ag dots with an active area of ∼0.1 mm2 were deposited through roll to plate printing system as the top electrodes to complete the device fabrication of flexible memory device. Our memory device exhibited suitable electrical characteristics with OFF/ON ratio of 100:1, retention time of 60 min and electrical endurance for 100 voltage sweeps without any noticeable decay in performance. The resistive switching characteristics at a low current compliance of 3 nA were also evaluated for the application of low power consumption. This memory device is flexible and can sustain more than 100 bending cycles at a bending diameter of 2 cm with stable HRS and LRS values. Our proposed device shows promise to be used as a future potential nonvolatile memory device in flexible electronics.
Layer Dependence of Graphene for Oxidation Resistance of Cu Surface
Institute of Scientific and Technical Information of China (English)
Yu-qing Song; Xiao-ping Wang
2017-01-01
We studied the oxidation resistance of graphene-coated Cu surface and its layer dependence by directly growing monolayer graphene with different multilayer structures coexisted,diminishing the influence induced by residue and transfer technology.It is found that the Cu surface coated with the monolayer graphene demonstrate tremendous difference in oxidation pattern and oxidation rate,compared to that coated with the bilayer graphene,which is considered to be originated from the strain-induced linear oxidation channel in monolayer graphene and the intersection of easily-oxidized directions in each layer of bilayer graphene,respectively.We reveal that the defects on the graphene basal plane but not the boundaries are the main oxidation channel for Cu surface under graphene protection.Our finding indicates that compared to putting forth efforts to improve the quality of monolayer graphene by reducing defects,depositing multilayer graphene directly on metal is a simple and effective way to enhance the oxidation resistance of graphene-coated metals.
An anode with aluminum doped on zinc oxide thin films for organic light emitting devices
International Nuclear Information System (INIS)
Xu Denghui; Deng Zhenbo; Xu Ying; Xiao Jing; Liang Chunjun; Pei Zhiliang; Sun Chao
2005-01-01
Doped zinc oxides are attractive alternative materials as transparent conducting electrode because they are nontoxic and inexpensive compared with indium tin oxide (ITO). Transparent conducting aluminum-doped zinc oxide (AZO) thin films have been deposited on glass substrates by DC reactive magnetron sputtering method. Films were deposited at a substrate temperature of 150-bar o C in 0.03 Pa of oxygen pressure. The electrical and optical properties of the film with the Al-doping amount of 2 wt% in the target were investigated. For the 300-nm thick AZO film deposited using a ZnO target with an Al content of 2 wt%, the lowest electrical resistivity was 4x10 -4 Ωcm and the average transmission in the visible range 400-700 nm was more than 90%. The AZO film was used as an anode contact to fabricate organic light-emitting diodes. The device performance was measured and the current efficiency of 2.9 cd/A was measured at a current density of 100 mA/cm 2
Effects of oxide traps, interface traps, and ''border traps'' on metal-oxide-semiconductor devices
International Nuclear Information System (INIS)
Fleetwood, D.M.; Winokur, P.S.; Reber, R.A. Jr.; Meisenheimer, T.L.; Schwank, J.R.; Shaneyfelt, M.R.; Riewe, L.C.
1993-01-01
We have identified several features of the 1/f noise and radiation response of metal-oxide-semiconductor (MOS) devices that are difficult to explain with standard defect models. To address this issue, and in response to ambiguities in the literature, we have developed a revised nomenclature for defects in MOS devices that clearly distinguishes the language used to describe the physical location of defects from that used to describe their electrical response. In this nomenclature, ''oxide traps'' are simply defects in the SiO 2 layer of the MOS structure, and ''interface traps'' are defects at the Si/SiO 2 interface. Nothing is presumed about how either type of defect communicates with the underlying Si. Electrically, ''fixed states'' are defined as trap levels that do not communicate with the Si on the time scale of the measurements, but ''switching states'' can exchange charge with the Si. Fixed states presumably are oxide traps in most types of measurements, but switching states can either be interface traps or near-interfacial oxide traps that can communicate with the Si, i.e., ''border traps'' [D. M. Fleetwood, IEEE Trans. Nucl. Sci. NS-39, 269 (1992)]. The effective density of border traps depends on the time scale and bias conditions of the measurements. We show the revised nomenclature can provide focus to discussions of the buildup and annealing of radiation-induced charge in non-radiation-hardened MOS transistors, and to changes in the 1/f noise of MOS devices through irradiation and elevated-temperature annealing
Electrochromics for smart windows: Oxide-based thin films and devices
Energy Technology Data Exchange (ETDEWEB)
Granqvist, Claes G.
2014-08-01
Electrochromic (EC) smart windows are able to vary their throughput of visible light and solar energy by the application of an electrical voltage and are able to provide energy efficiency and indoor comfort in buildings. Section 1 explains why this technology is important and timely by first outlining today's precarious situation concerning increasing energy use and associated effects on the world's climate, and this section also underscores the great importance of enhancing the energy efficiency of buildings by letting them function more in harmony with the environment—particularly its varying temperature—than is possible with current mainstream technologies. This same chapter also surveys recent work on the energy savings and other benefits that are possible with EC-based technologies. Section 2 then provides some notes on the history of the EC effect and its applications. Section 3 presents a generic design for the oxide-based EC devices that are most in focus for present-day applications and research. This design includes five superimposed layers with a centrally-positioned electrolyte connecting two oxide films—at least one of which having EC properties—and with transparent electrical conductors surrounding the three-layer structure in the middle. It is emphasized that this construction can be viewed as a thin-film electrical battery whose charging state is manifested as optical absorption. Also discussed are six well known hurdles for the implementation of these EC devices, as well as a number of practical constructions of EC-based smart windows. Section 4 is an in-depth discussion of various aspects of EC oxides. It begins with a literature survey for 2007–2013, which updates earlier reviews, and is followed by a general discussion of optical and electronic effects and, specifically, on charge transfer absorption in tungsten oxide. Ionic effects are then treated with foci on the inherent nanoporosity of the important EC oxides and on the
Electrochromics for smart windows: Oxide-based thin films and devices
International Nuclear Information System (INIS)
Granqvist, Claes G.
2014-01-01
Electrochromic (EC) smart windows are able to vary their throughput of visible light and solar energy by the application of an electrical voltage and are able to provide energy efficiency and indoor comfort in buildings. Section 1 explains why this technology is important and timely by first outlining today's precarious situation concerning increasing energy use and associated effects on the world's climate, and this section also underscores the great importance of enhancing the energy efficiency of buildings by letting them function more in harmony with the environment—particularly its varying temperature—than is possible with current mainstream technologies. This same chapter also surveys recent work on the energy savings and other benefits that are possible with EC-based technologies. Section 2 then provides some notes on the history of the EC effect and its applications. Section 3 presents a generic design for the oxide-based EC devices that are most in focus for present-day applications and research. This design includes five superimposed layers with a centrally-positioned electrolyte connecting two oxide films—at least one of which having EC properties—and with transparent electrical conductors surrounding the three-layer structure in the middle. It is emphasized that this construction can be viewed as a thin-film electrical battery whose charging state is manifested as optical absorption. Also discussed are six well known hurdles for the implementation of these EC devices, as well as a number of practical constructions of EC-based smart windows. Section 4 is an in-depth discussion of various aspects of EC oxides. It begins with a literature survey for 2007–2013, which updates earlier reviews, and is followed by a general discussion of optical and electronic effects and, specifically, on charge transfer absorption in tungsten oxide. Ionic effects are then treated with foci on the inherent nanoporosity of the important EC oxides and on the
Saint John, David B.
The commercial market for uncooled infrared imaging devices has expanded in the last several decades, following the declassification of pulse-biased microbolometer-based focal plane arrays (FPAs) using vanadium oxide as the sensing material. In addition to uncooled imaging platforms based on vanadium oxide, several constant-bias microbolometer FPAs have been developed using doped hydrogenated amorphous silicon (a-Si:H) as the active sensing material. While a-Si:H and the broader Si1-xGex:H system have been studied within the context of photovoltaic (PV) devices, only recently have these materials been studied with the purpose of qualifying and optimizing them for potential use in microbolometer applications, which demand thinner films deposited onto substrates different than those used in PV. The behavior of Ge:H is of particular interest for microbolometers due to its intrinsically low resistivity without the introduction of dopants, which alter the growth behavior and frustrate any attempt to address the merits of protocrystalline a-Ge:H. This work reports the optical, microstructural, and electrical characterization and qualification of a variety of Si:H, Si1-xGex:H, and Ge:H films deposited using a plasma enhanced chemical vapor deposition (PECVD) process, including a-Ge:H films which exhibit high TCR (4-6 -%/K) and low 1/f noise at resistivities of interest for microbolometers (4000 -- 6000 O cm). Thin film deposition has been performed simultaneously with real-time optical characterization of the growth evolution dynamics, providing measurement of optical properties and surface roughness evolutions relevant to controlling the growth process for deliberate variations in film microstructure. Infrared spectroscopic ellipsometry has been used to characterize the Si-H and Ge-H absorption modes allowing assessment of the hydrogen content and local bonding behavior in thinner films than measured traditionally. This method allows IR absorption analysis of hydrogen
Halogen effect for improving high temperature oxidation resistance of Ti-50Al by anodization
Mo, Min-Hua; Wu, Lian-Kui; Cao, Hua-Zhen; Lin, Jun-Pin; Zheng, Guo-Qu
2017-06-01
The high temperature oxidation resistance of Ti-50Al was significantly improved via halogen effect which was achieved by anodizing in an ethylene glycol solution containing with fluorine ion. The anodized Ti-50Al with holes and micro-cracks could be self-repaired during oxidation at 1000 °C. The thickness of the oxide scale increases with the prolonging of oxidation time. On the basis of halogen effect for improving the high temperature oxidation resistance of Ti-50Al by anodization, only fluorine addition into the electrolyte can effectively improve the high temperature oxidation resistance of Ti-50Al.
Resistive switching effect of N-doped MoS2-PVP nanocomposites films for nonvolatile memory devices
Wu, Zijin; Wang, Tongtong; Sun, Changqi; Liu, Peitao; Xia, Baorui; Zhang, Jingyan; Liu, Yonggang; Gao, Daqiang
2017-12-01
Resistive memory technology is very promising in the field of semiconductor memory devices. According to Liu et al, MoS2-PVP nanocomposite can be used as an active layer material for resistive memory devices due to its bipolar resistive switching behavior. Recent studies have also indicated that the doping of N element can reduce the band gap of MoS2 nanosheets, which is conducive to improving the conductivity of the material. Therefore, in this paper, we prepared N-doped MoS2 nanosheets and then fabricated N-doped MoS2-PVP nanocomposite films by spin coating. Finally, the resistive memory [C. Tan et al., Chem. Soc. Rev. 44, 2615 (2015)], device with ITO/N-doped MoS2-PVP/Pt structure was fabricated. Study on the I-V characteristics shows that the device has excellent resistance switching effect. It is worth mentioning that our device possesses a threshold voltage of 0.75 V, which is much better than 3.5 V reported previously for the undoped counterparts. The above research shows that N-doped MoS2-PVP nanocomposite films can be used as the active layer of resistive switching memory devices, and will make the devices have better performance.
International Nuclear Information System (INIS)
Wong, F.L.; Fung, M.K.; Tong, S.W.; Lee, C.S.; Lee, S.T.
2004-01-01
A radio-frequency sputtering deposition method was applied to prepare indium tin oxide (ITO) on a plastic substrate, polyethylene terephthalate (PET). The correlation of deposition conditions and ITO film properties was systematically investigated and characterized. The optimal ITO films had a transmittance of over 90% in the visible range (400-700 nm) and a resistivity of 5.0x10 -4 Ω-cm. Sequentially α-napthylphenylbiphenyl diamine, tris-(8-hydroxyquinoline) aluminium, and magnesium-silver were thermally deposited on the ITO-coated PET substrate to fabricate flexible organic light-emitting diodes (FOLEDs). The fabricated devices had a maximum current efficiency of ∼4.1 cd/A and a luminance of nearly 4100 cd/m 2 at 100 mA/cm 2 . These values showed that the FOLEDs had comparable performance characteristics with the conventional organic light-emitting diodes made on ITO-coated glasses with the same device configuration
International Nuclear Information System (INIS)
Xia, Long; Jin, Feng; Zhang, Tao; Hu, Xueting; Wu, Songsong; Wen, Guangwu
2015-01-01
Highlights: • C f /LAS composites exhibit enhanced oxidation resistance by boron doping. • Boron doping is beneficial to the improvement of graphitization degree of carbon fibers. • Graphitization of carbon fibers together with the decrease of viscosity of LAS matrix is responsible to the enhancement of oxidation resistance of C f /LAS composites. - Abstract: Carbon fiber reinforced lithium aluminosilicate matrix composites (C f /LAS) modified with boron doping were fabricated and oxidized for 1 h in static air. Weight loss, residual strength and microstructure were analyzed. The results indicate that boron doping has a remarkable effect on improving the oxidation resistance for C f /LAS. The synergism of low viscosity of LAS matrix at high temperature and formation of graphite crystals on the surface of carbon fibers, is responsible for excellent oxidation resistance of the boron doped C f /LAS.
Application of graphene oxide-poly (vinyl alcohol) polymer nanocomposite for memory devices
Kaushal, Jyoti; Kaur, Ravneet; Sharma, Jadab; Tripathi, S. K.
2018-05-01
Significant attention has been gained by polymer nanocomposites because of their possible demands in future electronic memory devices. In the present work, device based on Graphene Oxide (GO) and polyvinyl alcohol (PVA) has been made and examined for the memory device application. The prepared Graphene oxide (GO) and GO-PVA nanocomposite (NC) has been characterized by X-ray Diffraction (XRD). GO nanosheets show the diffraction peak at 2θ = 11.60° and the interlayer spacing of 0.761 nm. The XRD of GO-PVA NC shows the diffraction peak at 2θ =18.56°. The fabricated device shows bipolar switching behavior having ON/OFF current ratio ˜102. The Write-Read-Erase-Read (WRER) cycles test shows that the Al/GO-PVA/Ag device has good stability and repeatability.
Electroforming free resistive switching memory in two-dimensional VOx nanosheets
Hota, Mrinal Kanti
2015-10-21
We report two-dimensional VOx nanosheets containing multi-oxidation states (V5+, V4+, and V3+), prepared by a hydrothermal process for potential applications in resistive switching devices. The experimental results demonstrate a highly reproducible, electroforming-free, low SET bias bipolar resistive switching memory performance with endurance for more than 100 cycles maintaining OFF/ON ratio of ∼60 times. These devices show better memory performance as compared to previously reported VOx thin film based devices. The memory mechanism in VOx is proposed to be originated from the migration of oxygen vacancies/ions, an influence of the bottom electrode and existence of multi-oxidation states.
Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian
2016-06-17
With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.
Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Pan, Chih-Hung; Chang, Ting-Chang; Lee, Jack C.
2016-02-01
We realize a device with biological synaptic behaviors by integrating silicon oxide (SiOx) resistive switching memory with Si diodes. Minimal synaptic power consumption due to sneak-path current is achieved and the capability for spike-induced synaptic behaviors is demonstrated, representing critical milestones for the use of SiO2-based materials in future neuromorphic computing applications. Biological synaptic behaviors such as long-term potentiation (LTP), long-term depression (LTD) and spike-timing dependent plasticity (STDP) are demonstrated systematically using a comprehensive analysis of spike-induced waveforms, and represent interesting potential applications for SiOx-based resistive switching materials. The resistive switching SET transition is modeled as hydrogen (proton) release from (SiH)2 to generate the hydrogen bridge defect, and the RESET transition is modeled as an electrochemical reaction (proton capture) that re-forms (SiH)2. The experimental results suggest a simple, robust approach to realize programmable neuromorphic chips compatible with large-scale CMOS manufacturing technology.
Low resistance splices for HTS devices and applications
Lalitha, S. L.
2017-09-01
This paper discusses the preparation methodology and performance evaluation of low resistance splices made of the second generation (2G) high-temperature superconductor (HTS). These splices are required in a broad spectrum of HTS devices including a large aperture, high-field solenoid built in the laboratory to demonstrate a superconducting magnetic energy storage (SMES) device. Several pancake coils are assembled in the form of a nested solenoid, and each coil requires a hundred meters or more of 2G (RE)BCO tape. However, commercial availability of this superconductor with a very uniform physical properties is currently limited to shorter piece lengths. This necessitates us having splices to inter-connect the tape pieces within a pancake coil, between adjacent pancake coils, and to attach HTS current leads to the magnet assembly. As a part of the optimization and qualification of splicing process, a systematic study was undertaken to analyze the electrical performance of splices in two different configurations suitable for this magnet assembly: lap joint and spiral joint. The electrical performance is quantified in terms of the resistance of splices estimated from the current-voltage characteristics. It has been demonstrated that a careful application of this splicing technique can generate lap joints with resistance less than 1 nΩ at 77 K.
Surface Acoustic Wave Devices for Harsh Environment Wireless Sensing
Directory of Open Access Journals (Sweden)
David W. Greve
2013-05-01
Full Text Available Langasite surface acoustic wave devices can be used to implement harsh-environment wireless sensing of gas concentration and temperature. This paper reviews prior work on the development of langasite surface acoustic wave devices, followed by a report of recent progress toward the implementation of oxygen gas sensors. Resistive metal oxide films can be used as the oxygen sensing film, although development of an adherent barrier layer will be necessary with the sensing layers studied here to prevent interaction with the langasite substrate. Experimental results are presented for the performance of a langasite surface acoustic wave oxygen sensor with tin oxide sensing layer, and these experimental results are correlated with direct measurements of the sensing layer resistivity.
International Nuclear Information System (INIS)
Kim, Dae Hwan; Kim, Gil Moo
1996-01-01
Since the manufacturing temperature of stainless steels is relatively high, oxidation at the elevated temperature becomes important. The chemical and physical properties of the protective oxide film which was formed on the stainless steels at high temperature for the oxidation resistance are important in determining the rate of oxidation and the life of equipment exposed to high temperature oxidizing environments. In this study, the oxidation behavior of STS 309S and STS 409L added by a small amount of oxygen active element(each + 0.5wt% Hf and Y) was studied to improve oxidation resistance. In the cyclic oxidation, while OAE-free specimens showed relatively poor oxidation resistance due to spallations and cracks of Cr-rich oxide layer, OAE-added specimens improved cyclic oxidation resistance assumably due to constant oxidation rate with stable oxide layers at high temperature. Especially Hf improved cyclic oxidation resistance by forming Cr-rich oxide layer preventing internal oxidation in STS 309S. (author)
Wang, Hong; Du, Yuanmin; Li, Yingtao; Zhu, Bowen; Leow, Wan Ru; Li, Yuangang; Pan, Jisheng; Wu, Tao; Chen, Xiaodong
2015-01-01
The employ of natural biomaterials as the basic building blocks of electronic devices is of growing interest for biocompatible and green electronics. Here, resistive switching (RS) devices based on naturally silk protein with configurable
Wang, Zhenwei
2015-04-20
In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.
Energy Technology Data Exchange (ETDEWEB)
Song, Tai Gil; Park, G. Y.; Kim, S. Y.; Lee, J. Y.; Kim, S. H.; Yoon, J. S. [Korea Atomic Energy Research Institute, Taejeon (Korea)
2002-02-01
It is known that high levels of radiation can cause significant damage by altering the properties of materials. A practical understanding of the effects of radiation - how radiation affects various types of materials and components - is required to design equipment to operate reliably in a gamma radiation environment. When designing equipment to operate in a high gamma radiation environment, such as will be present in a nuclear spent fuel handling facility, several important steps should be followed. In order to active test of the advanced spent fuel management process, the radiation-resistant analysis of the device and control component for active test which is concerned about the radiation environment is conducted. Also the system design process is analysis and reviewed. In the foreign literature, 'threshold' values are generally reported. the threshold values are normally the dose required to begin degradation in a particular material property. The radiation effect analysis for the device of vol-oxidation and metalization, which are main device for the advanced spent fuel management process, is performed by the SCALE 4.4 code. 5 refs., 4 figs., 13 tabs. (Author)
Review of recent developments in amorphous oxide semiconductor thin-film transistor devices
International Nuclear Information System (INIS)
Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong
2012-01-01
The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.
Flame retardancy and ultraviolet resistance of silk fabric coated by graphene oxide
Ji Yi-Min; Cao Ying-Ying; Chen Guo-Qiang; Xing Tie-Ling
2017-01-01
Silk fabrics were coated by graphene oxide hydrosol in order to improve its flame retardancy and ultraviolet resistance. In addition, montmorillonoid was doped into the graphene oxide hydrosol to further improve the flame retardancy of silk fabrics. The flame retardancy and ultraviolet resistance were mainly characterized by limiting oxygen index, vertical flame test, smoke density test, and ultraviolet protection factor. The synergistic effect of graphene oxide and montmorillonoid on the the...
Oxidant resistance in a yeast mutant deficient in the Sit4 phosphatase
DEFF Research Database (Denmark)
López-Mirabal, H Reynaldo; Winther, Jakob R; Kielland-Brandt, Morten C
2008-01-01
Resistance to thiol oxidation can arise from mutations altering redox homeostasis. A Saccharomyces cerevisiae sit4-110 mutant is here described, which was isolated as resistant to the thiol-specific oxidant dipyridyl disulfide (DPS) and which contains a single-residue substitution in the SIT4 gene...
Improved thermal stability and oxidation resistance of Al–Ti–N coating by Si addition
International Nuclear Information System (INIS)
Chen, Li; Yang, Bing; Xu, Yuxiang; Pei, Fei; Zhou, Liangcai; Du, Yong
2014-01-01
Addition of Si is very effective in upgrading the machining performance and thermal properties of Al–Ti–N coating. Here, we concentrate on the thermal stability and oxidation resistance of Al–Ti–Si–N coating. Alloying with Si favors the growth of wurtzite phase, and thereby causes a drop in hardness from ∼ 34.5 to 28.7 GPa. However, Si-containing coating retards the formation of w-AlN during thermal annealing, and thereby behaves a high hardness value of ∼ 31.3 GPa after annealing at T a = 1100 °C. After 10 h exposure in air at 850 °C, Al–Ti–N coating is fully oxidized. Incorporation of Si significantly improves the oxidation resistance of Al–Ti–N due to the combined effects with the promoted formation of Al-oxide rich top-scale and retarded transformation of anatase (a-) TiO 2 into rutile (r-) TiO 2 , where only ∼ 1.43 μm oxide scale is shown after oxidation at 1100 °C for 15 h. Noticeable is that the worst oxidation resistance of Al–Ti–Si–N coating in the temperature range from 800 to 1100 °C is obtained at 950 °C with oxide scale of ∼ 1.76 μm due to the fast formation of r-TiO 2 . Additionally, a pre-oxidation at 1000 °C has a positive effect on the oxidation resistance of Al–Ti–Si–N coating, which is attributed to the formation of Al-oxide rich top-scale, and thus inhibits the outward diffusion of metal atoms and inward diffusion of O. - Highlights: • Si as a substitutional solid solution and via the formation of a-Si 3 N 4 coexists. • Si addition favors the growth of wurtzite phase and causes a decreased hardness. • Alloying with Si improves the oxidation resistance of AlTiN. • AlTiSiN behaves the worst oxidation resistance at 950 °C from 800 to 1100 °C. • A pre-oxidation at 1000 °C improves the oxidation resistance of AlTiSiN coating
Total antioxidant and oxidant status in obese children without insulin resistance
Directory of Open Access Journals (Sweden)
Ayşegül Doğan Demir
2014-06-01
Full Text Available Objective: Oxidative stress in obese children may lead in adulthood serious conditions such as coronary heart diseases or type 2 diabetes mellitus. In childhood oxidative stress is associated with insulin resistance or extreme obesity. In this study, we aimed to evaluate oxidative stress status in moderately obese children without insulin resistance. Methods: A total of 38 obese children (21 male, 17 female without insulin resistance, mean aged 9.4±3.8 years and 51 normal weight children (25 male, 26 female as the control group, mean aged 9.3±3.9 years were enrolled to the study. Total oxidative status (TOS, total antioxidant capacity (TAC were measured and oxidative stress index (OSI was calculated. Results: The results reveal that obese children had lower TAC than normal weight children (2,27±0,28 vs. 2.76±0.35 mmol Trolox Eq./L; p<0,001. There was no statistical difference between obese and control groups regarding TOS (6,08±3,63 vs 5.25±4.16 μmol H2O2 Eq./L; p=0.333. OSI was higher in obese group (2.65±1.52 vs 1.92±1.56; p=0.029 Conclusion: Balance between oxidant and antioxidant system is disrupted due to the reduced TAC even in moderately obese children without insulin resistance. Further studies should also be performed to evaluate the beneficial effects of dietary intake of antioxidants in these children.
Study on film resistivity of Energy Conversion Components for MEMS Initiating Explosive Device
Ren, Wei; Zhang, Bin; Zhao, Yulong; Chu, Enyi; Yin, Ming; Li, Hui; Wang, Kexuan
2018-03-01
Resistivity of Plane-film Energy Conversion Components is a key parameter to influence its resistance and explosive performance, and also it has important relations with the preparation of thin film technology, scale, structure and etc. In order to improve the design of Energy Conversion Components for MEMS Initiating Explosive Device, and reduce the design deviation of Energy Conversion Components in microscale, guarantee the design resistance and ignition performance of MEMS Initiating Explosive Device, this paper theoretically analyzed the influence factors of film resistivity in microscale, through the preparation of Al film and Ni-Cr film at different thickness with micro/nano, then obtain the film resistivity parameter of the typical metal under different thickness, and reveals the effect rule of the scale to the resistivity in microscale, at the same time we obtain the corresponding inflection point data.
An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant
2016-11-01
Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.
International Nuclear Information System (INIS)
Lin, Chun-Cheng; Tang, Jian-Fu; Su, Hsiu-Hsien; Hong, Cheng-Shong; Huang, Chih-Yu; Chu, Sheng-Yuan
2016-01-01
The multi-step resistive switching (RS) behavior of a unipolar Pt/Li 0.06 Zn 0.94 O/Pt resistive random access memory (RRAM) device is investigated. It is found that the RRAM device exhibits normal, 2-, 3-, and 4-step RESET behaviors under different compliance currents. The transport mechanism within the device is investigated by means of current-voltage curves, in-situ transmission electron microscopy, and electrochemical impedance spectroscopy. It is shown that the ion transport mechanism is dominated by Ohmic behavior under low electric fields and the Poole-Frenkel emission effect (normal RS behavior) or Li + ion diffusion (2-, 3-, and 4-step RESET behaviors) under high electric fields.
Arita, Masashi; Takahashi, Akihito; Ohno, Yuuki; Nakane, Akitoshi; Tsurumaki-Fukuchi, Atsushi; Takahashi, Yasuo
2015-11-27
In-situ transmission electron microscopy (in-situ TEM) was performed to investigate the switching operation of a resistive random access memory (ReRAM) made of copper, tungsten oxide and titanium nitride (Cu/WOx/TiN). In the first Set (Forming) operation to initialize the device, precipitation appeared inside the WOx layer. It was presumed that a Cu conducting filament was formed, lowering the resistance (on-state). The Reset operation induced a higher resistance (the off-state). No change in the microstructure was identified in the TEM images. Only when an additional Reset current was applied after switching to the off-state could erasure of the filament be seen (over-Reset). Therefore, it was concluded that structural change relating to the resistance switch was localized in a very small area around the filament. With repeated switching operations and increasing operational current, the WOx/electrode interfaces became indistinct. At the same time, the resistance of the off-state gradually decreased. This is thought to be caused by Cu condensation at the interfaces because of leakage current through the area other than through the filament. This will lead to device degradation through mechanisms such as endurance failure. This is the first accelerated aging test of ReRAM achieved using in-situ TEM.
Synergistic tungsten oxide/organic framework hybrid nanofibers for electrochromic device application
Dulgerbaki, Cigdem; Komur, Ali Ihsan; Nohut Maslakci, Neslihan; Kuralay, Filiz; Uygun Oksuz, Aysegul
2017-08-01
We report the first successful applications of tungsten oxide/conducting polymer hybrid nanofiber assemblies in electrochromic devices. Poly(3,4-ethylenedioxythiophene)/tungsten oxide (PEDOT/WO3) and polypyrrole/tungsten oxide (PPy/WO3) composites were prepared by an in situ chemical oxidative polymerization of monomers in different ionic liquids; 1-butyl-3-methylimidazolium tetrafluoroborate (BMIMBF4), 1-butyl-3-methylimidazolium hexafluorophosphate (BMIMPF6), 1-butyl-3-methylimidazolium bis(trifluoromethylsulfonyl) imide (BMIMTFSI) and 1-butyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl) imide (BMPTFSI). Electrospinning process was used to form hybrid nanofibers from chemically synthesized nanostructures. The electrospun hybrid samples were compared from both morphological and electrochemical perspectives. Importantly, deposition of nanofibers from chemically synthesized hybrids can be achieved homogenously, on nanoscale dimensions. The morphologies of these assemblies were evaluated by SEM, whereas their electroactivity was characterized by cyclic voltammetry. Electrochromic devices made from hybrid nanofiber electrodes exhibited highest chromatic contrast of 37.66% for PEDOT/WO3/BMIMPF6, 40.42% for PPy/WO3/BMIMBF4 and show a strong electrochromic color change from transparent to light brown. Furthermore, the nanofiber devices exhibit outstanding stability when color switching proceeds, which may ensure a versatile platform for color displays, rear-view mirrors and smart windows.
Isothermal and cyclic oxidation resistance of pack siliconized Mo–Si–B alloy
Energy Technology Data Exchange (ETDEWEB)
Majumdar, Sanjib, E-mail: sanjib@barc.gov.in
2017-08-31
Highlights: • Pack-siliconizing of Mo–Si–B alloy improves its oxidation resistance at 750, 900 and 1400 °C. • A marginal weight change of the coated alloy is detected in isothermal and cyclic oxidation tests. • Kinetics of growth of protective SiO{sub 2} scale is much faster at 1400 °C. • Self-healing SiO{sub 2} is developed at the cracks formed in MoSi{sub 2} layer during cyclic oxidation tests. - Abstract: Oxidation behaviour of MoSi{sub 2} coated Mo–9Si–8B–0.75Y (at.%) alloy has been investigated at three critical temperatures including 750, 900 and 1400 °C in static air. Thermogravimetric analysis (TGA) data indicates a remarkable improvement in the oxidation resistance of the silicide coated alloy in both isothermal and cyclic oxidation tests. The cross-sectional scanning electron microscopy and energy dispersive spectroscopic analysis reveal the occurrence of internal oxidation particularly at the crack fronts formed in the outer MoSi{sub 2} layer during thermal cycling. The dominant oxidation mechanisms at 750–900 °C and 1400 °C are identified. Development of MoB inner layer further improves the oxidation resistance of the silicide coated alloy.
Thickness-dependent resistance switching in Cr-doped SrTiO3
Kim, TaeKwang; Du, Hyewon; Kim, Minchang; Seo, Sunae; Hwang, Inrok; Kim, Yeonsoo; Jeon, Jihoon; Lee, Sangik; Park, Baeho
2012-09-01
The thickness-dependent bipolar resistance-switching behavior was investigated for epitaxiallygrown Cr-doped SrTiO3 (Cr-STO). All the pristine devices of different thickness showed polarity-independent symmetric current-voltage characteristic and the same space-charge-limited conduction mechanism. However, after a forming process, the resultant conduction and switching phenomena were significantly different depending on the thickness of Cr-STO. The forming process itself was highly influenced by resistance value of each pristine device. Based on our results, we suggest that the resistance-switching mechanism in Cr-STO depends not only on the insulating material's composition or the contact metal as previously reported but also on the initial resistance level determined by the geometry and the quality of the insulating material. The bipolar resistance-switching behaviors in oxide materials of different thicknesses exhibit mixed bulk and interface switching. This indicates that efforts in resistance-based memory research should be focused on scalability or process method to control a given oxide material in addition to material type and device structure.
Flame retardancy and ultraviolet resistance of silk fabric coated by graphene oxide
Directory of Open Access Journals (Sweden)
Ji Yi-Min
2017-01-01
Full Text Available Silk fabrics were coated by graphene oxide hydrosol in order to improve its flame retardancy and ultraviolet resistance. In addition, montmorillonoid was doped into the graphene oxide hydrosol to further improve the flame retardancy of silk fabrics. The flame retardancy and ultraviolet resistance were mainly characterized by limiting oxygen index, vertical flame test, smoke density test, and ultraviolet protection factor. The synergistic effect of graphene oxide and montmorillonoid on the thermal stabilization property of the treated silk fabrics was also investigated. The results show that the treated silk fabrics have excellent flame retardancy, thermal stability, smoke suppression, and ultraviolet resistance simultaneously.
Wang, Hong
2015-05-01
The employ of natural biomaterials as the basic building blocks of electronic devices is of growing interest for biocompatible and green electronics. Here, resistive switching (RS) devices based on naturally silk protein with configurable functionality are demonstrated. The RS type of the devices can be effectively and exactly controlled by controlling the compliance current in the set process. Memory RS can be triggered by a higher compliance current, while threshold RS can be triggered by a lower compliance current. Furthermore, two types of memory devices, working in random access and WORM modes, can be achieved with the RS effect. The results suggest that silk protein possesses the potential for sustainable electronics and data storage. In addition, this finding would provide important guidelines for the performance optimization of biomaterials based memory devices and the study of the underlying mechanism behind the RS effect arising from biomaterials. Resistive switching (RS) devices with configurable functionality based on protein are successfully achieved. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Improved ductility and oxidation resistance of cast Ti–6Al–4V alloys by microalloying
International Nuclear Information System (INIS)
Luan, J.H.; Jiao, Z.B.; Chen, G.; Liu, C.T.
2014-01-01
Highlights: • Modified Ti64 alloys with improved ductility and oxidation resistance are developed. • B improves the ductility by refining grain size and enhancing boundary cohesion. • Y enhances the oxidation resistance by possibly slowing down the oxidation kinetics. - Abstract: The effects of B and Y on the mechanical properties and oxidation behavior of cast Ti–6Al–4V alloys were systematically investigated, and the new alloys with improved ductility and oxidation resistance are developed by the microalloying approach. The results indicate that boron is beneficial for improving the ductility by not only grain-size refinement but also grain-boundary enhancement, while yttrium is effective in increasing the oxidation resistance through possibly slowing down the oxidation kinetics. The improved properties, together with their high strength, make the microalloyed cast Ti–6Al–4V alloys competitive for practical engineering applications
Enhancement of oxidation resistance in Cu and Cu(Al) thin layers
International Nuclear Information System (INIS)
Horvath, Z.E.; Peto, G.; Paszti, Z.; Zsoldos, E.; Szilagyi, E.; Battistig, G.; Lohner, T.; Molnar, G.L.; Gyulai, J.
1999-01-01
High conductivity and good resistance to electromigration makes copper a promising interconnect material in microelectronics. However, one of its disadvantages is the poor corrosion resistance. Two methods of passivation are investigated and compared: Al alloying and BF 2 + ion implantation. X-ray diffraction (XRD) and Rutherford Backscattering Spectrometry (RBS) show the oxidation inhibition of both methods, but the different ratio of CuO 2 to CuO phases suggests different mechanisms of passivation. There are no definite oxide lines in the XRD spectrum of the implanted and annealed Cu(Al) sample, so the presence of Al and the implantation together give increased protection against oxidation. The difference between the two mechanisms of oxidation inhibition is discussed briefly
Effect of ultraviolet illumination on metal oxide resistive memory
Duran Retamal, Jose Ramon
2014-12-22
We investigate the photoelectrical and resistive switching properties of Pt/ZnO/Pt capacitor operated in unipolar mode under ultraviolet (UV) illumination. The oxygen photodesorption under UV illumination explains the photoconduction observed in initial and high resistance states. Meanwhile, oxygen readsorption at surface-related defects justifies the different photoresponses dynamics in both states. Finally, UV illumination significantly reduces the variations of resistance in high resistance state, set voltage and reset voltage by 58%, 33%, and 25%, respectively, stabilizing Pt/ZnO/Pt capacitor. Our findings in improved switching uniformity via UV light give physical insight into designing resistive memory devices.
Effect of ultraviolet illumination on metal oxide resistive memory
Duran Retamal, Jose Ramon; Kang, Chen-Fang; Ho, Chih-Hsiang; Ke, Jr-Jian; Chang, Wen-Yuan; He, Jr-Hau
2014-01-01
We investigate the photoelectrical and resistive switching properties of Pt/ZnO/Pt capacitor operated in unipolar mode under ultraviolet (UV) illumination. The oxygen photodesorption under UV illumination explains the photoconduction observed in initial and high resistance states. Meanwhile, oxygen readsorption at surface-related defects justifies the different photoresponses dynamics in both states. Finally, UV illumination significantly reduces the variations of resistance in high resistance state, set voltage and reset voltage by 58%, 33%, and 25%, respectively, stabilizing Pt/ZnO/Pt capacitor. Our findings in improved switching uniformity via UV light give physical insight into designing resistive memory devices.
International Nuclear Information System (INIS)
Irvine, S.J.C.; Lamb, D.A.; Barrioz, V.; Clayton, A.J.; Brooks, W.S.M.; Rugen-Hankey, S.; Kartopu, G.
2011-01-01
A systematic study is made between the relationship of Cd 0.9 Zn 0.1 S/CdTe photovoltaic (PV) device properties for three different commercial transparent conducting oxide (TCO) materials and some experimental CdO to determine the role of the TCO in device performance. The resistance contribution from the TCO was measured after depositing the gold contact architectures directly onto the TCOs. These were compared with the Cd 0.9 Zn 0.1 S/CdTe device properties using the same contact arrangements. Series resistance for the commercial TCOs correlated with their sheet resistance and gave good agreement with the PV device series resistance for the indium tin oxide (ITO) and fluorine doped tin oxide (FTO) 15 Ω/Sq. superstrates. The devices on the thicker FTO 7 Ω/sq superstrates were dominated by a low shunt resistance, which was attributed to the rough surface morphology causing micro-shorts. The device layers on the CdO substrate delaminated but devices were successfully made for ultra-thin CdTe (0.8 μm thick) and compared favourably with the comparable device on ITO. From the measurements on these TCOs it was possible to deduce the back contact resistance and gave an average value of 2 Ω.cm 2 . The correlation of fill factor with series resistance has been compared with the predictions of a 1-D device model and shows excellent agreement. For high efficiency devices the combined series resistance from the TCO and back contact need to be less than 1 Ω.cm 2 .
Tuning the resistive switching properties of TiO2-x films
Ghenzi, N.; Rozenberg, M. J.; Llopis, R.; Levy, P.; Hueso, L. E.; Stoliar, P.
2015-03-01
We study the electrical characteristics of TiO2-x-based resistive switching devices fabricated with different oxygen/argon flow ratio during the oxide thin film sputtering deposition. Upon minute changes in this fabrication parameter, three qualitatively different device characteristics were accessed in the same system, namely, standard bipolar resistive switching, electroforming-free devices, and devices with multi-step breakdown. We propose that small variations in the oxygen/ argon flow ratio result in relevant changes of the oxygen vacancy concentration, which is the key parameter determining the resistive switching behavior. The coexistence of percolative or non-percolative conductive filaments is also discussed. Finally, the hypothesis is verified by means of the temperature dependence of the devices in low resistance state.
Energy Technology Data Exchange (ETDEWEB)
Zuo, Chen; Zheng, Weifang, E-mail: wfazh@ciae.ac.cn; Yan, Taihong; He, Hui; Li, Gaoliang; Chang, Shangwen; Li, Chuanbo; Yuan, Zhongwei
2016-02-15
Graphical abstract: Previous (left) and present (right) device of oxidation, degassing, acidity adjustment of 1BP. - Highlights: • We designed an integrative device and process. • The utilization efficiency of N{sub 2}O{sub 4} is increased significantly. • Our work results in considerable simplification of the device. • Process parameters are determined by experiments. - Abstract: Device and process of oxidization, degassing, acidity adjustment of 1BP (The Pu production feed from U/Pu separation section) from APOR process (Advanced Purex Process based on Organic Reductants) were improved through rational design and experiments. The device was simplified and the process parameters, such as feed position and flow ratio, were determined by experiments. Based on this new device and process, the reductants N,N-dimethylhydroxylamine (DMHAN) and methylhydrazine (MMH) in 1BP solution could be oxidized with much less N{sub 2}O{sub 4} consumption.
Minnai, Chloé; Mirigliano, Matteo; Brown, Simon A.; Milani, Paolo
2018-03-01
We report the realization of a resettable resistive switching device based on a nanostructured film fabricated by supersonic cluster beam deposition of gold clusters on plain paper substrates. Through the application of suitable voltage ramps, we obtain, in the same device, either a complex pattern of resistive switchings, or reproducible and stable switchings between low resistance and high resistance states, with an amplitude up to five orders of magnitude. Our device retains a state of internal resistance following the history of the applied voltage similar to that reported for memristors. The two different switching regimes in the same device are both stable, the transition between them is reversible, and it can be controlled by applying voltage ramps or by mechanical deformation of the substrate. The device behavior can be related to the formation, growth and breaking of junctions between the loosely aggregated gold clusters forming the nanostructured films. The fact that our cluster-assembled device is mechanically resettable suggests that it can be considered as the analog of the coherer: a switching device based on metallic powders used for the first radio communication system.
Energy Technology Data Exchange (ETDEWEB)
Lin, Chun-Cheng [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Department of Mathematic and Physical Sciences, R.O.C. Air Force Academy, Kaohsiung 820, Taiwan (China); Tang, Jian-Fu; Su, Hsiu-Hsien [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Hong, Cheng-Shong; Huang, Chih-Yu [Department of Electronic Engineering, National Kaohsiung Normal University, Kaohsiung 802, Taiwan (China); Chu, Sheng-Yuan, E-mail: chusy@mail.ncku.edu.tw [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 701, Taiwan (China)
2016-06-28
The multi-step resistive switching (RS) behavior of a unipolar Pt/Li{sub 0.06}Zn{sub 0.94}O/Pt resistive random access memory (RRAM) device is investigated. It is found that the RRAM device exhibits normal, 2-, 3-, and 4-step RESET behaviors under different compliance currents. The transport mechanism within the device is investigated by means of current-voltage curves, in-situ transmission electron microscopy, and electrochemical impedance spectroscopy. It is shown that the ion transport mechanism is dominated by Ohmic behavior under low electric fields and the Poole-Frenkel emission effect (normal RS behavior) or Li{sup +} ion diffusion (2-, 3-, and 4-step RESET behaviors) under high electric fields.
Energy Technology Data Exchange (ETDEWEB)
Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr
2015-08-31
This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.
Nakajima, Ryo; Azuma, Atsushi; Yoshida, Hayato; Shimizu, Tomohiro; Ito, Takeshi; Shingubara, Shoso
2018-06-01
Resistive random access memory (ReRAM) devices with a HfO2 dielectric layer have been studied extensively owing to the good reproducibility of their SET/RESET switching properties. Furthermore, it was reported that a thin Hf layer next to a HfO2 layer stabilized switching properties because of the oxygen scavenging effect. In this work, we studied the Hf thickness dependence of the resistance switching characteristics of a Ti/Hf/HfO2/Au ReRAM device. It is found that the optimum Hf thickness is approximately 10 nm to obtain good reproducibility of SET/RESET voltages with a small RESET current. However, when the Hf thickness was very small (∼2 nm), the device failed after the first RESET process owing to the very large RESET current. In the case of a very thick Hf layer (∼20 nm), RESET did not occur owing to the formation of a leaky dielectric layer. We observed the occurrence of multiple resistance states in the RESET process of the device with a Hf thickness of 10 nm by increasing the RESET voltage stepwise.
Berco, Dan
2017-10-23
This study investigates a low degradation metal-ion conductive bridge RAM (CBRAM) structure. The structure is based on placing a diffusion blocking layer (DBL) between the device\\'s top electrode (TE) and the resistive switching layer (RSL), unlike conventional CBRAMs, where the TE serves as a supply reservoir for metallic species diffusing into the RSL to form a conductive filament (CF) and is kept in direct contact with the RSL. The properties of a conventional CBRAM structure (Cu/HfO2/TiN), having a Cu TE, 10 nm HfO2 RSL, and a TiN bottom electrode, are compared with a 2 nm TaN DBL incorporating structure (Cu/TaN/HfO2/TiN) for 103 programming and erase simulation cycles. The low and high resistive state values for each cycle are calculated and the analysis reveals that adding the DBL yields lower degradation. In addition, the 2D distribution plots of oxygen vacancies, O ions, and Cu species within the RSL indicate that oxidation occurring in the DBL-RSL interface results in the formation of a sub-stoichiometric tantalum oxynitride with higher blocking capabilities that suppresses further Cu insertion beyond an initial CF formation phase, as well as CF lateral widening during cycling. The higher endurance of the structure with DBL may thus be attributed to the relatively low amount of Cu migrating into the RSL during the initial CF formation. Furthermore, this isomorphic CF displays similar cycling behavior to neural ionic channels. The results of numerical analysis show a good match to experimental measurements of similar device structures as well
MemFlash device: floating gate transistors as memristive devices for neuromorphic computing
Riggert, C.; Ziegler, M.; Schroeder, D.; Krautschneider, W. H.; Kohlstedt, H.
2014-10-01
Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit.
MemFlash device: floating gate transistors as memristive devices for neuromorphic computing
International Nuclear Information System (INIS)
Riggert, C; Ziegler, M; Kohlstedt, H; Schroeder, D; Krautschneider, W H
2014-01-01
Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit. (paper)
Resistive switching in ZrO2 based metal-oxide-metal structures
International Nuclear Information System (INIS)
Kaerkkaenen, Irina
2014-01-01
The goal of this work is a deeper understanding of the influence of the (i) metal-oxide-metal (MOM) layer stacks configuration, (ii) the oxide films microstructure, (iii) and their defect structure on the appearance of different switching modes, i.e. unipolar (UP) and bipolar (BP). The first part deals with the fabrication of ZrO 2 thin films by an industrial compatible atomic layer deposition (ALD) process, the chemical, structural and morphological characterization of the films, the growth of ZrO 2 /TiO 2 bilayers, the integration of the layers into metal-oxide-metal (MOM) devices and the electrical characterization with focus on the RS behavior. In the second part the effect of the device structure, in particular the thickness of the electrochemical active electrode (EAE) and the ZrO 2 film morphology, on the RS switching polarity of Pt/ZrO 2 /(EAE) cells is discussed. ZrO 2 films and ZrO 2 /TiO 2 bilayers were grown by ALD and were carefully structurally and electrically characterized. The ZrO 2 films grown from Zr[N(CH 3 )C 2 H 5 ] 4 (TEMA-Zr) at 240 C were polycrystalline with a mixture of cubic/tetragonal phases. ALD/H 2 O-ZrO 2 films exhibited a random oriented polycrystalline structure, whereas the ALD/O 3 -ZrO 2 films consisted of preferably oriented cubic shaped grains. Pt/ZrO 2 /Ti/Pt structures with a Ti top electrode (TE) thickness of 5 to 20 nm showed unipolar type RS behavior, while by increasing the Ti TE thickness a gradual change of switching polarity from unipolar to bipolar with a completely bipolar type RS behavior for a Ti TE thickness of 40 nm is found. The switching in Pt/ZrO 2 /TiO 2 /Ti/Pt devices was unipolar, comparable to Pt/ZrO 2 /Ti/Pt cells. In contrast, bilayers with the reverse structure, Pt/TiO 2 /ZrO 2 /Ti/Pt, showed non-switching behavior. The effect of the cells stack structure on the polarity of the RS behavior was studied in detail for 20 nm thick ZrO 2 films grown by an ozone based ALD process and integrated into Pt/ZrO 2
Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices
International Nuclear Information System (INIS)
He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun
2015-01-01
We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)
Enhancement of oxidation resistance in Cu and Cu(Al) thin layers
Energy Technology Data Exchange (ETDEWEB)
Horvath, Z.E.; Peto, G. E-mail: peto@mfa.kfki.hu; Paszti, Z.; Zsoldos, E.; Szilagyi, E.; Battistig, G.; Lohner, T.; Molnar, G.L.; Gyulai, J
1999-01-02
High conductivity and good resistance to electromigration makes copper a promising interconnect material in microelectronics. However, one of its disadvantages is the poor corrosion resistance. Two methods of passivation are investigated and compared: Al alloying and BF{sub 2}{sup +} ion implantation. X-ray diffraction (XRD) and Rutherford Backscattering Spectrometry (RBS) show the oxidation inhibition of both methods, but the different ratio of CuO{sub 2} to CuO phases suggests different mechanisms of passivation. There are no definite oxide lines in the XRD spectrum of the implanted and annealed Cu(Al) sample, so the presence of Al and the implantation together give increased protection against oxidation. The difference between the two mechanisms of oxidation inhibition is discussed briefly.
Maziasz, Philip J.
2018-01-01
Austenitic stainless steels are cost-effective materials for high-temperature applications if they have the oxidation and creep resistance to withstand prolonged exposure at such conditions. Since 1990, Oak Ridge National Laboratory (ORNL) has developed advanced austenitic stainless steels with creep resistance comparable to Ni-based superalloy 617 at 800-900°C based on specially designed "engineered microstructures" utilizing a microstructure/composition database derived from about 20 years of radiation effect data on steels. The wrought high temperature-ultrafine precipitate strengthened (HT-UPS) steels with outstanding creep resistance at 700-800°C were developed for supercritical boiler and superheater tubing for fossil power plants in the early 1990s, the cast CF8C-Plus steels were developed in 1999-2001 for land-based gas turbine casing and diesel engine exhaust manifold and turbocharger applications at 700-900°C, and, in 2015-2017, new Al-modified cast stainless steels with oxidation and creep resistance capabilities up to 950-1000°C were developed for automotive exhaust manifold and turbocharger applications. This article reviews and summarizes their development and their properties and applications.
Resistive switching properties and physical mechanism of europium oxide thin films
Energy Technology Data Exchange (ETDEWEB)
Xie, Wei; Zou, Changwei [School of Physical Science and Technology, Lingnan Normal University, Zhanjiang (China); Bao, Dinghua [State Key Laboratory of Optoelectronic Materials and Technologies, School of Materials Science and Engineering, Sun Yat-Sen University, Guangzhou (China)
2017-09-15
A forming-free resistive switching effect was obtained in Pt/Eu{sub 2}O{sub 3}/Pt devices in which the Eu{sub 2}O{sub 3} thin films were fabricated by a chemical solution deposition method. The devices show unipolar resistive switching with excellent switching parameters, such as high resistance ratio (10{sup 7}), stable resistance values (read at 0.2 V), low reset voltage, good endurance, and long retention time (up to 10{sup 4} s). On the basis of the analysis of the current-voltage (I-V) curves and the resistance-temperature dependence, it can be concluded that the dominant conducting mechanisms were ohmic behavior and Schottky emission at low resistance state and high resistance state, respectively. The resistive switching behavior could be explained by the formation and rupture of conductive filament, which is related to the abundant oxygen vacancies generated in the deposition process. This work demonstrates the great potential opportunities of Eu{sub 2}O{sub 3} thin film in resistive switching memory applications, which might possess distinguished properties. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Shock waves in binary oxides memristors
Tesler, Federico; Tang, Shao; Dobrosavljević, Vladimir; Rozenberg, Marcelo
2017-09-01
Progress of silicon based technology is nearing its physical limit, as minimum feature size of components is reaching a mere 5 nm. The resistive switching behavior of transition metal oxides and the associated memristor device is emerging as a competitive technology for next generation electronics. Significant progress has already been made in the past decade and devices are beginning to hit the market; however, it has been mainly the result of empirical trial and error. Hence, gaining theoretical insight is of essence. In the present work we report a new connection between the resistive switching and shock wave formation, a classic topic of non-linear dynamics. We argue that the profile of oxygen ions that migrate during the commutation in insulating binary oxides may form a shock wave, which propagates through a poorly conductive region of the device. We validate the scenario by means of model simulations.
Influence of cerium, zirconium and boron on the oxidation resistance of heat-resistant steels in air
International Nuclear Information System (INIS)
Gala, A.; Schendler, W.
1981-01-01
Isothermal and cyclic oxidation experiments were carried out in air to investigate the influence of the minor elements such as Cerium, Zirkonium and Boron on the oxidation resistance of heat resistant ferritic and austenitic steels like X10Cr18, X10CrAl18 and X15CrNiSi2012. In the case of cyclicexperiments samples were exposed at constant temperatures for 100 h and then cooled to R.T. This cycle was repeated 10 times. The corrosion was determined as weight change and was continuously measured by a thermo-balance. The distribution of the alloying elements on the phase boundary scale/steel was examined by Scanning-Electron-Microscope. Addition of small amounts of Ce (0.3 wt-% max.) could reduce the oxidation rate in the case of isothermal and cyclic conditions. Zirkonium concentrations below 0.1 wt-% could have a beneficial effect, but at higher concentrations the oxidation rate increases with increasing amounts of Zr. Small Boron concentrations of 0.02 wt-% lead to catastrophic oxidation at temperatures above 1000 0 C. (orig.) [de
Engineering Silver Nanowire Networks: From Transparent Electrodes to Resistive Switching Devices.
Du, Haiwei; Wan, Tao; Qu, Bo; Cao, Fuyang; Lin, Qianru; Chen, Nan; Lin, Xi; Chu, Dewei
2017-06-21
Metal nanowires (NWs) networks with high conductance have shown potential applications in modern electronic components, especially the transparent electrodes over the past decade. In metal NW networks, the electrical connectivity of nanoscale NW junction can be modulated for various applications. In this work, silver nanowire (Ag NW) networks were selected to achieve the desired functions. The Ag NWs were first synthesized by a classic polyol process, and spin-coated on glass to fabricate transparent electrodes. The as-fabricated electrode showed a sheet resistance of 7.158 Ω □ -1 with an optical transmittance of 79.19% at 550 nm, indicating a comparable figure of merit (FOM, or Φ TC ) (13.55 × 10 -3 Ω -1 ). Then, two different post-treatments were designed to tune the Ag NWs for not only transparent electrode but also for threshold resistive switching (RS) application. On the one hand, the Ag NW film was mechanically pressed to significantly improve the conductance by reducing the junction resistance. On the other hand, an Ag@AgO x core-shell structure was deliberately designed by partial oxidation of Ag NWs through simple ultraviolet (UV)-ozone treatment. The Ag core can act as metallic interconnect and the insulating AgO x shell acts as a switching medium to provide a conductive pathway for Ag filament migration. By fabricating Ag/Ag@AgO x /Ag planar structure, a volatile threshold switching characteristic was observed and an on/off ratio of ∼100 was achieved. This work showed that through different post-treatments, Ag NW network can be engineered for diverse functions, transforming from transparent electrodes to RS devices.
Effect of electrode type in the resistive switching behaviour of TiO2 thin films
International Nuclear Information System (INIS)
Hernández-Rodríguez, E; Zapata-Torres, M; Márquez-Herrera, A; Zaleta-Alejandre, E; Meléndez-Lira, M; Cruz, W de la
2013-01-01
The influence of the electrode/active layer on the electric-field-induced resistance-switching phenomena of TiO 2 -based metal-oxide-metal devices (MOM) is studied. TiO 2 active layers were fabricated by the reactive rf-sputtering technique and devices were made by sandwiching between several metal electrodes. Three different MOM devices were made, according with the junction type formed between the electrode and the TiO 2 active layer, those where Ohmic-Ohmic, Ohmic-Schottky and Schottky-Schottky. The junction type was tested by electrical I-V measurements. It was found that MOM devices made with the Ohmic-Ohmic combination did not show any resistive switching behaviour in contrast with devices made with Ohmic-Schottky and Schottky-Schottky combinations. From a detailed analysis of the I-V curves it was found that transport characteristics are Ohmic for the low-resistance state for all the contacts combinations of the MOM devices, whereas in the high-resistance state it depends on contact combinations and can be identified as Ohmic, Schottky and Poole-Frenkel type. These conduction mechanisms in the low- and high-resistance states suggest that formation and rupture of conducting filaments through the film oxide is the mechanism responsible for the resistance switching.
Impacts of Co doping on ZnO transparent switching memory device characteristics
Energy Technology Data Exchange (ETDEWEB)
Simanjuntak, Firman Mangasa; Wei, Kung-Hwa [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Prasad, Om Kumar [Department of Electrical Engineering and Computer Science, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Panda, Debashis [Department of Electronics Engineering, National Institute of Science and Technology, Berhampur, Odisha 761008 (India); Lin, Chun-An; Tsai, Tsung-Ling; Tseng, Tseung-Yuen, E-mail: tseng@cc.nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)
2016-05-02
The resistive switching characteristics of indium tin oxide (ITO)/Zn{sub 1−x}Co{sub x}O/ITO transparent resistive memory devices were investigated. An appropriate amount of cobalt dopant in ZnO resistive layer demonstrated sufficient memory window and switching stability. In contrast, pure ZnO devices demonstrated a poor memory window, and using an excessive dopant concentration led to switching instability. To achieve suitable memory performance, relying only on controlling defect concentrations is insufficient; the grain growth orientation of the resistive layer must also be considered. Stable endurance with an ON/OFF ratio of more than one order of magnitude during 5000 cycles confirmed that the Co-doped ZnO device is a suitable candidate for resistive random access memory application. Additionally, fully transparent devices with a high transmittance of up to 90% at wavelength of 550 nm have been fabricated.
International Nuclear Information System (INIS)
Kim, Kyung Min; Hwang, Cheol Seong; Jeong, Doo Seok
2011-01-01
This review article summarized the recent understanding of resistance switching (RS) behavior in several binary oxide thin film systems. Among the various RS materials and mechanisms, TiO 2 and NiO thin films in unipolar thermo-chemical switching mode are primarily dealt with. To facilitate the discussions, the RS was divided into three parts; electroforming, set and reset steps. After short discussions on the electrochemistry of 'electrolytic' oxide materials, the general and peculiar aspects of these RS systems and mechanism are elaborated. Although the RS behaviors and characteristics of these materials are primarily dependent on the repeated formation and rupture of the conducting filaments (CFs) at the nanoscale at a localized position, this mechanism appears to offer a basis for the understanding of other RS mechanisms which were originally considered to be irrelevant to the localized events. The electroforming and set switching phenomena were understood as the process of CF formation and rejuvenation, respectively, which are mainly driven by the thermally assisted electromigration and percolation (or even local phase transition) of defects, while the reset process was understood as the process of CF rupture where the thermal energy plays a more crucial role. This review also contains several remarks on the outlook of these resistance change devices as a semiconductor memory. (topical review)
Improvement of SET variability in TaO x based resistive RAM devices
Schönhals, Alexander; Waser, Rainer; Wouters, Dirk J.
2017-11-01
Improvement or at least control of variability is one of the key challenges for Redox based resistive switching memory technology. In this paper, we investigate the impact of a serial resistor as a voltage divider on the SET variability in Pt/Ta2O5/Ta/Pt nano crossbar devices. A partial RESET in a competing complementary switching (CS) mode is identified as a possible failure mechanism of bipolar switching SET in our devices. Due to a voltage divider effect, serial resistance value shows unequal impact on switching voltages of both modes which allows for a selective suppression of the CS mode. The impact of voltage divider on SET variability is demonstrated. A combination of appropriate write voltage and serial resistance allows for a significant improvement of the SET variability.
Tank vent processing system having a corrosion preventive device
International Nuclear Information System (INIS)
Ouchi, Shoichi; Sato, Hirofumi
1987-01-01
Purpose: To prevent corrosion of a tank vent processing device by injecting an oxygen gas. Constitution: Oxygen gas and phosphorous at high temperature are poured into a tank vent processing device and amorphous oxide layers optimum to the prevention of external corrosion are formed to the inner surface of the device. Since the corrosion preventive device using the oxygen gas injection can be constituted as a relatively simple device, it is more economical than constituting a relatively large tank vent processing device with corrosion resistant stainless steels. (Kamimura, M.)
A novel multiple super junction power device structure with low specific on-resistance
International Nuclear Information System (INIS)
Zhu Hui; Li Haiou; Li Qi; Huang Yuanhao; Xu Xiaoning; Zhao Hailiang
2014-01-01
A novel multiple super junction (MSJ) LDMOS power device is proposed to decrease R on due to lateral and vertical interactions between the N-pillar and P-pillar. In the studied device: multiple layers of SJ are introduced oppositely under surface SJ; when compared with 2D-depleting of the conventional super junction (CSJ), a 3D-depleted effect is formed in the MSJ thanks to vertical electric field modulation; and, current distribution is improved by deep drain, which increases the drift doping concentration and results in a lower on-resistance. The high electric field around the drain region by substrate-assisted depleted effect is reduced due to the charge balance result from the electric field shielding effect of the bottom SJ, which causes the uniform electric field in the drift region and the high breakdown voltage. The numerical simulation results indicate that the specific on-resistance of the MSJ device is reduced by 42% compared with that of CSJ device, while maintaining a high breakdown voltage; the cell pitch of the device is 12 μm. (semiconductor devices)
Improvement of resistive switching characteristics in ZrO2 film by embedding a thin TiOx layer
International Nuclear Information System (INIS)
Li Yingtao; Long Shibing; Lv Hangbing; Liu Qi; Wang Yan; Zhang Sen; Lian Wentai; Wang Ming; Zhang Kangwei; Xie Hongwei; Liu Ming; Liu Su
2011-01-01
The stabilization of the resistive switching characteristics is important to resistive random access memory (RRAM) device development. In this paper, an alternative approach for improving resistive switching characteristics in ZrO 2 -based resistive memory devices has been investigated. Compared with the Cu/ZrO 2 /Pt structure device, by embedding a thin TiO x layer between the ZrO 2 and the Cu top electrode, the Cu/TiO x -ZrO 2 /Pt structure device exhibits much better resistive switching characteristics. The improvement of the resistive switching characteristics in the Cu/TiO x -ZrO 2 /Pt structure device might be attributed to the modulation of the barrier height at the electrode/oxide interfaces.
State-of-the-art technologies of gallium oxide power devices
Higashiwaki, Masataka; Kuramata, Akito; Murakami, Hisashi; Kumagai, Yoshinao
2017-08-01
Gallium oxide (Ga2 O3 ) has gained increased attention for power devices due to its superior material properties and the availability of economical device-quality native substrates. This review illustrates recent advances in Ga2 O3 device technologies, beginning with an overview of the social circumstances that motivate the development of new-generation switching devices. Following an introduction to the material properties of Ga2 O3 from the viewpoint of power electronics, growth technologies of Ga2 O3 bulk single crystals and epitaxial thin films are discussed. The fabrication and performance of state-of-the-art Ga2 O3 transistors and diodes are then described. We conclude by identifying the directions and challenges of Ga2 O3 power device development in the near future.
Crack resistance of tungsten strengthened by dispersed refractory oxides
International Nuclear Information System (INIS)
Babak, A.V.; Uskov, E.I.
1984-01-01
Investigation results are presented for crack resistance of commercial tungsten, obtained during specimen testing at temperatures of 20 deg C to Tsub(cr) (upper boundary of temperature range of ductile-brittle transition). Comparison of s-n diagrams and temperature dependences of crack resistance are conducted for commercial tungsten and tungsten strengthened by refractory oxides. It is shown that dispersion hardening increases crack resistance in the temperature range of 20 to 2000 deg C but the upper boundary of ductile-brittle shifts to the side of higher temperatures
International Nuclear Information System (INIS)
Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee
2009-01-01
The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.
Jeong, Chanyoung; Lee, Junghoon; Sheppard, Keith; Choi, Chang-Hwan
2015-10-13
Nanoporous anodic aluminum oxide layers were fabricated on aluminum substrates with systematically varied pore diameters (20-80 nm) and oxide thicknesses (150-500 nm) by controlling the anodizing voltage and time and subsequent pore-widening process conditions. The porous nanostructures were then coated with a thin (only a couple of nanometers thick) Teflon film to make the surface hydrophobic and trap air in the pores. The corrosion resistance of the aluminum substrate was evaluated by a potentiodynamic polarization measurement in 3.5 wt % NaCl solution (saltwater). Results showed that the hydrophobic nanoporous anodic aluminum oxide layer significantly enhanced the corrosion resistance of the aluminum substrate compared to a hydrophilic oxide layer of the same nanostructures, to bare (nonanodized) aluminum with only a natural oxide layer on top, and to the latter coated with a thin Teflon film. The hydrophobic nanoporous anodic aluminum oxide layer with the largest pore diameter and the thickest oxide layer (i.e., the maximized air fraction) resulted in the best corrosion resistance with a corrosion inhibition efficiency of up to 99% for up to 7 days. The results demonstrate that the air impregnating the hydrophobic nanopores can effectively inhibit the penetration of corrosive media into the pores, leading to a significant improvement in corrosion resistance.
Weatherability and Leach Resistance of Wood Impregnated with Nano-Zinc Oxide
Directory of Open Access Journals (Sweden)
Nami Kartal S
2010-01-01
Full Text Available Abstract Southern pine specimens vacuum-treated with nano-zinc oxide (nano-ZnO dispersions were evaluated for leach resistance and UV protection. Virtually, no leaching occurred in any of the nano-ZnO–treated specimens in a laboratory leach test, even at the highest retention of 13 kg/m3. However, specimens treated with high concentrations of nano-ZnO showed 58–65% chemical depletion after 12 months of outdoor exposure. Protection from UV damage after 12 months exposure is visibly obvious on both exposed and unexposed surfaces compared to untreated controls. Graying was markedly diminished, although checking occurred in all specimens. Nano-zinc oxide treatment at a concentration of 2.5% or greater provided substantial resistance to water absorption following 12 months of outdoor exposure compared to untreated and unweathered southern pine. We conclude that nano-zinc oxide can be utilized in new wood preservative formulations to impart resistance to leaching, water absorption and UV damage of wood.
A numerical simulation model of valence-change-based resistive switching
Marchewka, Astrid
2017-01-01
Due to their superior scalability and performance, nanoscale resistive switches based on the valence-change mechanism are considered promising candidates for future nonvolatile memory and logic applications. These devices are metal-oxide-metal structures that can be reversibly switched between different resistance states by electrical signals. Typically, they contain one Schottky-like and one ohmic-like metal-oxide contact and exhibit bipolar switching. The switching mechanism and the initial...
Synthesis of Oxidation-Resistant Cupronickel Nanowires for Transparent Conducting Nanowire Networks
Energy Technology Data Exchange (ETDEWEB)
Rathmall, Aaron [Duke University; Nguyen, Minh [Duke University; Wiley, Benjamin J [Duke University
2012-01-01
Nanowires of copper can be coated from liquids to create flexible, transparent conducting films that can potentially replace the dominant transparent conductor, indium tin oxide, in displays, solar cells, organic light-emitting diodes, and electrochromic windows. One issue with these nanowire films is that copper is prone to oxidation. It was hypothesized that the resistance to oxidation could be improved by coating copper nanowires with nickel. This work demonstrates a method for synthesizing copper nanowires with nickel shells as well as the properties of cupronickel nanowires in transparent conducting films. Time- and temperature-dependent sheet resistance measurements indicate that the sheet resistance of copper and silver nanowire films will double after 3 and 36 months at room temperature, respectively. In contrast, the sheet resistance of cupronickel nanowires containing 20 mol % nickel will double in about 400 years. Coating copper nanowires to a ratio of 2:1 Cu:Ni gave them a neutral gray color, making them more suitable for use in displays and electrochromic windows. These properties, and the fact that copper and nickel are 1000 times more abundant than indium or silver, make cupronickel nanowires a promising alternative for the sustainable, efficient production of transparent conductors.
International Nuclear Information System (INIS)
Chen, Po-Hsun; Chang, Ting-Chang; Chang, Kuan-Chang; Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui; Zheng, Hao-Xuan; Chen, Min-Chen; Sze, Simon M.
2017-01-01
In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O_2 inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O_2 plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O_2 plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O_2 plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O_2 plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O_2 plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.
Energy Technology Data Exchange (ETDEWEB)
Chen, Po-Hsun [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Chang, Kuan-Chang, E-mail: kcchang@pkusz.edu.cn [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); School of Electronic and Computer Engineering, Peking University, Shenzhen 518055 (China); Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Zheng, Hao-Xuan; Chen, Min-Chen [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Sze, Simon M. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 300, Taiwan, ROC (China)
2017-08-31
In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O{sub 2} inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O{sub 2} plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O{sub 2} plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O{sub 2} plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O{sub 2} plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O{sub 2} plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.
International Nuclear Information System (INIS)
Liu Zi-Yu; Zhang Pei-Jian; Meng Yang; Li Dong; Meng Qing-Yu; Li Jian-Qi; Zhao Hong-Wu
2012-01-01
The I—V characteristics of In 2 O 3 :SnO 2 /TiO 2 /In 2 O 3 :SnO 2 junctions with different interfacial barriers are investigated by comparing experiments. A two-step resistance switching process is found for samples with two interfacial barriers produced by specific thermal treatment on the interfaces. The nonsynchronous occurrence of conducting filament formation through the oxide bulk and the reduction in the interfacial barrier due to the migration of oxygen vacancies under the electric field is supposed to explain the two-step resistive switching process. The unique switching properties of the device, based on interfacial barrier engineering, could be exploited for novel applications in nonvolatile memory devices. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Tsurumaki-Fukuchi, Atsushi; Nakagawa, Ryosuke; Arita, Masashi; Takahashi, Yasuo
2018-02-14
We demonstrate that the inclusion of a Ta interfacial layer is a remarkably effective strategy for forming interfacial oxygen defects at metal/oxide junctions. The insertion of an interfacial layer of a reactive metal, that is, a "scavenging" layer, has been recently proposed as a way to create a high concentration of oxygen defects at an interface in redox-based resistive switching devices, and growing interest has been given to the underlying mechanism. Through structural and chemical analyses of Pt/metal/SrTiO 3 /Pt structures, we reveal that the rate and amount of oxygen scavenging are not directly determined by the formation free energies in the oxidation reactions of the scavenging metal and unveil the important roles of oxygen diffusibility. Active oxygen scavenging and highly uniform oxidation via scavenging are revealed for a Ta interfacial layer with high oxygen diffusibility. In addition, the Ta scavenging layer is shown to exhibit a highly uniform structure and to form a very flat interface with SrTiO 3 , which are advantageous for the fabrication of a steep metal/oxide contact.
H Çakır-Atabek; F Özdemir; R Çolak
2015-01-01
The relationship between oxidative stress and some exercise components of resistance exercise (e.g. intensity, exercise volume) has not been clearly defined. Additionally, the oxidative stress markers may respond differently in various conditions. This study aims to determine the effects of progressive intensity of resistance exercise (RE) on oxidative stress and antioxidants in trained and untrained men, and also to investigate the possible threshold intensity required to evoke oxidative str...
Sex differences in oxidative stress resistance in relation to longevity in Drosophila melanogaster.
Niveditha, S; Deepashree, S; Ramesh, S R; Shivanandappa, T
2017-10-01
Gender differences in lifespan and aging are known across species. Sex differences in longevity within a species can be useful to understand sex-specific aging. Drosophila melanogaster is a good model to study the problem of sex differences in longevity since females are longer lived than males. There is evidence that stress resistance influences longevity. The objective of this study was to investigate if there is a relationship between sex differences in longevity and oxidative stress resistance in D. melanogaster. We observed a progressive age-dependent decrease in the activity of SOD and catalase, major antioxidant enzymes involved in defense mechanisms against oxidative stress in parallel to the increased ROS levels over time. Longer-lived females showed lower ROS levels and higher antioxidant enzymes than males as a function of age. Using ethanol as a stressor, we have shown differential susceptibility of the sexes to ethanol wherein females exhibited higher resistance to ethanol-induced mortality and locomotor behavior compared to males. Our results show strong correlation between sex differences in oxidative stress resistance, antioxidant defenses and longevity. The study suggests that higher antioxidant defenses in females may confer resistance to oxidative stress, which could be a factor that influences sex-specific aging in D. melanogaster.
International Nuclear Information System (INIS)
Zhao, Shizhe; Zhou, Hong; Zhou, Ti; Zhang, Zhihui; Lin, Pengyu; Ren, Luquan
2013-01-01
Highlights: ► Using lanthanum and lanthanum oxide (La 2 O 3 ) can improve oxidation resistance of magnesium alloy. ► La 2 O 3 is as effective as La in affecting both alloy microstructure and oxidation resistance. ► The optimum La concentration in alloy is ∼0.7 wt.%. ► We analyzed the oxidation kinetics of AZ31 alloy with both additions. - Abstract: We investigate the oxidation resistance of AZ31 magnesium alloy with additions of La and La oxide (La 2 O 3 ). The contributor is the practical La content in alloy. Both La and La 2 O 3 are effective in improving the oxidation resistance of Mg alloys. The samples with La content of ∼ 0.7 wt.% possess the best resistance to oxidation of all. Oxide scale, ignition temperature and oxidation kinetics are analyzed. However, higher La content is detrimental to the oxidation resistance.
Vaseem, Mohammad
2018-01-30
The field of printed electronics is still in its infancy and most of the reported work is based on commercially available nanoparticle-based metallic inks. Although fully printed devices that employ dielectric/semiconductor inks have recently been reported, there is a dearth of functional inks that can demonstrate controllable devices. The lack of availability of functional inks is a barrier to the widespread use of fully printed devices. For radio-frequency electronics, magnetic materials have many uses in reconfigurable components but rely on expensive and rigid ferrite materials. A suitable magnetic ink can facilitate the realization of fully printed, magnetically controlled, tunable devices. This report presents the development of an iron oxide nanoparticle-based magnetic ink. First, a tunable inductor is fully printed using iron oxide nanoparticle-based magnetic ink. Furthermore, iron oxide nanoparticles are functionalized with oleic acid to make them compatible with a UV-curable SU8 solution. Functionalized iron oxide nanoparticles are successfully embedded in the SU8 matrix to make a magnetic substrate. The as-fabricated substrate is characterized for its magnetostatic and microwave properties. A frequency tunable printed patch antenna is demonstrated using the magnetic and in-house silver-organo-complex inks. This is a step toward low-cost, fully printed, controllable electronic components.
Study of film graphene/graphene oxide obtained by partial reduction chemical of oxide graphite
International Nuclear Information System (INIS)
Gascho, J.L.S.; Costa, S.F.; Hoepfner, J.C.; Pezzin, S.H.
2014-01-01
This study investigated the morphology of graphene/graphene oxide film obtained by partial chemical reduction of graphite oxide (OG) as well as its resistance to solvents. Films of graphene/graphene oxide are great candidates for replacement of indium oxide doped with tin (ITO) in photoelectric devices. The OG was obtained from natural graphite, by Hummer's method modified, and its reduction is made by using sodium borohydride. Infrared spectroscopy analysis of Fourier transform (FTIR), Xray diffraction (XRD) and scanning electron microscopy, high-resolution (SEM/FEG) for the characterization of graphene/graphene oxide film obtained were performed. This film proved to be resilient, not dispersing in any of the various tested solvents (such as ethanol, acetone and THF), even under tip sonication, this resistance being an important property for the applications. Furthermore, the film had a morphology similar to that obtained by other preparation methods.(author)
Effect of ternary additions on the oxidation resistance of Ti5Si3
International Nuclear Information System (INIS)
Thom, A.J.; Akinc, M.; Iowa State Univ., Ames, IA
1995-01-01
Refractory intermetallic silicides are receiving increasing consideration for use as high temperature structural materials. Ti 5 Si 3 -based compositions are attractive due to their ability to incorporate a variety of interstitial ternary additions. These ternary additions present a unique opportunity to potentially tailor physical properties. Previous experimental work has shown that these additions significantly increase the otherwise poor oxidation resistance of undoped Ti 5 Si 3 above 700 C. Recent experimental work by the authors on the oxidation of small atom doped Ti 5 Si 3 is discussed. Interstitial additions of boron, carbon, and oxygen substantially improve the isothermal oxidation resistance of Ti 5 Si 3 at 1,000 C. In contrast, added nitrogen does not provide significant improvement. Even up to 1,306 C, interstitial oxygen imparts excellent oxidation resistance with a mass gain of 1.1 mg/cm 2 after 240 hours
High hardness and superlative oxidation resistance in a pseudo-icosahehdral Cr-Al binary
Simonson, J. W.; Rosa, R.; Antonacci, A. K.; He, H.; Bender, A. D.; Pabla, J.; Adrip, W.; McNally, D. E.; Zebro, A.; Kamenov, P.; Geschwind, G.; Ghose, S.; Dooryhee, E.; Ibrahim, A.; Aronson, M. C.
Improving the efficiency of fossil fuel plants is a practical option for decreasing carbon dioxide emissions from electrical power generation. Present limits on the operating temperatures of exposed steel components, however, restrict steam temperatures and therefore energy efficiency. Even as a new generation of creep-resistant, high strength steels retain long term structural stability to temperatures as high as ~ 973 K, the low Cr-content of these alloys hinders their oxidation resistance, necessitating the development of new corrosion resistant coatings. We report here the nearly ideal properties of potential coating material Cr55Al229, which exhibits high hardness at room temperature as well as low thermal conductivity and superlative oxidation resistance at 973 K, with an oxidation rate at least three times smaller than those of benchmark materials. These properties originate from a pseudo-icosahedral crystal structure, suggesting new criteria for future research.
Force and power characteristics of a resistive exercise device for use in space
Berg, Hans E.; Tesch, Per A.
We have developed a non-gravity dependent mechanical device, which provides resistance during coupled concentric and eccentric muscle actions, through the inertia of a spinning fly-wheel (Fly-Wheel Ergometry; FWE). Our research shows that lower-limb FWE exercise can produce forces and thus muscular stress comparable to what is typical of advanced resistance training using free weights. FWE also offers greater training stimuli during eccentric relative to concentric muscle actions, as evidenced by force and electromyographic (EMG) measurements. Muscle use of specific muscle groups, as assessed by the exercise-induced contrast shift of magnetic resonance images, is similar during lower-limb FWE and the barbell squat. Unlike free-weight exercise, FWE allows for maximal voluntary effort in each repetition of an exercise bout. Likewise, FWE exercise, not unassisted free-weight exercise, produces eccentric "overload". Collectively, the inherent features of this resistive exercise device and the results of the physiological evaluations we have performed, suggest that resistance exercise using FWE could be used as an effective exercise counter-measure in space. The flywheel principle can be employed to any exercise configuration and designed into a compact device allowing for exercises stressing those muscles and bone structures, which are thought to be most affected by long-duration spaceflight.
Low-Cost Repairable Oxidation Resistant Coatings for Carbon-Carbon Composites via CCVD
National Research Council Canada - National Science Library
Hendrick, Michelle
2000-01-01
...) thin film process to yield oxidation resistant coatings on carbon-carbon (C-C) composites. Work was on simple coatings at this preliminary stage of investigation, including silicon dioxide, platinum and aluminum oxide...
Electrochromic Devices Based on Porous Tungsten Oxide Thin Films
Directory of Open Access Journals (Sweden)
Y. Djaoued
2012-01-01
Full Text Available Recent developments in the synthesis of transition metal oxides in the form of porous thin films have opened up opportunities in the construction of electrochromic devices with enhanced properties. In this paper, synthesis, characterization and electrochromic applications of porous WO3 thin films with different nanocrystalline phases, such as hexagonal, monoclinic, and orthorhombic, are presented. Asymmetric electrochromic devices have been constructed based on these porous WO3 thin films. XRD measurements of the intercalation/deintercalation of Li+ into/from the WO3 layer of the device as a function of applied coloration/bleaching voltages show systematic changes in the lattice parameters associated with structural phase transitions in LixWO3. Micro-Raman studies show systematic crystalline phase changes in the spectra of WO3 layers during Li+ ion intercalation and deintercalation, which agree with the XRD data. These devices exhibit interesting optical modulation (up to ~70% due to intercalation/deintercalation of Li ions into/from the WO3 layer of the devices as a function of applied coloration/bleaching voltages. The obtained optical modulation of the electrochromic devices indicates that, they are suitable for applications in electrochromic smart windows.
International Nuclear Information System (INIS)
Mehonic, Adnan; Buckwell, Mark; Montesi, Luca; Garnett, Leon; Hudziak, Stephen; Kenyon, Anthony J.; Fearn, Sarah; Chater, Richard; McPhail, David
2015-01-01
We present an investigation of structural changes in silicon-rich silicon oxide metal-insulator-metal resistive RAM devices. The observed unipolar switching, which is intrinsic to the bulk oxide material and does not involve movement of metal ions, correlates with changes in the structure of the oxide. We use atomic force microscopy, conductive atomic force microscopy, x-ray photoelectron spectroscopy, and secondary ion mass spectroscopy to examine the structural changes occurring as a result of switching. We confirm that protrusions formed at the surface of samples during switching are bubbles, which are likely to be related to the outdiffusion of oxygen. This supports existing models for valence-change based resistive switching in oxides. In addition, we describe parallel linear and nonlinear conduction pathways and suggest that the conductance quantum, G 0 , is a natural boundary between the high and low resistance states of our devices
Mehonic, Adnan; Buckwell, Mark; Montesi, Luca; Garnett, Leon; Hudziak, Stephen; Fearn, Sarah; Chater, Richard; McPhail, David; Kenyon, Anthony J.
2015-03-01
We present an investigation of structural changes in silicon-rich silicon oxide metal-insulator-metal resistive RAM devices. The observed unipolar switching, which is intrinsic to the bulk oxide material and does not involve movement of metal ions, correlates with changes in the structure of the oxide. We use atomic force microscopy, conductive atomic force microscopy, x-ray photoelectron spectroscopy, and secondary ion mass spectroscopy to examine the structural changes occurring as a result of switching. We confirm that protrusions formed at the surface of samples during switching are bubbles, which are likely to be related to the outdiffusion of oxygen. This supports existing models for valence-change based resistive switching in oxides. In addition, we describe parallel linear and nonlinear conduction pathways and suggest that the conductance quantum, G0, is a natural boundary between the high and low resistance states of our devices.
Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials
Energy Technology Data Exchange (ETDEWEB)
Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)
2015-01-15
In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.
DEFF Research Database (Denmark)
Talic, Belma; Falk-Windisch, Hannes; Venkatachalam, Vinothini
2017-01-01
•Protective action of dense and porous spinel coatings on Crofer 22 APU was compared. •Reduction and re-oxidation produces denser coatings than heat treating in air only. •Coating density has minor influence on oxidation resistance at 800 °C in air. •Dense coating resulted in three times lower Cr...... evaporation rate than porous coating....
International Nuclear Information System (INIS)
Yang, Ya-Chu; Tsau, Chun-Huei; Yeh, Jien-Wei
2011-01-01
We show the electrical resistivity of a TiFeCoNi oxide thin film. The electrical resistivity of the TiFeCoNi thin film decreased sharply after a suitable period of oxidation at high temperature. The lowest resistivity of the TiFeCoNi oxide film was 35 ± 3 μΩ-cm. The low electrical resistivity of the TiFeCoNi oxide thin film was attributed to Ti, which is more reactive than the other elements, reacting with oxygen at the initial stage of annealing. The low resistivity is caused by the remaining electrons.
International Nuclear Information System (INIS)
Yan Jingbo; Gao Yimin; Shen Yudi; Yang Fang; Yi Dawei; Ye Zhaozhong; Liang Long; Du Yingqian
2011-01-01
Highlights: → AE experiment shows yttrium has a beneficial effect on the pre-oxidized HP40 alloy. → Yttrium facilitates the formation of internal oxide after 10 h of oxidation. → Internal oxide changes the rupture behaviour of the oxide scale. → Twins form in the internal oxide and improve the binding strength of the scale. - Abstract: This paper investigates the effect of the rare earth element yttrium on the rupture behaviour of the oxide scale on the silicon-containing heat-resistant alloy during cooling. After 10 h of oxidation, yttrium is found to facilitate the formation of internal oxides (silica) at the scale-matrix interface. Due to the twinning observed by scanning transmission electron microscopy (STEM) in silica, the critical strain value for the scale failure can be dramatically improved, and the formation of cracks at the scale-matrix interface is inhibited.
Role of Y in the oxidation resistance of CrAlYN coatings
Energy Technology Data Exchange (ETDEWEB)
Domínguez-Meister, S.; El Mrabet, S. [Instituto de Ciencia de Materiales de Sevilla (CSIC-Univ. Sevilla), Avda., Américo Vespucio 49, Sevilla 41092 (Spain); Escobar-Galindo, R. [Instituto de Ciencia de Materiales de Madrid (ICMM-CSIC), Sor Juana Inés de la Cruz 3, Cantoblanco 28049 (Spain); Mariscal, A.; Jiménez de Haro, M.C.; Justo, A. [Instituto de Ciencia de Materiales de Sevilla (CSIC-Univ. Sevilla), Avda., Américo Vespucio 49, Sevilla 41092 (Spain); Brizuela, M. [TECNALIA, Mikeletegui Pasealekua, 2, Donostia-San Sebastián 20009 (Spain); Rojas, T.C. [Instituto de Ciencia de Materiales de Sevilla (CSIC-Univ. Sevilla), Avda., Américo Vespucio 49, Sevilla 41092 (Spain); Sánchez-López, J.C., E-mail: jcslopez@icmse.csic.es [Instituto de Ciencia de Materiales de Sevilla (CSIC-Univ. Sevilla), Avda., Américo Vespucio 49, Sevilla 41092 (Spain)
2015-10-30
Highlights: • The oxidation behavior of CrAlYN films (Al < 10 at.%) depends on the Al/Y distribution. • ∼4 at.% Y enhances the oxidation resistance up to 1000 °C of CrAlYN-coated M2 steels. • Controlled inward oxygen diffusion affects positively the film oxidation resistance. • Mixed Al–Y oxides appear to block the diffusion of elements from the substrate. • Yttrium modifies the passivation layer composition by increasing the Al/Cr ratio. - Abstract: CrAlYN coatings with different aluminum (4–12 at.%) and yttrium (2–5 at.%) contents are deposited by d.c. reactive magnetron sputtering on silicon and M2 steel substrates using metallic targets and Ar/N{sub 2} mixtures. The influence of the nanostructure and chemical elemental distribution on the oxidation resistance after heating in air at 1000 °C is studied by means of cross-sectional scanning electron microscopy (X-SEM), energy dispersive X-ray analysis (EDX), X-ray diffraction (XRD) and glow discharge optical emission spectroscopy (GD-OES). The sequential exposure to the metallic targets during the synthesis leads to a multilayer structure where concentration of metallic elements (Cr, Al and Y) is changing periodically. A good oxidation resistance is observed when Al- and Y-rich regions are separated by well-defined CrN layers, maintaining crystalline coherence along the columnar structure. This protective behavior is independent of the type of substrate and corresponds to the formation of a thin mixed (Al, Cr)-oxide scale that protects the film underneath. The GD-OES and XRD analysis have demonstrated that Y acts as a reactive element, blocking the Fe and C atoms diffusion from the steel and favoring higher Al/Cr ratio in the passivation layer after heating. The coating with Y content around 4 at.% exhibited the best performance with a thinner oxide scale, a delay in the CrN decomposition and transformation to Cr{sub 2}N, and a more effective Fe and C blocking.
Peng, H.Y.
2013-11-13
We report that electrode engineering, particularly tailoring the metal work function, measurement configuration and geometric shape, has significant effects on the bipolar resistive switching (RS) in lateral memory devices based on self-doped SrTiO3 (STO) single crystals. Metals with different work functions (Ti and Pt) and their combinations are used to control the junction transport (either ohmic or Schottky-like). We find that the electric bias is effective in manipulating the concentration of oxygen vacancies at the metal/STO interface, influencing the RS characteristics. Furthermore, we show that the geometric shapes of electrodes (e.g., rectangular, circular, or triangular) affect the electric field distribution at the metal/oxide interface, thus plays an important role in RS. These systematic results suggest that electrode engineering should be deemed as a powerful approach toward controlling and improving the characteristics of RS memories. 2013 Author(s).
Flannery, Erika L.; Wang, Linda; Zöllner, Sebastian; Foxman, Betsy; Mobley, Harry L. T.; Mody, Lona
2011-01-01
Cocolonization with methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant enterococci (VRE) is a precursor to vancomycin-resistant S. aureus emergence. MRSA/VRE cocolonization incidence is higher among skilled nursing facility residents with functional disability and indwelling devices and occurs more frequently in wounds than other anatomical sites.
Effect of Myricetin, Pyrogallol, and Phloroglucinol on Yeast Resistance to Oxidative Stress
Directory of Open Access Journals (Sweden)
Vanda Mendes
2015-01-01
Full Text Available The health beneficial effects of dietary polyphenols have been attributed to their intrinsic antioxidant activity, which depends on the structure of the compound and number of hydroxyl groups. In this study, the protective effects of pyrogallol, phloroglucinol, and myricetin on the yeast Saccharomyces cerevisiae were investigated. Pyrogallol and myricetin, which have a pyrogallol structure in the B ring, increased H2O2 resistance associated with a reduction in intracellular oxidation and protein carbonylation, whereas phloroglucinol did not exert protective effects. The acquisition of oxidative stress resistance in cells pretreated with pyrogallol and myricetin was not associated with an induction of endogenous antioxidant defences as assessed by the analysis of superoxide dismutase and catalase activities. However, myricetin, which provided greater stress resistance, prevented H2O2-induced glutathione oxidation. Moreover, myricetin increased the chronological lifespan of yeast lacking the mitochondrial superoxide dismutase (Sod2p, which exhibited a premature aging phenotype and oxidative stress sensitivity. These findings show that the presence of hydroxyl groups in the ortho position of the B ring in pyrogallol and myricetin contributes to the antioxidant protection afforded by these compounds. In addition, myricetin may alleviate aging-induced oxidative stress, particularly when redox homeostasis is compromised due to downregulation of endogenous defences present in mitochondria.
Omar, Rejaiba; Mohamed, Ben Amar; Adel, Matoussi
2015-04-01
This paper investigates the electrical behavior of the Al/SiO2/Si MOS structure. We have used the complex admittance method to develop an analytical model of total capacitance applied to our proposed equivalent circuit. The charge density, surface potential, semiconductor capacitance, flatband and threshold voltages have been determined by resolving the Poisson transport equations. This modeling is used to predict in particular the effects of frequency, parallel and series resistance on the capacitance-voltage characteristic. Results show that the variation of both frequency and parallel resistance causes strong dispersion of the C-V curves in the inversion regime. It also reveals that the series resistance influences the shape of C-V curves essentially in accumulation and inversion modes. A significant decrease of the accumulation capacitance is observed when R s increases in the range 200-50000 Ω. The degradation of the C-V magnitude is found to be more pronounced when the series resistance depends on the substrate doping density. When R s varies in the range 100 Ω-50 kΩ, it shows a decrease in the flatband voltage from -1.40 to -1.26 V and an increase in the threshold voltage negatively from -0.28 to -0.74 V, respectively. Good agreement has been observed between simulated and measured C-V curves obtained at high frequency. This study is necessary to control the adverse effects that disrupt the operation of the MOS structure in different regimes and optimizes the efficiency of such electronic device before manufacturing.
Oxidation resistant chromium coating on Zircaloy-4 for accident tolerant fuel cladding
International Nuclear Information System (INIS)
Park, Jung-Hwan; Kim, Eui-Jung; Jung, Yang-Il; Park, Dong-Jun; Kim, Hyun-Gil; Park, Jeong-Yong; Koo, Yang-Hyun
2015-01-01
The attributes of such a fuel are approved reaction kinetics with steam, a slower hydrogen generation rate, and good cladding thermo-mechanical properties. Many researchers have tried to modify zirconium alloys to improve their oxidation resistance in the early stages of the ATF development. Corrosion resistant coating on cladding is one of the candidate technologies to improve the oxidation resistance of zirconium cladding. By applying coating technology to zirconium cladding, it is easy to obtain corrosion resistance without a change in the base materials. Among the surface coating methods, arc ion plating (AIP) is a coating technology to improve the adhesion owing to good throwing power, and a dense deposit (Fig. 1). Owing to these advantages, AIP has been widely used to efficiently form protective coatings on cutting tools, dies, bearings, etc. In this study, The AIP technique for the protection of zirconium claddings from the oxidation in a high-temperature steam environment was studied. The homogeneous Cr film with a high adhesive ability to the cladding was deposited by AIP and acted as a protection layer to enhance the corrosion resistance of the zirconium cladding. It was concluded that the AIP technology is effective for coating a protective layer on claddings
Oxidation resistant chromium coating on Zircaloy-4 for accident tolerant fuel cladding
Energy Technology Data Exchange (ETDEWEB)
Park, Jung-Hwan; Kim, Eui-Jung; Jung, Yang-Il; Park, Dong-Jun; Kim, Hyun-Gil; Park, Jeong-Yong; Koo, Yang-Hyun [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)
2015-05-15
The attributes of such a fuel are approved reaction kinetics with steam, a slower hydrogen generation rate, and good cladding thermo-mechanical properties. Many researchers have tried to modify zirconium alloys to improve their oxidation resistance in the early stages of the ATF development. Corrosion resistant coating on cladding is one of the candidate technologies to improve the oxidation resistance of zirconium cladding. By applying coating technology to zirconium cladding, it is easy to obtain corrosion resistance without a change in the base materials. Among the surface coating methods, arc ion plating (AIP) is a coating technology to improve the adhesion owing to good throwing power, and a dense deposit (Fig. 1). Owing to these advantages, AIP has been widely used to efficiently form protective coatings on cutting tools, dies, bearings, etc. In this study, The AIP technique for the protection of zirconium claddings from the oxidation in a high-temperature steam environment was studied. The homogeneous Cr film with a high adhesive ability to the cladding was deposited by AIP and acted as a protection layer to enhance the corrosion resistance of the zirconium cladding. It was concluded that the AIP technology is effective for coating a protective layer on claddings.
International Nuclear Information System (INIS)
Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Kim, Sowon; Choi, Kyung Hyun
2017-01-01
Pursuit of the most appropriate materials and fabrication methods is essential for developing a reliable, rewritable and flexible memory device. In this study, we have proposed an advanced 2D nanocomposite of white graphene (hBN) flakes embedded with graphene quantum dots (GQDs) as the functional layer of a flexible memory device owing to their unique electrical, chemical and mechanical properties. Unlike the typical sandwich type structure of a memory device, we developed a cost effective planar structure, to simplify device fabrication and prevent sneak current. The entire device fabrication was carried out using printing technology followed by encapsulation in an atomically thin layer of aluminum oxide (Al 2 O 3 ) for protection against environmental humidity. The proposed memory device exhibited attractive bipolar switching characteristics of high switching ratio, large electrical endurance and enhanced lifetime, without any crosstalk between adjacent memory cells. The as-fabricated device showed excellent durability for several bending cycles at various bending diameters without any degradation in bistable resistive states. The memory mechanism was deduced to be conductive filamentary; this was validated by illustrating the temperature dependence of bistable resistive states. Our obtained results pave the way for the execution of promising 2D material based next generation flexible and non-volatile memory (NVM) applications. (paper)
Association of Oxidative Stress and Obesity with Insulin Resistance in Type 2 Diabetes Mellitus.
Das, P; Biswas, S; Mukherjee, S; Bandyopadhyay, S K
2016-01-01
Oxidative stress occurs due to delicate imbalance between pro-oxidant and anti oxidant forces in our system. It has been found to be associated with many morbidities but its association with obesity and insulin resistance is still controversial. Here in our study we examined 167 patients of recent onset type 2 diabetes mellitus and 60 age sex matched non-diabetic control. Body Mass Index (BMI), abdominal circumference, fasting blood glucose, serum insulin and plasma Malondealdehyde (MDA, marker for oxidative stress) were measured in them. On the basis of BMI, subjects were divided into obese (BMI≥25) and non obese (BMIobese and non-obese sub groups. Insulin resistance score showed positive correlation with BMI, abdominal circumference, and plasma MDA, strength of association being highest with abdominal circumference. Plasma MDA was found to have positive correlation with physical parameters. Study concludes that, obesity mainly central type may predispose to insulin resistance and oxidative stress may be a crucial factor in its pathogenesis. Thus, oxidative stress may be the connecting link between obesity and type 2 diabetes mellitus, two on going global epidemics.
Training Deep Convolutional Neural Networks with Resistive Cross-Point Devices.
Gokmen, Tayfun; Onen, Murat; Haensch, Wilfried
2017-01-01
In a previous work we have detailed the requirements for obtaining maximal deep learning performance benefit by implementing fully connected deep neural networks (DNN) in the form of arrays of resistive devices. Here we extend the concept of Resistive Processing Unit (RPU) devices to convolutional neural networks (CNNs). We show how to map the convolutional layers to fully connected RPU arrays such that the parallelism of the hardware can be fully utilized in all three cycles of the backpropagation algorithm. We find that the noise and bound limitations imposed by the analog nature of the computations performed on the arrays significantly affect the training accuracy of the CNNs. Noise and bound management techniques are presented that mitigate these problems without introducing any additional complexity in the analog circuits and that can be addressed by the digital circuits. In addition, we discuss digitally programmable update management and device variability reduction techniques that can be used selectively for some of the layers in a CNN. We show that a combination of all those techniques enables a successful application of the RPU concept for training CNNs. The techniques discussed here are more general and can be applied beyond CNN architectures and therefore enables applicability of the RPU approach to a large class of neural network architectures.
Training Deep Convolutional Neural Networks with Resistive Cross-Point Devices
Gokmen, Tayfun; Onen, Murat; Haensch, Wilfried
2017-01-01
In a previous work we have detailed the requirements for obtaining maximal deep learning performance benefit by implementing fully connected deep neural networks (DNN) in the form of arrays of resistive devices. Here we extend the concept of Resistive Processing Unit (RPU) devices to convolutional neural networks (CNNs). We show how to map the convolutional layers to fully connected RPU arrays such that the parallelism of the hardware can be fully utilized in all three cycles of the backpropagation algorithm. We find that the noise and bound limitations imposed by the analog nature of the computations performed on the arrays significantly affect the training accuracy of the CNNs. Noise and bound management techniques are presented that mitigate these problems without introducing any additional complexity in the analog circuits and that can be addressed by the digital circuits. In addition, we discuss digitally programmable update management and device variability reduction techniques that can be used selectively for some of the layers in a CNN. We show that a combination of all those techniques enables a successful application of the RPU concept for training CNNs. The techniques discussed here are more general and can be applied beyond CNN architectures and therefore enables applicability of the RPU approach to a large class of neural network architectures. PMID:29066942
Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya
2018-06-01
We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.
Binary copper oxide semiconductors: From materials towards devices
Energy Technology Data Exchange (ETDEWEB)
Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)
2012-08-15
Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
International Nuclear Information System (INIS)
Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip
2015-01-01
Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields
Energy Technology Data Exchange (ETDEWEB)
Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Dong Rip, E-mail: dongrip@hanyang.ac.kr [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)
2015-06-15
Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.
Acharya, Susant Kumar; Jo, Janghyun; Raveendra, Nallagatlla Venkata; Dash, Umasankar; Kim, Miyoung; Baik, Hionsuck; Lee, Sangik; Park, Bae Ho; Lee, Jae Sung; Chae, Seung Chul; Hwang, Cheol Seong; Jung, Chang Uk
2017-07-27
An oxide-based resistance memory is a leading candidate to replace Si-based flash memory as it meets the emerging specifications for future memory devices. The non-uniformity in the key switching parameters and low endurance in conventional resistance memory devices are preventing its practical application. Here, a novel strategy to overcome the aforementioned challenges has been unveiled by tuning the growth direction of epitaxial brownmillerite SrFeO 2.5 thin films along the SrTiO 3 [111] direction so that the oxygen vacancy channels can connect both the top and bottom electrodes rather directly. The controlled oxygen vacancy channels help reduce the randomness of the conducting filament (CF). The resulting device displayed high endurance over 10 6 cycles, and a short switching time of ∼10 ns. In addition, the device showed very high uniformity in the key switching parameters for device-to-device and within a device. This work demonstrates a feasible example for improving the nanoscale device performance by controlling the atomic structure of a functional oxide layer.
Analysis of fluctuations in semiconductor devices
Andrei, Petru
The random nature of ion implantation and diffusion processes as well as inevitable tolerances in fabrication result in random fluctuations of doping concentrations and oxide thickness in semiconductor devices. These fluctuations are especially pronounced in ultrasmall (nanoscale) semiconductor devices when the spatial scale of doping and oxide thickness variations become comparable with the geometric dimensions of devices. In the dissertation, the effects of these fluctuations on device characteristics are analyzed by using a new technique for the analysis of random doping and oxide thickness induced fluctuations. This technique is universal in nature in the sense that it is applicable to any transport model (drift-diffusion, semiclassical transport, quantum transport etc.) and it can be naturally extended to take into account random fluctuations of the oxide (trapped) charges and channel length. The technique is based on linearization of the transport equations with respect to the fluctuating quantities. It is computationally much (a few orders of magnitude) more efficient than the traditional Monte-Carlo approach and it yields information on the sensitivity of fluctuations of parameters of interest (e.g. threshold voltage, small-signal parameters, cut-off frequencies, etc.) to the locations of doping and oxide thickness fluctuations. For this reason, it can be very instrumental in the design of fluctuation-resistant structures of semiconductor devices. Quantum mechanical effects are taken into account by using the density-gradient model as well as through self-consistent Poisson-Schrodinger computations. Special attention is paid to the presenting of the technique in a form that is suitable for implementation on commercial device simulators. The numerical implementation of the technique is discussed in detail and numerous computational results are presented and compared with those previously published in literature.
DEFF Research Database (Denmark)
Liu, Hongliang; Zhuang, Weiping; Zhang, Shengzhe
2015-01-01
Antimonial compounds can be found as a toxic contaminant in the environment. Knowledge on mechanisms of microbial Sb oxidation and its role in microbial tolerance are limited. Previously, we found that Comamonas testosteroni S44 was resistant to multiple heavy metals and was able to oxidize the t...... and Sb(III) oxidation via Fe-S cluster biogenesis and oxidative stress protection. Bacterial Sb(III) oxidation is a detoxification reaction.......Antimonial compounds can be found as a toxic contaminant in the environment. Knowledge on mechanisms of microbial Sb oxidation and its role in microbial tolerance are limited. Previously, we found that Comamonas testosteroni S44 was resistant to multiple heavy metals and was able to oxidize...... the toxic antimonite [Sb(III)] to the much less toxic antimonate [Sb(V)]. In this study, transposon mutagenesis was performed in C. testosteroni S44 to isolate genes responsible for Sb(III) resistance and oxidation. An insertion mutation into iscR, which regulates genes involved in the biosynthesis of Fe...
Annealing-temperature-dependent voltage-sign reversal in all-oxide spin Seebeck devices using RuO2
Kirihara, Akihiro; Ishida, Masahiko; Yuge, Ryota; Ihara, Kazuki; Iwasaki, Yuma; Sawada, Ryohto; Someya, Hiroko; Iguchi, Ryo; Uchida, Ken-ichi; Saitoh, Eiji; Yorozu, Shinichi
2018-04-01
Thermoelectric converters based on the spin Seebeck effect (SSE) have attracted great attention due to their potential to offer novel applications such as energy harvesting and heat-flow sensing. For converting a SSE-induced spin current into an electric current, a transition metal film such as Pt, which exhibits large inverse spin-Hall effect (ISHE), has been typically used. In this work, we show an all-oxide SSE device using ruthenium oxide (RuO2) as a conductive film. We found that both the sign and magnitude of the SSE-induced ISHE voltage V appearing in the RuO2 film changes depending on the post annealing temperature, and that the magnitude can become larger than that of a standard SSE device using Pt. The similar sign change was also observed in Hall-resistance measurements of the RuO2 films. X-ray absorption fine structure (XAFS) spectra of as-deposited and annealed RuO2 revealed that the annealing process substantially improved the long-range crystalline order in RuO2. This suggests that change in the crystalline order may modify the dominant ISHE mechanism or electronic states in RuO2, leading to the sign reversal of V as well as the Hall coefficient. Our result demonstrates that RuO2 is an interesting material not only as a practical ISHE film but also as a testbed to study physics of spin-to-charge converters that depend on their crystalline order.
2014-10-22
The Food and Drug Administration (FDA) is classifying nucleic acid-based in vitro diagnostic devices for the detection of Mycobacterium tuberculosis complex (MTB-complex) and the genetic mutations associated with MTB-complex antibiotic resistance in respiratory specimens devices into class II (special controls). The Agency is classifying the device into class II (special controls) because special controls, in addition to general controls, will provide a reasonable assurance of safety and effectiveness of the device.
Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films
Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee
2012-01-01
An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.
Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition
Energy Technology Data Exchange (ETDEWEB)
Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)
2015-09-30
Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.
Done, Aaron J; Traustadóttir, Tinna
2016-12-01
Older individuals who exercise regularly exhibit greater resistance to oxidative stress than their sedentary peers, suggesting that exercise can modify age-associated loss of resistance to oxidative stress. However, we recently demonstrated that a single bout of exercise confers protection against a subsequent oxidative challenge in young, but not older adults. We therefore hypothesized that repeated bouts of exercise would be needed to increase resistance to an oxidative challenge in sedentary older middle-aged adults. Sedentary older middle-aged men and women (50-63 years, n = 11) participated in an 8-week exercise intervention. Maximal oxygen consumption was measured before and after the intervention. The exercise intervention consisted of three sessions per week, for 45 min at an intensity corresponding to 70-85 % maximal heart rate (HR max ). Resistance to oxidative stress was measured by F 2 -isoprostane response to a forearm ischemia/reperfusion (I/R) trial. Each participant underwent the I/R trial before and after the exercise intervention. The intervention elicited a significant increase in maximal oxygen consumption (VO 2max ) (P exercise intervention (time-by-trial interaction, P = 0.043). Individual improvements in aerobic fitness were associated with greater improvements in the F 2 -isoprostane response (r = -0.761, P = 0.011), further supporting the role of aerobic fitness in resistance to oxidative stress. These data demonstrate that regular exercise with improved fitness leads to increased resistance to oxidative stress in older middle-aged adults and that this measure is modifiable in previously sedentary individuals.
A METHOD FOR CREATING STRUCTURES OR DEVICES USING AN ORGANIC ICE RESIST
DEFF Research Database (Denmark)
2017-01-01
The invention relates to a method for creating an organic resist on a surface of a cooled substrate, the method comprising the steps of condensing a vapour into a solid film on the surface of the cooled substrate; patterning at least part of the solid film by exposing selected portions of said...... solid film to at least one electron beam thereby creating the organic resist on 5 the surface of the cooled substrate in accordance with a predetermined pattern; wherein the created organic resist remains essentially intact at ambient conditions; and using the created organic resist as a mask...... for creating semiconductor structures and/or semiconductor devices....
Intrinsic Resistance Switching in Amorphous Silicon Suboxides: The Role of Columnar Microstructure.
Munde, M S; Mehonic, A; Ng, W H; Buckwell, M; Montesi, L; Bosman, M; Shluger, A L; Kenyon, A J
2017-08-24
We studied intrinsic resistance switching behaviour in sputter-deposited amorphous silicon suboxide (a-SiO x ) films with varying degrees of roughness at the oxide-electrode interface. By combining electrical probing measurements, atomic force microscopy (AFM), and scanning transmission electron microscopy (STEM), we observe that devices with rougher oxide-electrode interfaces exhibit lower electroforming voltages and more reliable switching behaviour. We show that rougher interfaces are consistent with enhanced columnar microstructure in the oxide layer. Our results suggest that columnar microstructure in the oxide will be a key factor to consider for the optimization of future SiOx-based resistance random access memory.
Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip
2015-06-01
Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.
Semi-insulating Sn-Zr-O: Tunable resistance buffer layers
Energy Technology Data Exchange (ETDEWEB)
Barnes, Teresa M.; Burst, James M.; Reese, Matthew O.; Perkins, Craig L. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)
2015-03-02
Highly resistive and transparent (HRT) buffer layers are critical components of solar cells and other opto-electronic devices. HRT layers are often undoped transparent conducting oxides. However, these oxides can be too conductive to form an optimal HRT. Here, we present a method to produce HRT layers with tunable electrical resistivity, despite the presence of high concentrations of unintentionally or intentionally added dopants in the film. This method relies on alloying wide-bandgap, high-k dielectric materials (e.g., ZrO{sub 2}) into the host oxide to tune the resistivity. We demonstrate Sn{sub x}Zr{sub 1−x}O{sub 2}:F films with tunable resistivities varying from 0.001 to 10 Ω cm, which are controlled by the Zr mole fraction in the films. Increasing Zr suppresses carriers by expanding the bandgap almost entirely by shifting the valence-band position, which allows the HRT layers to maintain good conduction-band alignment for a low-resistance front contact.
Energy Technology Data Exchange (ETDEWEB)
Mehonic, Adnan, E-mail: a.mehonic@ee.ucl.ac.uk, E-mail: t.kenyon@ucl.ac.uk; Buckwell, Mark; Montesi, Luca; Garnett, Leon; Hudziak, Stephen; Kenyon, Anthony J., E-mail: a.mehonic@ee.ucl.ac.uk, E-mail: t.kenyon@ucl.ac.uk [Department of Electronic and Electrical Engineering, UCL, Torrington Place, London WC1E 7JE (United Kingdom); Fearn, Sarah; Chater, Richard; McPhail, David [Department of Materials, Imperial College London, South Kensington Campus, London SW7 2AZ (United Kingdom)
2015-03-28
We present an investigation of structural changes in silicon-rich silicon oxide metal-insulator-metal resistive RAM devices. The observed unipolar switching, which is intrinsic to the bulk oxide material and does not involve movement of metal ions, correlates with changes in the structure of the oxide. We use atomic force microscopy, conductive atomic force microscopy, x-ray photoelectron spectroscopy, and secondary ion mass spectroscopy to examine the structural changes occurring as a result of switching. We confirm that protrusions formed at the surface of samples during switching are bubbles, which are likely to be related to the outdiffusion of oxygen. This supports existing models for valence-change based resistive switching in oxides. In addition, we describe parallel linear and nonlinear conduction pathways and suggest that the conductance quantum, G{sub 0}, is a natural boundary between the high and low resistance states of our devices.
Semple, James; Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G.; McLachlan, Martyn A.; Anthopoulos, Thomas D.
2017-01-01
Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.
Semple, James
2017-01-02
Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.
Pentacene ohmic contact on the transparent conductive oxide films
International Nuclear Information System (INIS)
Chu, Jian-An; Zeng, Jian-Jhou; Wu, Kuo-Chen; Lin, Yow-Jon
2010-01-01
Low-resistance ohmic contacts are essential to improve the performance of pentacene-based electronic and optoelectronic devices. In this study, we reported ohmic contact formation at the indium tin oxide (ITO)/pentacene and indium cerium oxide (ICO)/pentacene interfaces. According to the observed results from current-voltage and Kelvin probe measurements, we found that the lower contact resistivity of the ICO/pentacene sample than the ITO/pentacene sample may be attributed to the higher surface work function of ICO than ITO.
Bipolar resistive switching in different plant and animal proteins
Bag, A.; Hota, Mrinal Kanti; Mallik, Sandipan B.; Maì ti, Chinmay Kumar
2014-01-01
We report bipolar resistive switching phenomena observed in different types of plant and animal proteins. Using protein as the switching medium, resistive switching devices have been fabricated with conducting indium tin oxide (ITO) and Al as bottom and top electrodes, respectively. A clockwise bipolar resistive switching phenomenon is observed in all proteins. It is shown that the resistive switching phenomena originate from the local redox process in the protein and the ion exchange from the top electrode/protein interface.
Bipolar resistive switching in different plant and animal proteins
Bag, A.
2014-06-01
We report bipolar resistive switching phenomena observed in different types of plant and animal proteins. Using protein as the switching medium, resistive switching devices have been fabricated with conducting indium tin oxide (ITO) and Al as bottom and top electrodes, respectively. A clockwise bipolar resistive switching phenomenon is observed in all proteins. It is shown that the resistive switching phenomena originate from the local redox process in the protein and the ion exchange from the top electrode/protein interface.
Kwon, Min-Woo; Baek, Myung-Hyun; Hwang, Sungmin; Kim, Sungjun; Park, Byung-Gook
2018-09-01
We designed the CMOS analog integrate and fire (I&F) neuron circuit can drive resistive synaptic device. The neuron circuit consists of a current mirror for spatial integration, a capacitor for temporal integration, asymmetric negative and positive pulse generation part, a refractory part, and finally a back-propagation pulse generation part for learning of the synaptic devices. The resistive synaptic devices were fabricated using HfOx switching layer by atomic layer deposition (ALD). The resistive synaptic device had gradual set and reset characteristics and the conductance was adjusted by spike-timing-dependent-plasticity (STDP) learning rule. We carried out circuit simulation of synaptic device and CMOS neuron circuit. And we have developed an unsupervised spiking neural networks (SNNs) for 5 × 5 pattern recognition and classification using the neuron circuit and synaptic devices. The hardware-based SNNs can autonomously and efficiently control the weight updates of the synapses between neurons, without the aid of software calculations.
Farhadi, Rozita; Farhadi, Bita
2014-01-01
Power transistors, such as the vertical, double-diffused, metal-oxide semiconductor (VDMOS), are used extensively in the amplifier circuits of medical devices. The aim of this research was to construct a VDMOS power transistor with an optimized structure to enhance the operation of medical devices. First, boron was implanted in silicon by implanting unclamped inductive switching (UIS) and a Faraday shield. The Faraday shield was implanted in order to replace the gate-field parasitic capacitor on the entry part of the device. Also, implanting the UIS was used in order to decrease the effect of parasitic bipolar junction transistor (BJT) of the VDMOS power transistor. The research tool used in this study was Silvaco software. By decreasing the transistor entry resistance in the optimized VDMOS structure, power losses and noise at the entry of the transistor were decreased, and, by increasing the breakdown voltage, the lifetime of the VDMOS transistor lifetime was increased, which resulted in increasing drain flow and decreasing Ron. This consequently resulted in enhancing the operation of high-frequency medical devices that use transistors, such as Radio Frequency (RF) and electrocardiograph machines.
Training Deep Convolutional Neural Networks with Resistive Cross-Point Devices
Directory of Open Access Journals (Sweden)
Tayfun Gokmen
2017-10-01
Full Text Available In a previous work we have detailed the requirements for obtaining maximal deep learning performance benefit by implementing fully connected deep neural networks (DNN in the form of arrays of resistive devices. Here we extend the concept of Resistive Processing Unit (RPU devices to convolutional neural networks (CNNs. We show how to map the convolutional layers to fully connected RPU arrays such that the parallelism of the hardware can be fully utilized in all three cycles of the backpropagation algorithm. We find that the noise and bound limitations imposed by the analog nature of the computations performed on the arrays significantly affect the training accuracy of the CNNs. Noise and bound management techniques are presented that mitigate these problems without introducing any additional complexity in the analog circuits and that can be addressed by the digital circuits. In addition, we discuss digitally programmable update management and device variability reduction techniques that can be used selectively for some of the layers in a CNN. We show that a combination of all those techniques enables a successful application of the RPU concept for training CNNs. The techniques discussed here are more general and can be applied beyond CNN architectures and therefore enables applicability of the RPU approach to a large class of neural network architectures.
ENHANCEMENT OF RESISTANCE TO OXIDATIVE DEGRADATION OF NATURAL RUBBER THROUGH LATEX DEGRADATION
Institute of Scientific and Technical Information of China (English)
无
1998-01-01
A fully characterised natural rubber latex was subjected to mechanical degradation by stirring at intervals. The resistance to oxidative degradation of the different samples were studied by measuring the Plasticity retention indices (PRI).The results show that there is an enhancement of the PRI from 57% for the undegraded rubber to 79% for the one-hour degraded sample. Further degradation resulted in decrease of PRI as time of degradation increased. Therefore, the one-hour degraded sample is a special rubber with high oxidation resistance which is of great importance in engineering.
Resistive switching in ZnO/ZnO:In nanocomposite
Khakhulin, D. A.; Vakulov, Z. E.; Smirnov, V. A.; Tominov, R. V.; Yoon, Jong-Gul; Ageev, O. A.
2017-11-01
A lot of effort nowadays is put into development of new approaches to processing and storage of information in integrated circuits due to limitations in miniaturisation. Our research is dedicated to one of actively developed concepts - oxide based resistive memory devices. A material that draws interest due to its promising technological properties is ZnO but pure ZnO lacks in performance in comparison with some other transition metal oxides. Thus our work is focused on improvement of resistive switching parameters in ZnO films by creation of complex nanocomposites. In this work we report characterisation of a nanocomposite based on PLD grown ZnO films with inclusions of In. Such solution allows us to achieve improvements of main parameters that are critical for ReRAM device: RHRS/RLRS ratio, endurance and retention.
Baeumer, Christoph; Schmitz, Christoph; Marchewka, Astrid; Mueller, David N.; Valenta, Richard; Hackl, Johanna; Raab, Nicolas; Rogers, Steven P.; Khan, M. Imtiaz; Nemsak, Slavomir; Shim, Moonsub; Menzel, Stephan; Schneider, Claus Michael; Waser, Rainer; Dittmann, Regina
2016-08-01
The continuing revolutionary success of mobile computing and smart devices calls for the development of novel, cost- and energy-efficient memories. Resistive switching is attractive because of, inter alia, increased switching speed and device density. On electrical stimulus, complex nanoscale redox processes are suspected to induce a resistance change in memristive devices. Quantitative information about these processes, which has been experimentally inaccessible so far, is essential for further advances. Here we use in operando spectromicroscopy to verify that redox reactions drive the resistance change. A remarkable agreement between experimental quantification of the redox state and device simulation reveals that changes in donor concentration by a factor of 2-3 at electrode-oxide interfaces cause a modulation of the effective Schottky barrier and lead to >2 orders of magnitude change in device resistance. These findings allow realistic device simulations, opening a route to less empirical and more predictive design of future memory cells.
Resistive switching in ZrO{sub 2} based metal-oxide-metal structures
Energy Technology Data Exchange (ETDEWEB)
Kaerkkaenen, Irina
2014-07-01
The goal of this work is a deeper understanding of the influence of the (i) metal-oxide-metal (MOM) layer stacks configuration, (ii) the oxide films microstructure, (iii) and their defect structure on the appearance of different switching modes, i.e. unipolar (UP) and bipolar (BP). The first part deals with the fabrication of ZrO{sub 2} thin films by an industrial compatible atomic layer deposition (ALD) process, the chemical, structural and morphological characterization of the films, the growth of ZrO{sub 2}/TiO{sub 2} bilayers, the integration of the layers into metal-oxide-metal (MOM) devices and the electrical characterization with focus on the RS behavior. In the second part the effect of the device structure, in particular the thickness of the electrochemical active electrode (EAE) and the ZrO{sub 2} film morphology, on the RS switching polarity of Pt/ZrO{sub 2}/(EAE) cells is discussed. ZrO{sub 2} films and ZrO{sub 2}/TiO{sub 2} bilayers were grown by ALD and were carefully structurally and electrically characterized. The ZrO{sub 2} films grown from Zr[N(CH{sub 3})C{sub 2}H{sub 5}]{sub 4} (TEMA-Zr) at 240 C were polycrystalline with a mixture of cubic/tetragonal phases. ALD/H{sub 2}O-ZrO{sub 2} films exhibited a random oriented polycrystalline structure, whereas the ALD/O{sub 3}-ZrO{sub 2} films consisted of preferably oriented cubic shaped grains. Pt/ZrO{sub 2}/Ti/Pt structures with a Ti top electrode (TE) thickness of 5 to 20 nm showed unipolar type RS behavior, while by increasing the Ti TE thickness a gradual change of switching polarity from unipolar to bipolar with a completely bipolar type RS behavior for a Ti TE thickness of 40 nm is found. The switching in Pt/ZrO{sub 2}/TiO{sub 2}/Ti/Pt devices was unipolar, comparable to Pt/ZrO{sub 2}/Ti/Pt cells. In contrast, bilayers with the reverse structure, Pt/TiO{sub 2}/ZrO{sub 2}/Ti/Pt, showed non-switching behavior. The effect of the cells stack structure on the polarity of the RS behavior was studied in
Rapid deposition process for zinc oxide film applications in pyroelectric devices
International Nuclear Information System (INIS)
Hsiao, Chun-Ching; Yu, Shih-Yuan
2012-01-01
Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)
Directory of Open Access Journals (Sweden)
Si-Jun Park
2015-01-01
Full Text Available The effects of alloying elements (Co, Cr, Mo, W, Al, Ti, and Ta on the oxidation resistance of Ni-based superalloys are studied using the Response Surface Methodology (RSM. The statistical analysis showed that Al and Ta generally improve the oxidation resistance of the alloy, whereas Ti and Mo degrade the oxidation resistance. Co, Cr, and W did not alter oxidation rate significantly when examined by the mass gain averaged for all model alloys. However, it is remarkable that the degree of the effects of alloying elements varied with the concentration of other elements. Further, the effect of each element was sometimes found to be reversed for alloy groups specified by the concentration of another element.
Berco, Dan; Chand, Umesh; Fariborzi, Hossein
2017-01-01
This study investigates a low degradation metal-ion conductive bridge RAM (CBRAM) structure. The structure is based on placing a diffusion blocking layer (DBL) between the device's top electrode (TE) and the resistive switching layer (RSL), unlike conventional CBRAMs, where the TE serves as a supply reservoir for metallic species diffusing into the RSL to form a conductive filament (CF) and is kept in direct contact with the RSL. The properties of a conventional CBRAM structure (Cu/HfO2/TiN), having a Cu TE, 10 nm HfO2 RSL, and a TiN bottom electrode, are compared with a 2 nm TaN DBL incorporating structure (Cu/TaN/HfO2/TiN) for 103 programming and erase simulation cycles. The low and high resistive state values for each cycle are calculated and the analysis reveals that adding the DBL yields lower degradation. In addition, the 2D distribution plots of oxygen vacancies, O ions, and Cu species within the RSL indicate that oxidation occurring in the DBL-RSL interface results in the formation of a sub-stoichiometric tantalum oxynitride with higher blocking capabilities that suppresses further Cu insertion beyond an initial CF formation phase, as well as CF lateral widening during cycling. The higher endurance of the structure with DBL may thus be attributed to the relatively low amount of Cu migrating into the RSL during the initial CF formation. Furthermore, this isomorphic CF displays similar cycling behavior to neural ionic channels. The results of numerical analysis show a good match to experimental measurements of similar device structures as well
Berco, Dan; Chand, Umesh; Fariborzi, Hossein
2017-10-01
This study investigates a low degradation metal-ion conductive bridge RAM (CBRAM) structure. The structure is based on placing a diffusion blocking layer (DBL) between the device's top electrode (TE) and the resistive switching layer (RSL), unlike conventional CBRAMs, where the TE serves as a supply reservoir for metallic species diffusing into the RSL to form a conductive filament (CF) and is kept in direct contact with the RSL. The properties of a conventional CBRAM structure (Cu/HfO2/TiN), having a Cu TE, 10 nm HfO2 RSL, and a TiN bottom electrode, are compared with a 2 nm TaN DBL incorporating structure (Cu/TaN/HfO2/TiN) for 103 programming and erase simulation cycles. The low and high resistive state values for each cycle are calculated and the analysis reveals that adding the DBL yields lower degradation. In addition, the 2D distribution plots of oxygen vacancies, O ions, and Cu species within the RSL indicate that oxidation occurring in the DBL-RSL interface results in the formation of a sub-stoichiometric tantalum oxynitride with higher blocking capabilities that suppresses further Cu insertion beyond an initial CF formation phase, as well as CF lateral widening during cycling. The higher endurance of the structure with DBL may thus be attributed to the relatively low amount of Cu migrating into the RSL during the initial CF formation. Furthermore, this isomorphic CF displays similar cycling behavior to neural ionic channels. The results of numerical analysis show a good match to experimental measurements of similar device structures as well.
Directory of Open Access Journals (Sweden)
Monil Singhai
2012-01-01
Full Text Available Background: Indwelling medical devices (IMDs in critical patients are vulnerable to colonization by biofilm producing bacteria. Complex characteristics of bacterial biofilms promote antibiotic resistance, leading to the emergence of resistant device-related infections (DRI, which pose new challenges in their management. Materials and Methods : The study was done on 135 hospitalized (Intensive care units pediatric patients with IMDs (intravascular catheter, urinary catheter, and endotracheal tube to determine the device-specific infection rates. Biofilm formations were demonstrated by the tube method and by scanning electron microscopy (SEM. Bacteria in biofilms were identified by the standard conventional methods and tested for antibiotic resistance. We also detected the presence of extended spectrum β-lactamases (ESβLs, particularly, blaCTX-M, in gram-negative isolates. Results: The rates of biofilm-based catheter-related blood stream infections (CRBSI, catheter-associated urinary tract infections (CAUTI, and Ventilator Associated Pneumonia (VAP, in our study, were 10.4, 26.6, and 20%. Biofilm formation by the tube method correlated well with the SEM findings. A majority of infections were caused by Klebsiella pneumoniae followed by Staphylococcal biofilms. A high percentage (85.7%, 95% confidence interval 64.5 to 95.8% of biofilm producing bacterial isolates, causing infection, were multidrug resistant. Many biofilm producing gram-negative isolates were ESβLs producers, and a majority particularly harbored blaCTX-M, among the ESβLs genotypes. Conclusion: The incidence of resistant device-related infections, predominantly caused by biofilm producing bacteria, is rising. The tube method is an effective screening method to test biofilm production, where sophisticated microscopy facilities are not available. The varying resistance pattern of organisms isolated in our setup, emphasizes the importance of studying the pattern of infection in
Directory of Open Access Journals (Sweden)
Helenita Antonia de Oliveira
2018-01-01
Full Text Available The aim of this study was to determine whether oxidative stress markers are influenced by low-intensity laser therapy (LLLT in rats subjected to a high-intensity resistive exercise session (RE. Female Wistar rats divided into three experimental groups (Ctr: control, 4J: LLLT, and RE and subdivided based on the sampling times (instantly or 24 h postexercise underwent irradiation with LLLT using three-point transcutaneous method on the hind legs, which was applied to the gastrocnemius muscle at the distal, medial, and proximal points. Laser (4J or placebo (device off were carried out 60 sec prior to RE that consisted of four climbs bearing the maximum load with a 2 min time interval between each climb. Lipoperoxidation levels and antioxidant capacity were obtained in muscle. Lipoperoxidation levels were increased (4-HNE and CL markers instantly post-RE. LLLT prior to RE avoided the increase of the lipid peroxidation levels. Similar results were also notified for oxidation protein assays. The GPx and FRAP activities did not reduce instantly or 24 h after RE. SOD increased 24 h after RE, while CAT activity did not change with RE or LLLT. In conclusion, LLLT prior to RE reduced the oxidative stress markers, as well as, avoided reduction, and still increased the antioxidant capacity.
Reliability of Strength Testing using the Advanced Resistive Exercise Device and Free Weights
English, Kirk L.; Loehr, James A.; Laughlin, Mitzi A.; Lee, Stuart M. C.; Hagan, R. Donald
2008-01-01
The Advanced Resistive Exercise Device (ARED) was developed for use on the International Space Station as a countermeasure against muscle atrophy and decreased strength. This investigation examined the reliability of one-repetition maximum (1RM) strength testing using ARED and traditional free weight (FW) exercise. Methods: Six males (180.8 +/- 4.3 cm, 83.6 +/- 6.4 kg, 36 +/- 8 y, mean +/- SD) who had not engaged in resistive exercise for at least six months volunteered to participate in this project. Subjects completed four 1RM testing sessions each for FW and ARED (eight total sessions) using a balanced, randomized, crossover design. All testing using one device was completed before progressing to the other. During each session, 1RM was measured for the squat, heel raise, and deadlift exercises. Generalizability (G) and intraclass correlation coefficients (ICC) were calculated for each exercise on each device and were used to predict the number of sessions needed to obtain a reliable 1RM measurement (G . 0.90). Interclass reliability coefficients and Pearson's correlation coefficients (R) also were calculated for the highest 1RM value (1RM9sub peak)) obtained for each exercise on each device to quantify 1RM relationships between devices.
Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications
Briggs, Benjamin D.
The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.
Oxides gets environmentally-friendly
DEFF Research Database (Denmark)
Pryds, Nini
for high temperature oxide thermoelectric (TE) modules to become a viable route for power generation, the overall efficiency of these devices must be improved. While most research currently focuses on the enhancement of the thermoelectric properties of the p- and n-type elements of the module, it is also...... necessary to demonstrate a working oxide module and develop stable interconnects with low contact resistance as well as mechanical and the chemical stability. In this presentation I will also show our latest results on the performance of oxide module made of ZnO doped Al (n-type) and CaCoO 349 (p-type) [1...... in realizing cooling devices with high efficiency and low global warming potentials, which are highly desirable for a broad range of applications. The technology relies on the magnetocaloric effect in a solid refrigerant rather than the temperature change that occurs when a gas is compressed. This talk...
Sulfidation/oxidation resistant alloys
International Nuclear Information System (INIS)
Smith, G.D.; Tassen, C.S.
1989-01-01
The patent describes a nickel-base, high chromium alloy. It is characterized by excellent resistance to sulfidation and oxidation at elevated temperatures as high as 2000 degrees F. (1093 degrees C.) and higher, a stress-rupture life of about 200 hours or more at a temperature at least as high as 1800 degrees F. (990:0083 degrees C.) and under a stress of 2000 psi, good tensile strength and good ductility both at room and elevated temperature. The alloy consists essentially of about 27 to 35% chromium, about 2.5 to 5% aluminum, about 2.5 to about 6% iron, 0.5 to 2.5% columbium, up to 0.1% carbon, up to 1% each of titanium and zirconium, up to 0.05% cerium, up to 0.05% yttrium, up to 1% silicon, up to 1% manganese, and the balance nickel
Belotte, Jimmy; Fletcher, Nicole M; Awonuga, Awoniyi O; Alexis, Mitchell; Abu-Soud, Husam M; Saed, Mohammed G; Diamond, Michael P; Saed, Ghassan M
2014-04-01
To investigate the role of oxidative stress in the development of cisplatin resistance in epithelial ovarian cancer (EOC). Two parent EOC cell lines (MDAH-2774 and SKOV-3) and their chemoresistant counterparts (cisplatin, 50 µmol/L) were used. Total RNA was extracted and subjected to real-time reverse transcriptase polymerase chain reaction to evaluate the expression of glutathione reductase (GSR) and inducible nitric oxide synthase (iNOS), as well as nitrate/nitrite levels. Analysis of variance was used for main effects and Tukey for post hoc analysis at P nitrate/nitrite levels were significantly higher in SKOV-3 cisplatin resistant cells while iNOS mRNA levels were significantly higher in MDAH-2774 cisplatin resistant cells (P < .05). Our data suggest that the development of cisplatin resistance tilts the balance toward a pro-oxidant state in EOC.
Sun, Guoxun; Bi, Jianqiang; Wang, Weili; Zhang, Jingde
2017-12-01
Reduced graphene oxide@boron nitride nanosheet (RGO@BNNS) hybrids were prepared for the first time using template-assisted autoclave pyrolysis technique at the temperature as low as 600 °C. The developed method can be scaled into gram-scale synthesis of the material. The BNNSs combine with RGO through van der Waals interplanar interaction without damaging the structures of RGO. Such ultrathin BNNSs on the surface of RGO can serve as high-performance oxidation-resistant coatings in oxidizing atmospheres at high temperatures. The RGO@BNNS hybrids can sustain up to 800 °C over a relatively long period of time.
Macut, D; Simic, T; Lissounov, A; Pljesa-Ercegovac, M; Bozic, I; Djukic, T; Bjekic-Macut, J; Matic, M; Petakov, M; Suvakov, S; Damjanovic, S; Savic-Radojevic, A
2011-07-01
To get more insight into molecular mechanisms underlying oxidative stress and its link with insulin resistance, oxidative stress parameters, as well as, antioxidant enzyme activities were studied in young, non-obese women with polycystic ovary syndrome (PCOS). Study was performed in 34 PCOS women and 23 age and body mass index (BMI)-matched healthy controls. Plasma nitrotyrosine and malondialdehyde (MDA), representative byproducts of protein and lipid oxidative damage, were determined by enzyme immunoassay. Antioxidant enzyme activities, superoxide dismutase (SOD) and glutathione peroxidase (GPX) were studied spectrophotometrically. Insulin resistance was calculated using homeostasis assessment model (HOMA-IR). Plasma nitrotyrosine and MDA were increased, but only nitrotyrosine was significantly higher (p PCOS women compared to controls. Uric acid (surrogate marker of × antine oxidase) was also significantly elevated in PCOS (p PCOS and controls. Indices of insulin resistance (insulin and HOMAIR) were significantly higher in PCOS group and positively correlated with level of MDA (r = 0.397 and r = 0.523, respectively; p insulin resistance could be responsible for the existence of subtle form of oxidative stress in young, nonobese PCOS women. Hence, presence of insulin resistance, hyperinsulinemia and oxidative damage are likely to accelerate slow development of cardiovascular disease in PCOS. © J. A. Barth Verlag in Georg Thieme Verlag KG Stuttgart · New York.
International Nuclear Information System (INIS)
Saito, Yuta; Shindo, Satoshi; Sutou, Yuji; Koike, Junichi
2014-01-01
Unusual chronological electrical resistance change behavior was investigated for amorphous GeCu 2 Te 3 phase change material. More than a 1 order decrease of electrical resistance was observed in the air even at room temperature. The resistance of the amorphous film gradually increased with increasing temperature and then showed a drop upon crystallization. Such unusual behavior was attributed to the oxidation of the amorphous GeCu 2 Te 3 film. From the compositional depth profile measurement, the GeCu 2 Te 3 film without any capping layer was oxidized in air at room temperature and the formed oxide was mainly composed of germanium oxide. Consequently, a highly-conductive Cu-rich layer was formed in the vicinity of the surface of the film, which reduced the total resistance of the film. The present results could provide insight into the chronological change of electrical resistance in amorphous chalcogenide materials, indicating that not only relaxation of the amorphous, but also a large atomic diffusion contributes to the chronological resistance change. (paper)
Analysis of device parameters for Au/tin oxide/n-Si(1 0 0) metal–oxide–semiconductor (MOS) diodes
Energy Technology Data Exchange (ETDEWEB)
Barış, Behzad, E-mail: behzadbaris@gmail.com
2014-04-01
In present paper, the device parameters of tin oxide/n-Si(1 0 0) structure have been determined by means of capacitance–voltage (C–V) and conductance–voltage (G–V) measurements between 500 Hz and 1 MHz and current–voltage (I–V) measurements between −2 and +3 V at 300 K. This device has denoted good rectifying behavior and the I–V data could be described by thermionic emission (TE) technique. The values of ideality factor (n) and barrier height (Φ{sub B}) for the sample have been determined to be 3.724 and 0.624 eV, respectively. The measured values of capacitance and conductance for the series resistance under all the biases have been corrected influence to calculate the real values of capacitance and conductance. The frequency dependence of the capacitance may be attributed to trapping states. Interface trap states of the MOS device increased by decreasing the frequency and were calculated as 1.12×10{sup 11} and 6.62×10{sup 11} eV{sup −1} cm{sup −2} for 1 MHz and 100 kHz, respectively. Several important device parameters such as barrier height (Φ{sub B}), fermi energy (E{sub F}), diffusion voltage (V{sub D}), donor carrier concentration (N{sub D}) and space charge layer width (W{sub D}) for the device have been obtained between 100 kHz and 1 MHz.
Adaptive oxide electronics: A review
Ha, Sieu D.; Ramanathan, Shriram
2011-10-01
Novel information processing techniques are being actively explored to overcome fundamental limitations associated with CMOS scaling. A new paradigm of adaptive electronic devices is emerging that may reshape the frontiers of electronics and enable new modalities. Creating systems that can learn and adapt to various inputs has generally been a complex algorithm problem in information science, albeit with wide-ranging and powerful applications from medical diagnosis to control systems. Recent work in oxide electronics suggests that it may be plausible to implement such systems at the device level, thereby drastically increasing computational density and power efficiency and expanding the potential for electronics beyond Boolean computation. Intriguing possibilities of adaptive electronics include fabrication of devices that mimic human brain functionality: the strengthening and weakening of synapses emulated by electrically, magnetically, thermally, or optically tunable properties of materials.In this review, we detail materials and device physics studies on functional metal oxides that may be utilized for adaptive electronics. It has been shown that properties, such as resistivity, polarization, and magnetization, of many oxides can be modified electrically in a non-volatile manner, suggesting that these materials respond to electrical stimulus similarly as a neural synapse. We discuss what device characteristics will likely be relevant for integration into adaptive platforms and then survey a variety of oxides with respect to these properties, such as, but not limited to, TaOx, SrTiO3, and Bi4-xLaxTi3O12. The physical mechanisms in each case are detailed and analyzed within the framework of adaptive electronics. We then review theoretically formulated and current experimentally realized adaptive devices with functional oxides, such as self-programmable logic and neuromorphic circuits. Finally, we speculate on what advances in materials physics and engineering may
Graphene oxide in the water environment could affect tetracycline-antibiotic resistance.
Guo, Mei-Ting; Zhang, Guo-Sheng
2017-09-01
In recent years, the influence of new materials like nanoparticles in the water environment on biological substances has been widely studied. Antibiotic resistance genes (ARGs) represent a new type of pollutant in the environment. Graphene oxide (GO), as a nano material, because of its unique structure, may have an impact on antibiotic resistance bacteria (ARB) and ARGs; however the research in this area is rarely reported. Therefore, this study mainly investigated the effects of GO on bacterial antibiotic resistance. The results showed that GO had a limited effect on ARB inactivation. A high concentration of GO (>10 mg/L) can damage resistant plasmids to reduce bacterial resistance to antibiotics, but low concentrations of GO (antibiotic resistance needs further investigation. Copyright © 2017. Published by Elsevier Ltd.
EFFECT OF La2O3 ON HIGH-TEMPERATURE OXIDATION RESISTANCE OF ELECTROSPARK DEPOSITED Ni-BASED COATINGS
YUXIN GAO; JIAN YI; ZHIGANG FANG; HU CHENG
2014-01-01
The oxidation tests of electrospark deposited Ni-based coatings without and with 2.5 wt.% La2O3 were conducted at 960°C in air for 100 h. The oxidation kinetic of the coatings was studied by testing the weight gain. The phase structures and morphologies of the oxidized coatings were investigated by XRD and SEM. The experimental results show that the coatings with 2.5 wt.% La2O3 exhibits excellent high-temperature oxidation resistance including low oxidation rate and improved spallation resist...
Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro
2013-04-01
In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.
Directory of Open Access Journals (Sweden)
Bin Zheng
2015-01-01
Full Text Available This paper reports the results of experimental investigation of flow resistance in a coal mine ventilation air methane preheated catalytic oxidation reactor. The experimental system was installed at the Energy Research Institute of Shandong University of Technology. The system has been used to investigate the effects of flow rate (200 Nm3/h to 1000 Nm3/h and catalytic oxidation bed average temperature (20°C to 560°C within the preheated catalytic oxidation reactor. The pressure drop and resistance proportion of catalytic oxidation bed, the heat exchanger preheating section, and the heat exchanger flue gas section were measured. In addition, based on a large number of experimental data, the empirical equations of flow resistance are obtained by the least square method. It can also be used in deriving much needed data for preheated catalytic oxidation designs when employed in industry.
Graziosi, Patrizio; Neophytou, Neophytos
2018-02-01
Newly emerged materials from the family of Heuslers and complex oxides exhibit finite bandgaps and ferromagnetic behavior with Curie temperatures much higher than even room temperature. In this work, using the semiclassical top-of-the-barrier FET model, we explore the operation of a spin-MOSFET that utilizes such ferromagnetic semiconductors as channel materials, in addition to ferromagnetic source/drain contacts. Such a device could retain the spin polarization of injected electrons in the channel, the loss of which limits the operation of traditional spin transistors with non-ferromagnetic channels. We examine the operation of four material systems that are currently considered some of the most prominent known ferromagnetic semiconductors: three Heusler-type alloys (Mn2CoAl, CrVZrAl, and CoVZrAl) and one from the oxide family (NiFe2O4). We describe their band structures by using data from DFT (Density Functional Theory) calculations. We investigate under which conditions high spin polarization and significant ION/IOFF ratio, two essential requirements for the spin-MOSFET operation, are both achieved. We show that these particular Heusler channels, in their bulk form, do not have adequate bandgap to provide high ION/IOFF ratios and have small magnetoconductance compared to state-of-the-art devices. However, with confinement into ultra-narrow sizes down to a few nanometers, and by engineering their spin dependent contact resistances, they could prove promising channel materials for the realization of spin-MOSFET transistor devices that offer combined logic and memory functionalities. Although the main compounds of interest in this paper are Mn2CoAl, CrVZrAl, CoVZrAl, and NiFe2O4 alone, we expect that the insight we provide is relevant to other classes of such materials as well.
Energy Technology Data Exchange (ETDEWEB)
Macedo, Andreia G. [Laboratorio de Dispositivos Nanoestruturados, Departamento de Fisica, Universidade Federal do Parana, Curitiba, Parana (Brazil); Mattos, Luana L.; Spada, Edna R.; Serpa, Rafael B.; Campos, Cristiani S. [Laboratorio de Sistemas Nanoestruturados, Departamento de Fisica, Universidade Federal de Santa Catarina, Florianopolis, Santa Catarina (Brazil); Grova, Isabel R.; Ackcelrud, Leni [Laboratorio de Polimeros Paulo Scarpa, Departamento de Quimica, Universidade Federal do Parana, Curitiba, Parana (Brazil); Reis, Francoise T.; Sartorelli, Maria L. [Laboratorio de Sistemas Nanoestruturados, Departamento de Fisica, Universidade Federal de Santa Catarina, Florianopolis, Santa Catarina (Brazil); Roman, Lucimara S., E-mail: lsroman@fisica.ufpr.br [Laboratorio de Dispositivos Nanoestruturados, Departamento de Fisica, Universidade Federal do Parana, Curitiba, Parana (Brazil)
2012-05-01
In this work, porous ordered TiO{sub 2} films were prepared through sol gel route by using a monolayer of polystyrene spheres as template on indium-tin oxide/glass substrate. These films were characterized by SEM, AFM, Raman spectroscopy, UV-vis absorbance and XRD. The UV-vis absorbance spectrum show a pseudo band gap (PBG) with maxima at 460 nm arising from the light scattering and partial or total suppression of the photon density of states, this PBG can be controlled by the size of the pore. We also propose the use of this porous film as electron acceptor electrode in organic photovoltaic cells; we show that devices prepared with porous titania displayed higher efficiencies than devices using compact titania films as electrode. Such behaviour was observed in both bilayer and bulk heterojunction devices.
International Nuclear Information System (INIS)
Macedo, Andreia G.; Mattos, Luana L.; Spada, Edna R.; Serpa, Rafael B.; Campos, Cristiani S.; Grova, Isabel R.; Ackcelrud, Leni; Reis, Françoise T.; Sartorelli, Maria L.; Roman, Lucimara S.
2012-01-01
In this work, porous ordered TiO 2 films were prepared through sol gel route by using a monolayer of polystyrene spheres as template on indium-tin oxide/glass substrate. These films were characterized by SEM, AFM, Raman spectroscopy, UV-vis absorbance and XRD. The UV-vis absorbance spectrum show a pseudo band gap (PBG) with maxima at 460 nm arising from the light scattering and partial or total suppression of the photon density of states, this PBG can be controlled by the size of the pore. We also propose the use of this porous film as electron acceptor electrode in organic photovoltaic cells; we show that devices prepared with porous titania displayed higher efficiencies than devices using compact titania films as electrode. Such behaviour was observed in both bilayer and bulk heterojunction devices.
Use of the AlGaAs native oxide in AlGaAs-GaAs quantum well heterostructure laser devices
International Nuclear Information System (INIS)
Ries, M.J.; Chen, E.I.; Holonyak, Chen N. Jr.
1995-01-01
At atmospheric conditions high Al Composition Al x Ga 1-x As (x ≥0.7) in Al x Ga 1-x As-GaAs heterostructures is subject to failure via hydrolyzation. In contrast, open-quotes wetclose quotes oxidation at higher temperatures (≥400 degrees C) produces stable AlGaAs native oxides that prove to be useful in quantum well heterostructure devices. The open-quotes wetclose quotes oxidation process results in the conversion of high Al composition heterostructure material into a stable low refractive index, current-blocking native oxide, which can be used to define cavities and current paths. The oxidation can be used to passivate exposed Al-bearing surfaces. Its selective, anisotropic nature is also useful for the fabrication of both planar and non-planar devices, including buried-oxide heterostructures. The III-V native oxide has been used in the fabrication of single-stripe and stripe array lasers, ring lasers, coupled-cavity lasers, buried-oxide verticle cavity lasers, deep-oxide waveguides, deep-oxide lasers, and high reliability LED's. Also, the native oxide of A1As has been demonstrated in field effect transistor operation. The use of the III-V native oxide in various device applications is described
Falk, Markus; Donaldsson, Snorri; Jonsson, Baldvin; Drevhammar, Thomas
2017-11-01
Medijet nasal continuous positive airway pressure (CPAP) generators are a family of devices developed from the Benveniste valve. Previous studies have shown that the in vitro performance of the Medijet disposable generator was similar to the Neopuff resistor system. We hypothesised that resistance would be the main mechanism of CPAP generation in the Medijet disposable generator. The in vitro performance of the Medijet reusable and disposable systems, the Neopuff resistor system and the Benveniste and Infant Flow nonresistor systems were investigated using static and dynamic bench tests. Large differences in performance were found between the different systems. The disposable Medijet demonstrated high resistance, low pressure stability and high imposed work of breathing. The results also showed that encapsulating the Benveniste valve changed it into a resistor system. The main mechanism of CPAP generation for the disposable Medijet generator was resistance. The Medijet device family showed increasing resistance with each design generation. The high resistance of the Medijet disposable generator could be of great value when examining the clinical importance of pressure stability. Our results suggest that this device should be used cautiously in patients where pressure-stable CPAP is believed to be clinically important. ©2017 Foundation Acta Paediatrica. Published by John Wiley & Sons Ltd.
Chemical insight into origin of forming-free resistive random-access memory devices
Wu, X.; Fang, Z.; Li, K.; Bosman, M.; Raghavan, N.; Li, X.; Yu, H. Y.; Singh, N.; Lo, G. Q.; Zhang, Xixiang; Pey, K. L.
2011-01-01
We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission
Improved oxidation resistance of group VB refractory metals by Al+ ion implantation
International Nuclear Information System (INIS)
Hampikian, J.M.
1996-01-01
Aluminum ion implantation of vanadium, niobium, and tantalum improved the metals' oxidation resistances at 500 C and 735 C. Implanted vanadium oxidized only to one-third the extent of unimplanted vanadium when exposed at 500 C to air. The oxidative weight gains of implanted niobium and tantalum proved negligible when measured at 500 C and for times sufficient to fully convert the untreated metals to their pentoxides. At 735 C, implantation of vanadium only slightly retarded its oxidation, while oxidative weight gains of niobium and tantalum were reduced by factors of 3 or more. Implanted niobium exhibited weight gain in direct proportion to oxidation time squared at 735 C. Microstructural examination of the metals implanted with selected fluences of the 180 kV aluminum ions showed the following. The solubility limit of aluminum is extended by implantation, the body centered cubic (bcc) phases being retained to ∼60 at. pct Al in all three metals. The highest fluence investigated, 2.4 x 10 22 ions/m 2 , produced an ∼400-nm layer of VAl 3 beneath the surface of vanadium, and ∼300-nm layers of an amorphous phase containing ∼70 at. pct Al beneath the niobium and tantalum surfaces. All three metals, implanted to this fluence and annealed at 600 C, contained tri-aluminides, intermetallic compounds known for their oxidation resistances. Specimens implanted to this fluence were thus selected for the oxidation measurements
Nonvolatile resistive switching in Pt/laALO3/srTiO3 heterostructures
Wu, S.
2013-12-12
Resistive switching heterojunctions, which are promising for nonvolatile memory applications, usually share a capacitorlike metal-oxide-metal configuration. Here, we report on the nonvolatile resistive switching in Pt/LaAlO3/SrTiO3 heterostructures, where the conducting layer near the LaAlO3/SrTiO3 interface serves as the "unconventional"bottom electrode although both oxides are band insulators. Interestingly, the switching between low-resistance and high-resistance states is accompanied by reversible transitions between tunneling and Ohmic characteristics in the current transport perpendicular to the planes of the heterojunctions. We propose that the observed resistive switching is likely caused by the electric-field-induced drift of charged oxygen vacancies across the LaAlO3/SrTiO3 interface and the creation of defect-induced gap states within the ultrathin LaAlO3 layer. These metal-oxide-oxide heterojunctions with atomically smooth interfaces and defect-controlled transport provide a platform for the development of nonvolatile oxide nanoelectronics that integrate logic and memory devices.
Energy Technology Data Exchange (ETDEWEB)
Münzenrieder, Niko, E-mail: muenzenrieder@ife.ee.ethz.ch; Salvatore, Giovanni A.; Petti, Luisa; Zysset, Christoph; Büthe, Lars; Vogt, Christian; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory Swiss Federal Institute of Technology (ETH) Zürich, Gloriastrasse 35, 8092 Zürich (Switzerland)
2014-12-29
In recent years new forms of electronic devices such as electronic papers, flexible displays, epidermal sensors, and smart textiles have become reality. Thin-film transistors (TFTs) are the basic blocks of the circuits used in such devices and need to operate above 100 MHz to efficiently treat signals in RF systems and address pixels in high resolution displays. Beyond the choice of the semiconductor, i.e., silicon, graphene, organics, or amorphous oxides, the junctionless nature of TFTs and its geometry imply some limitations which become evident and important in devices with scaled channel length. Furthermore, the mechanical instability of flexible substrates limits the feature size of flexible TFTs. Contact resistance and overlapping capacitance are two parasitic effects which limit the transit frequency of transistors. They are often considered independent, while a deeper analysis of TFTs geometry imposes to handle them together; in fact, they both depend on the overlapping length (L{sub OV}) between source/drain and the gate contacts. Here, we conduct a quantitative analysis based on a large number of flexible ultra-scaled IGZO TFTs. Devices with three different values of overlap length and channel length down to 0.5 μm are fabricated to experimentally investigate the scaling behavior of the transit frequency. Contact resistance and overlapping capacitance depend in opposite ways on L{sub OV}. These findings establish routes for the optimization of the dimension of source/drain contact pads and suggest design guidelines to achieve megahertz operation in flexible IGZO TFTs and circuits.
Energy Technology Data Exchange (ETDEWEB)
Kim, Donghyun [Department of Materials Science and Engineering, Pusan National University, Busan 46241 (Korea, Republic of); Sung, Dahye [Department of Materials Science and Engineering, Pusan National University, Busan 46241 (Korea, Republic of); Korea Institute of Industrial Technology (KITECH), Busan 46742 (Korea, Republic of); Lee, Junghoon [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Kim, Yonghwan [Korea Institute of Industrial Technology (KITECH), Busan 46742 (Korea, Republic of); Chung, Wonsub, E-mail: wschung1@pusan.ac.kr [Department of Materials Science and Engineering, Pusan National University, Busan 46241 (Korea, Republic of)
2015-12-01
Highlights: • Composite plasma electrolytic oxidation was performed using dispersed CuO particles in convectional PEO electrolyte. • Thermal radiation performance and corrosion resistance were examined by FT-IR spectroscopy and electrochemical methods, respectively. • Deposited copper oxide on the surface of the Al substrate was enhanced the corrosion resistance and the emissivity compared with the conventional PEO. - Abstract: A composite plasma electrolytic oxidation (PEO) was performed for enhancing the thermal radiation performance and corrosion resistance on an Al alloy by dispersing cupric oxide (CuO) particles in a conventional PEO electrolyte. Cu-based oxides (CuO and Cu{sub 2}O) formed by composite PEO increased the emissivity of the substrate to 0.892, and made the surface being dark color, similar to a black body, i.e., an ideal radiator. In addition, the corrosion resistance was analyzed using potentio-dynamic polarization and electrochemical impedance spectroscopy tests in 3.5 wt.% NaCl aqueous solution. An optimum condition of 10 ampere per square decimeter (ASD) current density and 30 min processing time produced appropriate surface morphologies and coating thicknesses, as well as dense Cu- and Al-based oxides that constituted the coating layers.
Potentials and challenges of integration for complex metal oxides in CMOS devices and beyond
International Nuclear Information System (INIS)
Kim, Y; Pham, C; Chang, J P
2015-01-01
This review focuses on recent accomplishments on complex metal oxide based multifunctional materials and the potential they hold in advancing integrated circuits. It begins with metal oxide based high-κ materials to highlight the success of their integration since 45 nm complementary metal–oxide–semiconductor (CMOS) devices. By simultaneously offering a higher dielectric constant for improved capacitance as well as providing a thicker physical layer to prevent the quantum mechanical tunnelling of electrons, high-κ materials have enabled the continued down-scaling of CMOS based devices. The most recent technology driver has been the demand to lower device power consumption, which requires the design and synthesis of novel materials, such as complex metal oxides that exhibit remarkable tunability in their ferromagnetic, ferroelectric and multiferroic properties. These properties make them suitable for a wide variety of applications such as magnetoelectric random access memory, radio frequency band pass filters, antennae and magnetic sensors. Single-phase multiferroics, while rare, offer unique functionalities which have motivated much scientific and technological research to ascertain the origins of their multiferroicity and their applicability to potential devices. However, due to the weak magnetoelectric coupling for single-phase multiferroics, engineered multiferroic composites based on magnetostrictive ferromagnets interfacing piezoelectrics or ferroelectrics have shown enhanced multiferroic behaviour from effective strain coupling at the interface. In addition, nanostructuring of the ferroic phases has demonstrated further improvement in the coupling effect. Therefore, single-phase and engineered composite multiferroics consisting of complex metal oxides are reviewed in terms of magnetoelectric coupling effects and voltage controlled ferromagnetic properties, followed by a review on the integration challenges that need to be overcome to realize the
Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao
2013-11-26
In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.
Baptista-Pires, Luis; Mayorga-Martínez, Carmen C; Medina-Sánchez, Mariana; Montón, Helena; Merkoçi, Arben
2016-01-26
We demonstrate a graphene oxide printing technology using wax printed membranes for the fast patterning and water activation transfer using pressure based mechanisms. The wax printed membranes have 50 μm resolution, longtime stability and infinite shaping capability. The use of these membranes complemented with the vacuum filtration of graphene oxide provides the control over the thickness. Our demonstration provides a solvent free methodology for printing graphene oxide devices in all shapes and all substrates using the roll-to-roll automatized mechanism present in the wax printing machine. Graphene oxide was transferred over a wide variety of substrates as textile or PET in between others. Finally, we developed a touch switch sensing device integrated in a LED electronic circuit.
The role of contact resistance in graphene field-effect devices
Giubileo, Filippo; Di Bartolomeo, Antonio
2017-08-01
The extremely high carrier mobility and the unique band structure, make graphene very useful for field-effect transistor applications. According to several works, the primary limitation to graphene based transistor performance is not related to the material quality, but to extrinsic factors that affect the electronic transport properties. One of the most important parasitic element is the contact resistance appearing between graphene and the metal electrodes functioning as the source and the drain. Ohmic contacts to graphene, with low contact resistances, are necessary for injection and extraction of majority charge carriers to prevent transistor parameter fluctuations caused by variations of the contact resistance. The International Technology Roadmap for Semiconductors, toward integration and down-scaling of graphene electronic devices, identifies as a challenge the development of a CMOS compatible process that enables reproducible formation of low contact resistance. However, the contact resistance is still not well understood despite it is a crucial barrier towards further improvements. In this paper, we review the experimental and theoretical activity that in the last decade has been focusing on the reduction of the contact resistance in graphene transistors. We will summarize the specific properties of graphene-metal contacts with particular attention to the nature of metals, impact of fabrication process, Fermi level pinning, interface modifications induced through surface processes, charge transport mechanism, and edge contact formation.
CoSix contact resistance after etching and ashing plasma exposure
International Nuclear Information System (INIS)
Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya
2009-01-01
The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.
Bipolar resistive switching behaviors of ITO nanowire networks
Directory of Open Access Journals (Sweden)
Qiang Li
2016-02-01
Full Text Available We have fabricated indium tin oxide (ITO nanowire (NW networks on aluminum electrodes using electron beam evaporation. The Ag/ITO-NW networks/Al capacitor exhibits bipolar resistive switching behavior. The resistive switching characteristics of ITO-NW networks are related to the morphology of NWs. The x-ray photoelectron spectroscopy was used to obtain the chemical nature from the NWs surface, investigating the oxygen vacancy state. A stable switching voltages and a clear memory window were observed in needle-shaped NWs. The ITO-NW networks can be used as a new two-dimensional metal oxide material for the fabrication of high-density memory devices.
Development of bacterially resistant polyurethane for coating medical devices
International Nuclear Information System (INIS)
Roohpour, Nima; Moshaverinia, Alireza; Wasikiewicz, Jaroslaw M; Paul, Deepen; Vadgama, Pankaj; Wilks, Mark; Millar, Michael
2012-01-01
Polyurethanes have been widely used in medicine for coating and packaging implantable and other medical devices. Polyether-urethanes, in particular, have superior mechanical properties and are biocompatible, but in common with other medical materials they are susceptible to microbial film formation. In this study, polyether-urethane was end-capped with silver lactate and silver sulfadiazine functional groups to produce a bacterially resistant polymer without sacrificing the useful mechanical properties of the polyether-polyurethane. The silver ions were covalently incorporated into the polymer during chain extension of the prepolymer. The functionalized polymers were structurally characterized by light scattering, electron microscopy, NMR, FTIR and Raman spectroscopy. Mechanical properties, hydrophilicity, in vitro stability and antibacterial action of polymers were also investigated. Results indicate that both silver salts were successfully incorporated into the polymer structure without significant effect on mechanical properties, whilst conferring acceptable bacterial resistance.
Thermally oxidized titania nanotubes enhance the corrosion resistance of Ti6Al4V.
Grotberg, John; Hamlekhan, Azhang; Butt, Arman; Patel, Sweetu; Royhman, Dmitry; Shokuhfar, Tolou; Sukotjo, Cortino; Takoudis, Christos; Mathew, Mathew T
2016-02-01
The negative impact of in vivo corrosion of metallic biomedical implants remains a complex problem in the medical field. We aimed to determine the effects of electrochemical anodization (60V, 2h) and thermal oxidation (600°C) on the corrosive behavior of Ti-6Al-4V, with serum proteins, at physiological temperature. Anodization produced a mixture of anatase and amorphous TiO2 nanopores and nanotubes, while the annealing process yielded an anatase/rutile mixture of TiO2 nanopores and nanotubes. The surface area was analyzed by the Brunauer-Emmett-Teller method and was estimated to be 3 orders of magnitude higher than that of polished control samples. Corrosion resistance was evaluated on the parameters of open circuit potential, corrosion potential, corrosion current density, passivation current density, polarization resistance and equivalent circuit modeling. Samples both anodized and thermally oxidized exhibited shifts of open circuit potential and corrosion potential in the noble direction, indicating a more stable nanoporous/nanotube layer, as well as lower corrosion current densities and passivation current densities than the smooth control. They also showed increased polarization resistance and diffusion limited charge transfer within the bulk oxide layer. The treatment groups studied can be ordered from greatest corrosion resistance to least as Anodized+Thermally Oxidized > Anodized > Smooth > Thermally Oxidized for the conditions investigated. This study concludes that anodized surface has a potential to prevent long term implant failure due to corrosion in a complex in-vivo environment. Copyright © 2015 Elsevier B.V. All rights reserved.
Temperature Dependence of the Seebeck Coefficient in Zinc Oxide Thin Films
Noori, Amirreza; Masoumi, Saeed; Hashemi, Najmeh
2017-12-01
Thermoelectric devices are reliable tools for converting waste heat into electricity as they last long, produce no noise or vibration, have no moving elements, and their light weight makes them suitable for the outer space usage. Materials with high thermoelectric figure of merit (zT) have the most important role in the fabrication of efficient thermoelectric devices. Metal oxide semiconductors, specially zinc oxide has recently received attention as a material suitable for sensor, optoelectronic and thermoelectric device applications because of their wide direct bandgap, chemical stability, high-energy radiation endurance, transparency and acceptable zT. Understanding the thermoelectric properties of the undoped ZnO thin films can help design better ZnO-based devices. Here, we report the results of our experimental work on the thermoelectric properties of the undoped polycrystalline ZnO thin films. These films are deposited on alumina substrates by thermal evaporation of zinc in vacuum followed by a controlled oxidation process in air carried out at the 350-500 °C temperature range. The experimental setup including gradient heaters, thermometry system and Seebeck voltage measurement equipment for high resistance samples is described. Seebeck voltage and electrical resistivity of the samples are measured at different conditions. The observed temperature dependence of the Seebeck coefficient is discussed.
Carbon nanotube network-silicon oxide non-volatile switches.
Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S
2014-12-08
The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.
Nonvolatile Resistive Switching in Pt/LaAlO_{3}/SrTiO_{3} Heterostructures
Directory of Open Access Journals (Sweden)
Shuxiang Wu
2013-12-01
Full Text Available Resistive switching heterojunctions, which are promising for nonvolatile memory applications, usually share a capacitorlike metal-oxide-metal configuration. Here, we report on the nonvolatile resistive switching in Pt/LaAlO_{3}/SrTiO_{3} heterostructures, where the conducting layer near the LaAlO_{3}/SrTiO_{3} interface serves as the “unconventional” bottom electrode although both oxides are band insulators. Interestingly, the switching between low-resistance and high-resistance states is accompanied by reversible transitions between tunneling and Ohmic characteristics in the current transport perpendicular to the planes of the heterojunctions. We propose that the observed resistive switching is likely caused by the electric-field-induced drift of charged oxygen vacancies across the LaAlO_{3}/SrTiO_{3} interface and the creation of defect-induced gap states within the ultrathin LaAlO_{3} layer. These metal-oxide-oxide heterojunctions with atomically smooth interfaces and defect-controlled transport provide a platform for the development of nonvolatile oxide nanoelectronics that integrate logic and memory devices.
Song, Zhiwei; Li, Gang; Xiong, Ying; Cheng, Chuanpin; Zhang, Wanli; Tang, Minghua; Li, Zheng; He, Jiangheng
2018-05-01
A memory device with a Pt/SrBi2Ta2O9(SBT)/Pt(111) structure was shown to have excellent combined ferroelectricity and resistive switching properties, leading to higher multistate storage memory capacity in contrast to ferroelectric memory devices. In this device, SBT polycrystalline thin films with significant (115) orientation were fabricated on Pt(111)/Ti/SiO2/Si(100) substrates using CVD (chemical vapor deposition) method. Measurement results of the electric properties exhibit reproducible and reliable ferroelectricity switching behavior and bipolar resistive switching effects (BRS) without an electroforming process. The ON/OFF ratio of the resistive switching was found to be about 103. Switching mechanisms for the low resistance state (LRS) and high resistance state (HRS) currents are likely attributed to the Ohmic and space charge-limited current (SCLC) behavior, respectively. Moreover, the ferroelectricity and resistive switching effects were found to be mutually independent, and the four logic states were obtained by controlling the periodic sweeping voltage. This work holds great promise for nonvolatile multistate memory devices with high capacity and low cost.
Energy Technology Data Exchange (ETDEWEB)
Sarkar, N.B.; Sarkar, P.; Choudhury, A. [Central Fuel Research Institute, P.O. FRI, Dhanbad-828108, Jharkhand (India)
2005-02-25
The influence of hydrothermal treatment of coal prior to carbonization, on the oxidation susceptibility of resultant coke/char, calcined at 1350, 1800 and 2200 {sup o}C has been investigated. The non-isothermal thermogravimetric analysis technique has been employed, and parameters such as onset, DTG peak temperatures, and cumulative oxidation loss (wt.%) at different temperatures have been utilized to compare proneness to oxidation with respective untreated samples apart from electrical resistivity. Data suggest that all the cokes/chars samples produced from hydrothermally treated coals are less reactive and more electrically conductive (less resistive) than their respective untreated counterparts. But the extent of improvement of oxidation resistance and electrical conductivity appears to be coal-specific. The kinetic parameters obtained by non-linear regression analysis on multi-curve reveal that the n{sup th} order reaction model (where 'n' was found to vary from 0.9 to 1.3) is the best-fitted model. The higher activation energy values observed for hydrothermally treated coke samples are in agreement with the observation of TG analysis data. Overall results indicate the importance of introducing a hydrothermal treatment step for the improvement of oxidation resistance as well as electrical conductivity of the coke samples.
Effect of nano-oxide particle size on radiation resistance of iron–chromium alloys
Energy Technology Data Exchange (ETDEWEB)
Xu, Weizong; Li, Lulu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695 (United States); Valdez, James A. [Materials Science and Technology Division, Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Saber, Mostafa [Department of Mechanical and Materials Engineering, Portland State University, Portland, OR 97201 (United States); Zhu, Yuntian, E-mail: ytzhu@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695 (United States); Koch, Carl C.; Scattergood, Ronald O. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695 (United States)
2016-02-15
Radiation resistance of Fe–14Cr alloys under 200 keV He irradiation at 500 °C was systematically investigated with varying sizes of nano oxide Zr, Hf and Cr particles. It is found that these nano oxide particles acted as effective sites for He bubble formation. By statistically analyzing 700–1500 He bubbles at the depth of about 150–700 nm from a series of HRTEM images for each sample, we established the variation of average He bubble size, He bubble density, and swelling percentage along the depth, and found them to be consistent with the He concentration profile calculated from the SIRM program. Oxide particles with sizes less than 3.5–4 nm are found most effective for enhancing radiation resistance in the studied alloy systems.
International Nuclear Information System (INIS)
An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.
2009-01-01
Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.
Paulus, David C; Schilling, Brian K
2009-01-01
The unloading of spaceflight leads to bone and muscle atrophy, and a pneumatic resistance squat exercise countermeasure has the potential to provide optimized controllable resistance in a lightweight and compact configuration. However each end of the barbell in the proposed device is connected to a separate resistance cylinder which could lead to bilaterally asymmetric loading. Therefore, the purpose of the study is to compare the unilateral ground reaction forces (GRF) of the new squat device compared to free weights. Four previously trained men (mean +/- SD; age = 20+/-2 years, body mass = 99+/-18 kg) performed three sets of three repetitions of maximal exertion squat exercises with pneumatically controlled constant resistance and free weights each with a resistance level set to half of the body weight of each subject. Unilateral GRF data for each lifting modality at the negative to positive transition of the squat exercise was measured with a force plate under each foot. The pneumatic resistance GRF (N; mean +/- SD) was 749+/-114 on the left leg and 786+/-123 on the right leg and the free weight GRF was 786+/-114 left and 861+/-111 right resulting in a 5% difference between left and right GRF with pneumatics and 9% difference with free weights. The correlation coefficient between left and right GRF was 0.92 with pneumatics and 0.80 with free weights. Because the pneumatic device elicited more bilaterally symmetric GRF than traditional free weights, the separate resistance cylinders are an acceptable design configuration.
Pulse width and height modulation for multi-level resistance in bi-layer TaOx based RRAM
Alamgir, Zahiruddin; Beckmann, Karsten; Holt, Joshua; Cady, Nathaniel C.
2017-08-01
Mutli-level switching in resistive memory devices enables a wide range of computational paradigms, including neuromorphic and cognitive computing. To this end, we have developed a bi-layer tantalum oxide based resistive random access memory device using Hf as the oxygen exchange layer. Multiple, discrete resistance levels were achieved by modulating the RESET pulse width and height, ranging from 2 kΩ to several MΩ. For a fixed pulse height, OFF state resistance was found to increase gradually with the increase in the pulse width, whereas for a fixed pulse width, the increase in the pulse height resulted in drastic changes in resistance. Resistive switching in these devices transitioned from Schottky emission in the OFF state to tunneling based conduction in the ON state, based on I-V curve fitting and temperature dependent current measurements. These devices also demonstrated endurance of more than 108 cycles with a satisfactory Roff/Ron ratio and retention greater than 104 s.
Technology of Processing of Fluorol with Metallic Oxides and It's Resistance toward Active Fluid
International Nuclear Information System (INIS)
Mahmoud, G.M.; Hadhoud, M.K.; Mohamed, A.Z.; Sherif, S.A
2004-01-01
Fluorocarbon polymers are the best elastomers for a variety of applications. In this work we investigate the characteristics of fluorocarbon rubber for use in different applications, via preparation different formulations. We investigate the effect of added metallic oxides ( CaO, MgO, ZnO and PbO ) on the chemical and physical properties of prepared formulations. Chemical resistance tests were made for conc. H 2 SO 4 , conc. HCl, conc. HNO 3 , ASTM Oil No.2, ASTM Ref. Fuel C and conc. NaOH solution. Results showed that the prepared fluorocarbon rubber have high chemical resistance to various acids, alkalis, oils and fuels, also chemical resistance towards conc. HNO 3 is enhanced in the presence of lead oxide
DEFF Research Database (Denmark)
Fernandes Cauduro, André Luis; dos Reis, Roberto; Chen, Gong
2017-01-01
The ability to control the interfacial properties in metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties and thus their integration in novel optoelectronic devices. This is exemplified in photovoltaic devices based on organic, inorganic...... or hybrid technologies, where precise control of the charge transport properties through the interfacial layer is highly important for improving device performance. In this work, we study the effects of in situ annealing in nearly stoichiometric MoOx (x ∼ 3.0) thin-films deposited by reactive sputtering. We...... with structural characterizations, this work addresses a novel method for tuning, and correlating, the optoelectronic properties and microstructure of device-relevant MoOx layers....
Oxidation resistant peroxide cross-linked UHMWPE produced by blending and surface diffusion
International Nuclear Information System (INIS)
Gul, Rizwan M; Oral, Ebru; Muratoglu, Orhun K
2014-01-01
Ultra-high molecular weight polyethylene (UHMWPE) has been widely used as acetabular cup in total hip replacement (THR) and tibial component in total knee replacement (TKR). Crosslinking of UHMWPE has been successful used to improve its wear performance leading to longer life of orthopedic implants. Crosslinking can be performed by radiation or organic peroxides. Peroxide crosslinking is a convenient process as it does not require specialized equipment and the level of crosslinking can be manipulated by changing the amount of peroxide added. However, there is concern about the long-term stability of these materials due to possible presence of by-products. Vitamin E has been successfully used to promote long-term oxidative stability of UHMWPE. In this study, UHMWPE has been crosslinked using organic peroxide in the presence of Vitamin E to produce an oxidation resistant peroxide crosslinked material. Crosslinking was performed both in bulk by mixing peroxide and resin, and only on the surface using diffusion of peroxides.The results show that UHMWPE can be crosslinked using organic peroxides in the presence of vitamin E by both methods. However, the level of crosslinking decreases with the increase in vitamin E content. The wear resistance increases with the increase in crosslink density, and oxidation resistance significantly increases due to the presence of vitamin E
Oxidation resistant peroxide cross-linked UHMWPE produced by blending and surface diffusion
International Nuclear Information System (INIS)
Gul, R. M.; Oral, E.; Muratoglu, O. K.
2013-01-01
Ultra-high molecular weight polyethylene (UHMWPE) has been widely used as acetabular cup in total hip replacement (THR) and tibial component in total knee replacement (TKR). Crosslinking of UHMWPE has been successful used to improve its wear performance leading to longer life of orthopedic implants. Crosslinking can be performed by radiation or organic peroxides. Peroxide crosslinking is a convenient process as it does not require specialized equipment and the level of crosslinking can be manipulated by changing the amount of peroxide added. However, there is concern about the long-term stability of these materials due to possible presence of by-products. Vitamin E has been successfully used to promote long-term oxidative stability of UHMWPE. In this study, UHMWPE has been crosslinked using organic peroxide in the presence of Vitamin E to produce an oxidation resistant peroxide crosslinked material. Crosslinking was performed both in bulk by mixing peroxide and resin, and only on the surface using diffusion of peroxides.The results show that UHMWPE can be crosslinked using organic peroxides in the presence of vitamin E by both methods. However, the level of crosslinking decreases with the increase in vitamin E content. The wear resistance increases with the increase in crosslink density, and oxidation resistance significantly increases due to the presence of vitamin E. (author)
Energy Technology Data Exchange (ETDEWEB)
Wang, Jer-Chyi, E-mail: jcwang@mail.cgu.edu.tw [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Ye, Yu-Ren [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lai, Chao-Sung, E-mail: cslai@mail.cgu.edu.tw [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lin, Chih-Ting [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lu, Hsin-Chun [Department of Chemical and Materials Engineering, Chang Gung University, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Wu, Chih-I [Graduated Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 106, Taiwan (China); Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan (China); Wang, Po-Sheng [Graduated Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 106, Taiwan (China)
2013-07-01
The effect of the CF{sub 4} plasma treatment on the gadolinium oxide (Gd{sub x}O{sub y}) thin films for the resistive random access memory (RRAM) applications was investigated. The material properties of the fluorine incorporated Gd{sub x}O{sub y} films were analyzed by the X-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS) and ultraviolet–visible spectroscopy (UV–VIS). Further, the set and reset voltages of the Pt/Gd{sub x}O{sub y}/W RRAM devices with the CF{sub 4} plasma treatment were effectively reduced to −1.15 and 2.1 V respectively owing to the low Schottky barrier height. The formation of Gd-F bonds can prevent the oxygen atoms from out-diffusing through Pt grain boundaries into the atmosphere, leading to the superior retention characteristics for over 10{sup 4} s. The CF{sub 4} plasma treated Gd{sub x}O{sub y} RRAMs can sustain a resistance ratio of 10{sup 2} for more than 800 times stable set/reset cycling, suitable for future low-voltage and high-performance nonvolatile memory operation.
Device operation of conjugated polymer/zinc oxide bulk heterojunction solar cells
Koster, L. Jan Anton; van Strien, Wouter J.; Beek, Waldo J. E.; Blom, Paul W. M.
2007-01-01
Solar cells based on a poly (p-phenylene vinylene) (PPV) derivative and zinc oxide nanoparticles can reach a power conversion efficiency of 1.6%. The transport of electrons and holes in these promising devices is characterized and it is found that the electron mobility is equal to 2.8 x 10(-9) m(2)
Freestanding, heat resistant microporous film for use in energy storage devices
Pekala, Richard W.; Cherukupalli, Srinivas; Waterhouse, Robert R.
2018-02-20
Preferred embodiments of a freestanding, heat resistant microporous polymer film (10) constructed for use in an energy storage device (70, 100) implements one or more of the following approaches to exhibit excellent high temperature mechanical and dimensional stability: incorporation into a porous polyolefin film of sufficiently high loading levels of inorganic or ceramic filler material (16) to maintain porosity (18) and achieve low thermal shrinkage; use of crosslinkable polyethylene to contribute to crosslinking the polymer matrix (14) in a highly inorganic material-filled polyolefin film; and heat treating or annealing of biaxially oriented, highly inorganic material-filled polyolefin film above the melting point temperature of the polymer matrix to reduce residual stress while maintaining high porosity. The freestanding, heat resistant microporous polymer film embodiments exhibit extremely low resistance, as evidenced by MacMullin numbers of less than 4.5.
National Oceanic and Atmospheric Administration, Department of Commerce — A nanoporous insulating oxide deionization device, method of manufacture and method of use thereof for deionizing a water supply (such as a hard water supply), for...
Evaluation of Heat Capacity and Resistance to Cyclic Oxidation of Nickel Superalloys
Directory of Open Access Journals (Sweden)
Przeliorz R.
2014-08-01
Full Text Available Paper presents the results of evaluation of heat resistance and specific heat capacity of MAR-M-200, MAR-M-247 and Rene 80 nickel superalloys. Heat resistance was evaluated using cyclic method. Every cycle included heating in 1100°C for 23 hours and cooling for 1 hour in air. Microstructure of the scale was observed using electron microscope. Specific heat capacity was measured using DSC calorimeter. It was found that under conditions of cyclically changing temperature alloy MAR-M-247 exhibits highest heat resistance. Formed oxide scale is heterophasic mixture of alloying elements, under which an internal oxidation zone was present. MAR-M-200 alloy has higher specific heat capacity compared to MAR-M-247. For tested alloys in the temperature range from 550°C to 800°C precipitation processes (γ′, γ″ are probably occurring, resulting in a sudden increase in the observed heat capacity.
Computer model of copper resistivity will improve the efficiency of field-compression devices
International Nuclear Information System (INIS)
Burgess, T.J.
1977-01-01
By detonating a ring of high explosive around an existing magnetic field, we can, under certain conditions, compress the field and multiply its strength tremendously. In this way, we can duplicate for a fraction of a second the extreme pressures that normally exist only in the interior of stars and planets. Under such pressures, materials may exhibit behavior that will confirm or alter current notions about the fundamental structure of matter and the ongoing processes in planetary interiors. However, we cannot design an efficient field-compression device unless we can calculate the electrical resistivity of certain basic metal components, which interact with the field. To aid in the design effort, we have developed a computer code that calculates the resistivity of copper and other metals over the wide range of temperatures and pressures found in a field-compression device
Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays
Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.
2018-04-01
This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical
Characterization of Zinc Oxide (ZnO) piezoelectric properties for Surface Acoustic Wave (SAW) device
Rosydi Zakaria, Mohd; Johari, Shazlina; Hafiz Ismail, Mohd; Hashim, Uda
2017-11-01
In fabricating Surface Acoustic Wave (SAW) biosensors device, the substrate is one of important factors that affected to performance device. there are many types of piezoelectric substrate in the markets and the cheapest is zinc Oxide substrate. Zinc Oxide (ZnO) with its unique properties can be used as piezoelectric substrate along with SAW devices for detection of DNA in this research. In this project, ZnO thin film is deposited onto silicon oxide substrate using electron beam evaporation (E-beam) and Sol-Gel technique. Different material structure is used to compare the roughness and best piezoelectric substrate of ZnO thin film. Two different structures of ZnO target which are pellet and granular are used for e-beam deposition and one sol-gel liquid were synthesize and compared. Parameter for thickness of ZnO e-beam deposition is fixed to a 0.1kÅ for both materials structure and sol-gel was coat using spin coat technique. After the process is done, samples are annealed at temperature of 500°C for 2 hours. The structural properties of effect of post annealing using different material structure of ZnO are studied using Atomic Force Microscopic (AFM) for surface morphology and X-ray Diffraction (XRD) for phase structure.
Energy Technology Data Exchange (ETDEWEB)
Wang, Qi [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Yu, Junsheng, E-mail: jsyu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Zhao, Juan; Wang, Jun [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Ming [College of Chemistry, Sichuan University, Chengdu 610064 (China); Lu, Zhiyun, E-mail: luzhiyun@scu.edu.cn [College of Chemistry, Sichuan University, Chengdu 610064 (China)
2013-02-15
Highly efficient red phosphorescent organic light-emitting devices had been fabricated using a new iridium complex, bis[2-(9,9-dimethyl-9H-fluoren-2-yl) benzothiazolato-N,C{sup 2'}]iridium(III) (acetylacetonate) [(fbt){sub 2}Ir(acac)] as phosphor. With a high doping concentration of 15 wt%, the device exhibited a maximum luminance efficiency, power efficiency and external quantum efficiency (EQE) of 35.2 cd/A, 21.3 lm/W, 18.2%, respectively, indicating an excellent quench-resistant property of (fbt){sub 2}Ir(acac). The results are appealing towards the development of 'easy-to-make' OLEDs. It has been demonstrated that the high efficiency arises from more balanced charge carriers in the emissive layer. - Highlight: Black-Right-Pointing-Pointer We obtained efficient OLEDs based on newly synthesized quench-resistant phosphor. Black-Right-Pointing-Pointer Peak performance was obtained with 15 wt% (fbt){sub 2}Ir(acac) doped device. Black-Right-Pointing-Pointer Our devices gave one of the best performance among heavily-doped red devices. Black-Right-Pointing-Pointer Balanced carrier transport is crucial for the high performance of our devices.
Fluorine-doped tin oxide surfaces modified by self-assembled alkanethiols for thin-film devices
Energy Technology Data Exchange (ETDEWEB)
Alves, A.C.T.; Gomes, D.J.C.; Silva, J.R.; Silva, G.B., E-mail: george@cpd.ufmt.br
2013-08-15
In this work, we have investigated self-assembled monolayers (SAMs) from alkanethiols on fluorine-doped tin oxide (FTO) surfaces, which were used as an anode for thin-film devices prepared from the conductive copolymer so-called sulfonated poly(thiophene-3-[2-(2-methoxyethoxy) ethoxy]-2,5-diyl) (S-P3MEET). The assembled monolayers were characterized by using wetting contact angle, atomic force microscopy, and electrical measurements. The results indicated that dodecanethiol molecules, CH{sub 3}(CH{sub 2}){sub 11}SH, were well assembled on the FTO surfaces. In addition, it was found similar values of wetting contact angle for dodecanethiol assembled on both FTO and Au surfaces. Concerning the thin-film device, current–voltage analysis revealed a hysteresis. This behavior was associated to a charge-trapping effect and also to structural changes of the SAMs. Finally, charge injection capability of tin oxide electrodes can be improved by using SAMs and then this approach can plays an important role in molecular-scale electronic devices.
Oxidation resistance of quintuple Ti-Al-Si-C-N coatings and associated mechanism
Energy Technology Data Exchange (ETDEWEB)
Wu Guizhi; Ma Shengli; Xu Kewei; Ji, Vincent; Chu, Paul K. [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); ICMMO/LEMHE, Universite Paris-Sud 11, 91405 Orsay Cedex (France); Department of Physics and Materials Science, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon (Hong Kong)
2012-07-15
The oxidation behavior of Ti-Al-Si-C-N hard coatings with different Al contents deposited on high-speed steel and Si substrates by hybrid arc-enhanced magnetron sputtering is investigated in the temperature range of 500 Degree-Sign C-1000 Degree-Sign C. The coating hardness is maintained at around 35 GPa, and the parabolic oxidation rate constant K{sub p} at 1000 Degree-Sign C decreases to 3.36 Multiplication-Sign 10{sup -10} kg{sup 2} m{sup -4} s{sup -1} when the Al concentration is increased to 30 at. %, indicating that Ti-Al-Si-C-N coatings with larger Al concentrations have better oxidation resistance. X-ray diffraction, cross-sectional scanning electron microscopy, and x-ray photoelectron spectroscopy reveal a protective surface layer consisting of Al{sub 2}O{sub 3}, TiO{sub 2}, and SiO{sub 2} that retards inward oxygen diffusion. A mechanism is proposed to elucidate the oxide formation. As a consequence of the good oxidation resistance, the Ti-Al-Si-C-N coatings have a large potential in high-speed dry cutting as well as other high temperature applications.
International Nuclear Information System (INIS)
Park, Jeong-Yong; Kim, Il-Hyun; Jung, Yang-Il; Kim, Hyun-Gil; Park, Dong-Jun; Choi, Byung-Kwon
2013-01-01
We investigated the feasibility to apply Al 3 Ti-based alloys as the surface layer for improving the oxidation resistance of Zr fuel claddings under accident conditions. Two types of Al 3 Ti-based alloys with the compositions of Al–25Ti–10Cr and Al–21Ti–23Cr in atomic percent were prepared by arc-melting followed by homogenization annealing at 1423 K for 48 h. Al–25Ti–10Cr alloy showed an L1 2 quasi-single phase microstructure with a lot of needle-shaped minor phase and pores. Al–21Ti–23Cr alloy consisted of an L1 2 matrix and Cr 2 Al as the second phase. Al 3 Ti-based alloys showed an extremely low oxidation rate in a 1473 K steam for up to 7200 s when compared to Zircaloy-4. Both alloys exhibited almost the same oxidation rate in the early stage of oxidation, but Al–25Ti–10Cr showed a little lower oxidation rate after 4000 s than Al–21Ti–23Cr. The difference in the oxidation rate between two types of Al 3 Ti-based alloys was too marginal to distinguish the oxidation behavior of each alloy. The resultant oxide exhibited almost the same characteristics in both alloys even though the microstructure was explicitly distinguished from each other. The crystal structure of the oxide formed up to 2000 s was identified as Al 2 O 3 in both alloys. The oxide morphology consisted of columnar grains whose length was almost identical to the average oxide thickness. On the basis of the results obtained, it is considered that Al 3 Ti-based alloy is one of the promising candidates for the oxidation-resistant surface layer on Zr fuel claddings
Resistance switching in silver - manganite contacts
International Nuclear Information System (INIS)
Gomez-Marlasca, F; Levy, P
2009-01-01
We investigate the electric pulse induced resistance switching in a transition metal oxide-metal contact at room temperature - a non volatile, reversible and multilevel memory device. Using a simple multiterminal configuration, we find that the complementary effect -in which the contact resistance of each pulsed electrode displays variations of opposite sign- is strongly influenced by the history of the pulsing procedure. Loops performed by varying the magnitude and sign of the stimulus at each pulsed electrode allow to disentangle their sole contribution at different stages of the process. Electromigration of oxygen ions and vacancies is discussed as participating at the core of the underlying mechanisms for resistance switching.
Resistance switching in silver - manganite contacts
Energy Technology Data Exchange (ETDEWEB)
Gomez-Marlasca, F [Materia Condensada GIA GAIANN CAC -CNEA, and Instituto de Nanociencia y Nanotecnologia, CNEA, Gral Paz 1499 (1650) San Martin, Pcia. Buenos Aires (Argentina); Levy, P, E-mail: levy@cnea.gov.a
2009-05-01
We investigate the electric pulse induced resistance switching in a transition metal oxide-metal contact at room temperature - a non volatile, reversible and multilevel memory device. Using a simple multiterminal configuration, we find that the complementary effect -in which the contact resistance of each pulsed electrode displays variations of opposite sign- is strongly influenced by the history of the pulsing procedure. Loops performed by varying the magnitude and sign of the stimulus at each pulsed electrode allow to disentangle their sole contribution at different stages of the process. Electromigration of oxygen ions and vacancies is discussed as participating at the core of the underlying mechanisms for resistance switching.
Energy Technology Data Exchange (ETDEWEB)
Jiang Jie [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Zhao Huayu; Zhou Xiaming [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Tao Shunyan, E-mail: shunyantao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Ding Chuanxian [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China)
2012-11-15
Highlights: Black-Right-Pointing-Pointer We used ion implantation to improve the oxidation resistance of CoNiCrAlY coating. Black-Right-Pointing-Pointer The oxidation process of CoNiCrAlY coating at 1100 Degree-Sign C for 1000 h was studied. Black-Right-Pointing-Pointer The Nb ion implanted coating exhibited better oxidation resistance. Black-Right-Pointing-Pointer The influences of Nb and Al ion implantation into CoNiCrAlY coatings were evaluated. - Abstract: CoNiCrAlY coatings prepared by vacuum plasma spraying (VPS) were implanted with Nb and Al ions at a fluence of 10{sup 17} atoms/cm{sup 2}. The effects of ion implantation on the oxidation resistance of CoNiCrAlY coatings were investigated. The thermally grown oxide (TGO) formed on each specimen was characterized by XRD, SEM and EDS, respectively. The results showed that the oxidation process of CoNiCrAlY coatings could be divided into four stages and the key to obtaining good oxidation resistance was to remain high enough amount of Al and promote the lateral growth of TGO. The implantation of Nb resulted in the formation of continuous and dense Al{sub 2}O{sub 3} scale to improve the oxidation resistance. The Al implanted coating could form Al{sub 2}O{sub 3} scale at the initial stage, however, the scale was soon broken and TGO transformed to non-protective spinel.
Microstructural Stability and Oxidation Resistance of 9-12 Chromium Steels at Elevated Temperatures
Energy Technology Data Exchange (ETDEWEB)
Dogan, O.N.; Alman, D.E.; Jablonski, P.D.; Hawk, J.A.
2006-05-01
Various martensitic 9-12 Cr steels are utilized currently in fossil fuel powered energy plants for their good elevated temperature properties such as creep strength, steam side oxidation resistance, fire side corrosion resistance, and thermal fatigue resistance. Need for further improvements on the properties of 9-12 Cr steels for higher temperature (>600oC) use is driven by the environmental concerns (i.e., improve efficiency to reduce emissions and fossil fuel consumption). In this paper, we will discuss the results of the research done to explore new subsitutional solute solution and precipitate hardening mechanisms for improved strength of 9-12 Cr martensitic steels. Stability of the phases present in the steels will be evaluated for various temperature and time exposures. A comparison of microstructural properties of the experimental steels and commercial steels will also be presented.
The influence of a Ce surface treatment on oxidation behavior of a commercial (P91) and several experimental steels containing 9 to 12 weight percent Cr was examined at 650ºC in flowing dry and moist air. The oxidation behavior of all the alloys without the Ce modification was significantly degraded by the presence of moisture in the air during testing. For instance the weight gain for P91 was two orders of magnitude greater in moist air than in dry air. This was accompanied by a change in oxide scale from the formation of Cr-based scales in dry air to the formation of Fe-based scales in moist air. The Ce surface treatment was very effective in improving the oxidation resistance of the experimental steels in both moist and dry air. For instance, after exposure to moist air at 650ºC for 2000 hours, an experimental alloy with the cerium surface modification had a weight gain three orders of magnitude lower than the alloy without the Ce modification and two orders of magnitude lower than P91. The Ce surface treatment suppressed the formation of Fe-based scales and
Dai, Lei; Sahin, Orhan; Tang, Yizhi; Zhang, Qijing
2017-12-15
Campylobacter jejuni is a leading cause of foodborne illnesses worldwide. As a microaerophilic organism, C. jejuni must be able to defend against oxidative stress encountered both in the host and in the environment. How Campylobacter utilizes a mutation-based mechanism for adaptation to oxidative stress is still unknown. Here we present a previously undescribed phenotypic and genetic mechanism that promotes the emergence of oxidative stress-resistant mutants. Specifically, we showed that a naturally occurring mutator phenotype, resulting from a loss of function mutation in the DNA repair enzyme MutY, increased oxidative stress resistance (OX R ) in C. jejuni We further demonstrated that MutY malfunction did not directly contribute to the OX R phenotype but increased the spontaneous mutation rate in the peroxide regulator gene perR , which functions as a repressor for multiple genes involved in oxidative stress resistance. Mutations in PerR resulted in loss of its DNA binding function and derepression of PerR-controlled oxidative stress defense genes, thereby conferring an OX R phenotype and facilitating Campylobacter survival under oxidative stress. These findings reveal a new mechanism that promotes the emergence of spontaneous OX R mutants in bacterial organisms. IMPORTANCE Although a mutator phenotype has been shown to promote antibiotic resistance in many bacterial species, little is known about its contribution to the emergence of OX R mutants. This work describes the link between a mutator phenotype and the enhanced emergence of OX R mutants as well as its underlying mechanism involving DNA repair and mutations in PerR. Since DNA repair systems and PerR are well conserved in many bacterial species, especially in Gram positives, the same mechanism may operate in multiple bacterial species. Additionally, we developed a novel method that allows for rapid quantification of spontaneous OX R mutants in a bacterial population. This method represents a technical
Tai, Yanlong; Bera, Tushar Kanti; Lubineau, Gilles; Yang, Zhen-Guo
2017-01-01
Carbon nanomaterials have excellent humidity sensing performance. Here, we demonstrate that reduced-graphene-oxide- (rGO) based conductive films with different thermal reduction times have gradient and invertible humidity/electrical resistance
International Nuclear Information System (INIS)
Park, Jung Hwan; Kim, Eui Jung; Jung, Yang Il; Park, Dong Jun; Kim, Hyun Gil; Park, Jeong Yong; Yang, Jae Ho
2016-01-01
Terrani et al. reported the oxidation resistance of Fe-based alloys for protecting zirconium alloys from the rapid oxidation in a high-temperature steam environment. Kim and co-workers also reported the corrosion behavior of Cr coated zirconium alloy using a plasma spray and laser beam scanning. Cracks are developed by tensile stress, and this significantly deteriorates the oxidation resistance. This tensile stress is possibly generated by the thermal cycle or bending or the irradiation growth of zirconium. In this study, Cr was deposited by AIP on to Zircaloy-4 plate, and the crack behavior of Cr coated Zircaloy-4 under uni-axial tensile strain was observed. In addition, the strain of the as-deposited state was calculated by iso-inclination method. Coating began to crack at 8% of applied strain. It is assumed that a well-densified structure by AIP tends to be resistant to cracking under tensile strain.
Energy Technology Data Exchange (ETDEWEB)
Park, Jung Hwan; Kim, Eui Jung; Jung, Yang Il; Park, Dong Jun; Kim, Hyun Gil; Park, Jeong Yong; Yang, Jae Ho [KAERI, Daejeon (Korea, Republic of)
2016-05-15
Terrani et al. reported the oxidation resistance of Fe-based alloys for protecting zirconium alloys from the rapid oxidation in a high-temperature steam environment. Kim and co-workers also reported the corrosion behavior of Cr coated zirconium alloy using a plasma spray and laser beam scanning. Cracks are developed by tensile stress, and this significantly deteriorates the oxidation resistance. This tensile stress is possibly generated by the thermal cycle or bending or the irradiation growth of zirconium. In this study, Cr was deposited by AIP on to Zircaloy-4 plate, and the crack behavior of Cr coated Zircaloy-4 under uni-axial tensile strain was observed. In addition, the strain of the as-deposited state was calculated by iso-inclination method. Coating began to crack at 8% of applied strain. It is assumed that a well-densified structure by AIP tends to be resistant to cracking under tensile strain.
Influence of rare earth additions on the oxidation resistance of chromia forming alloys
International Nuclear Information System (INIS)
Pillis, Marina Fuser
1995-01-01
The addition of rare earths to alloys, either in elemental form or as surface coatings reduces the oxidation rate of chromia forming alloys. The rare earths either act as nucleation sites for surface oxides or get incorporates into the surface oxide and diffuse to oxide grain boundaries. If the latter occurs, a change in the defect structure close to the grain boundaries, probably takes place. In this manner, the rare earths inhibits the movement of chromium ions to the oxide/gas interface. The influence of rare earth additions to AISI 316, AISI 316L and Ni-20 Cr on their oxidation behavior has been studied., AISI 316+Ce, AISI 316+Y, Ni-20 Cr and Ni-20 Cr-2 Al-1 Ce were prepared by melting and AISI 316L, AISI 316L+Ce O 2 and AISI 316L+Y 2 O 3 by powder compaction. The effect of superficial deposits of rare earth oxides was also studied. The alloys were coated with rare earth oxides by high temperature conversion of the respective rare earth nitrates. Isothermal oxidation tests were carried out at 900-1100 deg C and the cyclic oxidation tests consisted of 6 cycles of 2 hours each at 900 deg C, followed by cooling to room temperature. All the tests were carried out in air. Oxidation behavior was evaluated gravimetrically. Scanning electron microscopy was used to study surface morphology. Energy dispersive analysis and X-ray diffraction techniques were used to identify oxide constituents. Overall, it has been observed that with the addition of rare earths, oxidation resistance increases by decreasing oxidation rates and increasing oxide adhesion. Addition of rare earths to AISI 316 prepared by melting resulted in rapid formation of a chromium rich oxide layered near the metal/oxide interface which reduced overall oxidation rate. The addition of Ce O 2 to AISI 316L was found to improve oxidation behavior after 10 hours at 1100 deg C and also inhibit the formation of volatile Cr O 3 . The isothermal oxidation behavior of rare earth oxide covered Ni-20 Cr at 900 deg C
Kindsmüller, A.; Schmitz, C.; Wiemann, C.; Skaja, K.; Wouters, D. J.; Waser, R.; Schneider, C. M.; Dittmann, R.
2018-04-01
The switching mechanism of valence change resistive memory devices is widely accepted to be an ionic movement of oxygen vacancies resulting in a valence change of the metal cations. However, direct experimental proofs of valence changes in memristive devices are scarce. In this work, we have employed hard X-ray photoelectron emission microscopy (PEEM) to probe local valence changes in Pt/ZrOx/Ta memristive devices. The use of hard X-ray radiation increases the information depth, thus providing chemical information from buried layers. By extracting X-ray photoelectron spectra from different locations in the PEEM images, we show that zirconia in the active device area is reduced compared to a neighbouring region, confirming the valence change in the ZrOx film during electroforming. Furthermore, we succeeded in measuring the Ta 4f spectrum for two different resistance states on the same device. In both states, as well as outside the device region, the Ta electrode is composed of different suboxides without any metallic contribution, hinting to the formation of TaOx during the deposition of the Ta thin film. We observed a reduction of the Ta oxidation state in the low resistance state with respect to the high resistive state. This observation is contradictory to the established model, as the internal redistribution of oxygen between ZrOx and the Ta electrode during switching would lead to an oxidation of the Ta layer in the low resistance state. Instead, we have to conclude that the Ta electrode takes an active part in the switching process in our devices and that oxygen is released and reincorporated in the ZrOx/TaOx bilayer during switching. This is confirmed by the degradation of the high resistance state during endurance measurements under vacuum.
The Effect of Surface Patterning on Corrosion Resistance of Biomedical Devices
Guo, Mengnan; Toloei, Alisina; Rotermund, Harm H.
2016-10-01
In this study, two styles of surface topographies have been created on stainless steel wires to test their corrosion resistance as simulated implanted biomedical devices. Grade 316 LVM stainless steel wire was initially polished to G1500 surface finish before treatment to produce the two different topographies: 1. Unidirectional roughness was created using SiC papers and 2. Various patterns were created with specific hole diameter and inter-hole spacing using focused ion beam (FIB). In order to simulate the environment of implanted biomedical devices, a three-electrode electrochemical cell with 0.9% (by mass) NaCl solution has been used to test the corrosion resistance of the samples by potentiodynamic polarization test method. SEM and EDS analyzed the appearance and chemical composition of different elements including oxygen on the surface. The potential of stable pitting, time related to the initiation of the stable pitting, and the highest corrosion current associated with stable pitting have been compared for samples with the two styles of topography. It was found that surfaces with patterns have a relatively higher pitting potential and it takes longer time to initiate stable pitting than the surface without any patterns.
International Nuclear Information System (INIS)
Xiang, Z.D.; Zeng, D.; Zhu, C.Y.; Rose, S.R.; Datta, P.K.
2011-01-01
Research highlights: → The Ni 2 Al 3 /Fe 2 Al 5 duplex coating on ferritic steel is resistant against steam oxidation at 650 o C. → The coating shows evidence of enhanced thermal stability. → The enhanced thermal stability of the coating is facilitated by thermodynamic constraints. → The lifetime of the coating can be enhanced by controlling the layer structure of the coating. - Abstract: Steam oxidation resistance and thermal stability were studied at 650 o C for a coating with an outer Ni 2 Al 3 layer and an inner Fe 2 Al 5 layer formed on P92 steel surface. The parabolic rate law of oxidation was obeyed only in less than 2000 h with positive deviations occurring at longer oxidation times. The outer layer of the coating was transformed to NiAl during oxidation, but it remained stable once it was formed. The mechanisms for the enhanced thermal stability were discussed and a simple approach to enhancing the lifetime of the coating was proposed.
Werner, C. R.; Humphreys, B. T.; Mulugeta, L.
2014-01-01
The Advanced Resistive Exercise Device (ARED) is the resistive exercise device used by astronauts on the International Space Station (ISS) to mitigate bone loss and muscle atrophy due to extended exposure to microgravity (micro g). The Digital Astronaut Project (DAP) has developed a multi-body dynamics model of biomechanics models for use in spaceflight exercise physiology research and operations. In an effort to advance model maturity and credibility of the ARED model, the DAP performed verification, validation and credibility (VV and C) assessment of the analyses of the model in accordance to NASA-STD-7009 'Standards for Models and Simulations'.
Directory of Open Access Journals (Sweden)
Emese Bányai
2014-01-01
Full Text Available Podocytes are highly specialized, arborized epithelial cells covering the outer surface of the glomerular tuft in the kidney. Terminally differentiated podocytes are unable to go through cell division and hereby they are lacking a key property for regeneration after a toxic injury. Podocytes are long-lived cells but, to date, little is known about the mechanisms that support their stress resistance. Our aim was to investigate whether the well-known morphological changes during podocyte differentiation are accompanied by changes in oxidative resistance in a manner that could support their long-term survival. We used a conditionally immortalized human podocyte cell line to study the morphological and functional changes during differentiation. We followed the differentiation process for 14 days by time-lapse microscopy. During this period nondifferentiated podocytes gradually transformed into large, nonproliferating, frequently multinucleated cells, with enlarged nuclei and opened chromatin structure. We observed that differentiated podocytes were highly resistant to oxidants such as H2O2 and heme when applied separately or in combination, whereas undifferentiated cells were prone to such challenges. Elevated oxidative resistance of differentiated podocytes was associated with increased activities of antioxidant enzymes and H-ferritin expression. Immunohistochemical analysis of normal human kidney specimens revealed that podocytes highly express H-ferritin in vivo as well.
Durable transparent carbon nanotube films for flexible device components
International Nuclear Information System (INIS)
Sierros, K.A.; Hecht, D.S.; Banerjee, D.A.; Morris, N.J.; Hu, L.; Irvin, G.C.; Lee, R.S.; Cairns, D.R.
2010-01-01
This paper describes a durable carbon nanotube (CNT) film for flexible devices and its mechanical properties. Films as thin as 10 nm thick have properties approaching those of existing electrodes based on indium tin oxide (ITO) but with significantly improved mechanical properties. In uniaxial tension, strains as high as 25% are required for permanent damage and at lower strains resistance changes are slight and consistent with elastic deformation of the individual CNTs. A simple model confirms that changes in electrical resistance are described by a Poisson's ratio of 0.22. These films are also durable to cyclic loading, and even at peak strains of 10% no significant damage occurs after 250 cycles. The scratch resistance is also high as measured by nanoscratch, and for a 50 μm tip a load of 140 mN is required to cause initial failure. This is more than 5 times higher than is required to cause cracking in ITO. The robustness of the transparent conductive coating leads to significant improvement in device performance. In touch screen devices fabricated using CNT no failure occurs after a million actuations while for devices based on ITO electrodes 400,000 cycles are needed to cause failure. These durable electrodes hold the key to developing robust, large-area, lightweight, optoelectronic devices such as lighting, displays, electronic-paper, and printable solar cells. Such devices could hold the key to producing inexpensive green energy, providing reliable solid-state lighting, and significantly reducing our dependence on paper.
Bilayered Oxide thin films for transparent electrode application
Dutta, Titas; Narayan, Jagdish
2008-10-01
Ga doped ZnO films with electrical and optical properties comparable to indium tin oxide (ITO) is a promising candidate for transparent conducting oxides (TCOs) because of its superior stability in hydrogen environment, benign nature and relatively inexpensive supply. However, ZnO based TCO films suffer from low work function, which is a critical parameter for device applications. We report here the growth of a novel bilayered structure consisting of very thin (few monolayers) ITO, MoOx layer on Zn0.95Ga0.05O film for transparent electrode applications by using pulsed laser deposition technique at different temperatures and oxygen partial pressure. The characteristics of the ITO film and the heterostructure have been investigated in detail using XRD, TEM, XPS, and electrical and optical property measurements. It is envisaged that the overall transmittance and the resistivity are dictated by the thicker layer of ZnGa0.05O beneath the ITO layer. Hence, this study is aimed to improve the surface characteristics without affecting the overall transmittance and sheet resistance. This will enhance the transport of the carriers across the heterojunction in the device, thus, resulting in the increase in device efficiency.
Oxidative Stress in Patients with Drug Resistant Partial Complex Seizure
Directory of Open Access Journals (Sweden)
Lourdes Lorigados Pedre
2018-06-01
Full Text Available Oxidative stress (OS has been implicated as a pathophysiological mechanism of drug-resistant epilepsy, but little is known about the relationship between OS markers and clinical parameters, such as the number of drugs, age onset of seizure and frequency of seizures per month. The current study’s aim was to evaluate several oxidative stress markers and antioxidants in 18 drug-resistant partial complex seizure (DRPCS patients compared to a control group (age and sex matched, and the results were related to clinical variables. We examined malondialdehyde (MDA, advanced oxidation protein products (AOPP, advanced glycation end products (AGEs, nitric oxide (NO, uric acid, superoxide dismutase (SOD, glutathione, vitamin C, 4-hydroxy-2-nonenal (4-HNE and nitrotyrosine (3-NT. All markers except 4-HNE and 3-NT were studied by spectrophotometry. The expressions of 4-HNE and 3-NT were evaluated by Western blot analysis. MDA levels in patients were significantly increased (p ≤ 0.0001 while AOPP levels were similar to the control group. AGEs, NO and uric acid concentrations were significantly decreased (p ≤ 0.004, p ≤ 0.005, p ≤ 0.0001, respectively. Expressions of 3-NT and 4-HNE were increased (p ≤ 0.005 similarly to SOD activity (p = 0.0001, whereas vitamin C was considerably diminished (p = 0.0001. Glutathione levels were similar to the control group. There was a positive correlation between NO and MDA with the number of drugs. The expression of 3-NT was positively related with the frequency of seizures per month. There was a negative relationship between MDA and age at onset of seizures, as well as vitamin C with seizure frequency/month. We detected an imbalance in the redox state in patients with DRCPS, supporting oxidative stress as a relevant mechanism in this pathology. Thus, it is apparent that some oxidant and antioxidant parameters are closely linked with clinical variables.
Tsang, Floris Y.
1980-01-01
Alkali metal oxides dissolved in alkali metal melts are reduced with soluble metals which are converted to insoluble oxides. The end points of the reduction is detected as an increase in electrical resistance across an alkali metal ion-conductive membrane interposed between the oxide-containing melt and a material capable of accepting the alkali metal ions from the membrane when a difference in electrical potential, of the appropriate polarity, is established across it. The resistance increase results from blocking of the membrane face by ions of the excess reductant metal, to which the membrane is essentially non-conductive.
Thiolated polyethylene oxide as a non-fouling element for nano-patterned bio-devices
International Nuclear Information System (INIS)
Lisboa, Patricia; Valsesia, Andrea; Colpo, Pascal; Gilliland, Douglas; Ceccone, Giacomo; Papadopoulou-Bouraoui, Andri; Rauscher, Hubert; Reniero, Fabiano; Guillou, Claude; Rossi, Francois
2007-01-01
This work describes the synthesis of a thiolated polyethylene oxide that self-assembles on gold to create a non-fouling surface. Thiolated polyethylene oxide was synthesised by reacting 16-mercaptohexadecanoic acid with polyethylene glycol mono methyl ether. The coverage of the thiolated polyethylene oxide on gold was studied by cyclic voltammetry, and the modified surfaces were characterised by X-ray photoelectron spectroscopy and ellipsometry. Protein resistance was assessed using quartz crystal microbalance. Results showed a non-fouling character produced by the thiolated polyethylene oxide. The synthesised product was used as the passivation layer on nano-patterned surfaces consisting of arrayed nano-spots, fabricated by plasma based colloidal lithography. The specific adsorption of anti-bovine serum albumin in the mercaptohexadecanoic acid spots was verified by atomic force microscopy
Characterization of Deposited Platinum Contacts onto Discrete Graphene Flakes for Electrical Devices
Holguin Lerma, Jorge A.
2016-05-03
For years, electron beam induced deposition has been used to fabricate electrical contacts for micro and nanostructures. The role of the contact resistance is key to achieve high performance and efficiency in electrical devices. The present thesis reports on the electrical, structural and chemical characterization of electron beam deposited platinum electrodes that are exposed to different steps of thermal annealing and how they are used in four-probe devices of ultrathin graphite (uG) flakes (<100nm thickness). The device integration of liquid phase exfoliated uG is demonstrated, and its performance compared to devices made with analogous mechanically exfoliated uG. For both devices, similar contact resistances of ~2kΩ were obtained. The electrical measurements confirm a 99.5% reduction in contact resistance after vacuum thermal annealing at 300 °C. Parallel to this, Raman characterization confirms the formation of a nanocrystalline carbon structure over the electrode. While this could suggest an enhancement of the electrical transport in the device, an additional thermal annealing step in air at 300 °C, promoted the oxidation and removal of the carbon shell and confirmed that the contact resistance remained the same. Overall this shows that the carbon shell along the electrode has no significant role in the contact resistance. Finally, the challenges based on topographical analysis of the deposited electrodes are discussed. Reduction of the electrode’s height down to one-third of the initial value, increased surface roughness, formation of voids along the electrodes and the onset of platinum nanoparticles near the area of deposition, represent a challenge for future work.
International Nuclear Information System (INIS)
Königer, Tobias; Münstedt, Helmut
2008-01-01
A special device was designed and set up to investigate the electrical behavior of conductive layers on flexible substrates under oscillatory bending. The resistance of conductive coatings can be measured during various oscillatory bending conditions. The bending radius, the amplitude and the frequency can be set to well-defined values. Furthermore, the setup allows us to apply tensile or compressive stress to the coating as well as both stresses alternately. Thus, various bending loads occurring in printable electronics applications can be simulated to investigate the electrical reliability of conductive coatings. In addition, it is possible to simulate different environmental conditions during oscillatory bending by running the device in an environmental chamber. Characterizations of the electrical behavior under oscillatory bending were carried out on commercially available polyethyleneterephthalate (PET) films sputtered with indium-tin oxide (ITO) and coated with poly3,4ethylenedioxythiophene (PEDOT). For coatings of sputtered ITO, a dramatic increase of the resistance is observed for bending radii smaller than 14 mm due to cracks spanning the whole sample width. The higher the amplitude, the more pronounced is the increase of the resistance. Coatings of PEDOT show high stability under oscillatory bending. There is no change in resistance observed for all bending radii and amplitudes applied over a large number of cycles
International Nuclear Information System (INIS)
Zhou, Yuhong; Qiu, Nianxiang; Li, Runwei; Guo, Zhansheng; Zhang, Jian; Fang, Junfeng; Huang, Aisheng; He, Jian; Zha, Xianhu; Luo, Kan; Yin, Jingshuo; Li, Qiuwu; Bai, Xiaojing; Huang, Qing; Du, Shiyu
2016-01-01
Employing nonequilibrium Green's Functions in combination with density functional theory, the electronic transport properties of armchair graphene nanoribbon (GNR) devices with various widths are investigated in this work. In the adopted model, two semi-infinite graphene electrodes are periodically doped with boron or nitrogen atoms. Our calculations reveal that these devices have a striking nonlinear feature and show notable negative differential resistance (NDR). The results also indicate the diode-like properties are reserved and the rectification ratios are high. It is found the electronic transport properties are strongly dependent on the width of doped nanoribbons and the positions of dopants and three distinct families are elucidated for the current armchair GNR devices. The NDR as well as rectifying properties can be well explained by the variation of transmission spectra and the relative shift of discrete energy states with applied bias voltage. These findings suggest that the doped armchair GNR is a promising candidate for the next generation nanoscale device. - Highlights: • The negative differential resistance (NDR) and rectification phenomena have been observed for the B- and N-doping armchair graphene nanoribbon (GNR) devices. • The electronic transport properties are strongly dependent on the width of doped nanoribbons and exhibit three distinct families. • The NDR as well as rectifying properties can be well explained by the variation of transmission spectra and the relative shift of discrete energy states with applied bias voltage.
Energy Technology Data Exchange (ETDEWEB)
Zhou, Yuhong; Qiu, Nianxiang; Li, Runwei [Ningbo Institute of Industrial Technology, Chinese Academy of Sciences, Ningbo 315201 (China); Guo, Zhansheng [Shanghai Institute of Applied Mathematics and Mechanics, Shanghai 200072 (China); Zhang, Jian; Fang, Junfeng; Huang, Aisheng [Ningbo Institute of Industrial Technology, Chinese Academy of Sciences, Ningbo 315201 (China); He, Jian [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Zha, Xianhu; Luo, Kan; Yin, Jingshuo; Li, Qiuwu; Bai, Xiaojing; Huang, Qing [Ningbo Institute of Industrial Technology, Chinese Academy of Sciences, Ningbo 315201 (China); Du, Shiyu, E-mail: dushiyu@nimte.ac.cn [Ningbo Institute of Industrial Technology, Chinese Academy of Sciences, Ningbo 315201 (China)
2016-03-06
Employing nonequilibrium Green's Functions in combination with density functional theory, the electronic transport properties of armchair graphene nanoribbon (GNR) devices with various widths are investigated in this work. In the adopted model, two semi-infinite graphene electrodes are periodically doped with boron or nitrogen atoms. Our calculations reveal that these devices have a striking nonlinear feature and show notable negative differential resistance (NDR). The results also indicate the diode-like properties are reserved and the rectification ratios are high. It is found the electronic transport properties are strongly dependent on the width of doped nanoribbons and the positions of dopants and three distinct families are elucidated for the current armchair GNR devices. The NDR as well as rectifying properties can be well explained by the variation of transmission spectra and the relative shift of discrete energy states with applied bias voltage. These findings suggest that the doped armchair GNR is a promising candidate for the next generation nanoscale device. - Highlights: • The negative differential resistance (NDR) and rectification phenomena have been observed for the B- and N-doping armchair graphene nanoribbon (GNR) devices. • The electronic transport properties are strongly dependent on the width of doped nanoribbons and exhibit three distinct families. • The NDR as well as rectifying properties can be well explained by the variation of transmission spectra and the relative shift of discrete energy states with applied bias voltage.
Nanoscale Cross-Point Resistive Switching Memory Comprising p-Type SnO Bilayers
Hota, Mrinal Kanti
2015-02-23
Reproducible low-voltage bipolar resistive switching is reported in bilayer structures of p-type SnO films. Specifically, a bilayer homojunction comprising SnOx (oxygen-rich) and SnOy (oxygen-deficient) in nanoscale cross-point (300 × 300 nm2) architecture with self-compliance effect is demonstrated. By using two layers of SnO film, a good memory performance is obtained as compared to the individual oxide films. The memory devices show resistance ratio of 103 between the high resistance and low resistance states, and this difference can be maintained for up to 180 cycles. The devices also show good retention characteristics, where no significant degradation is observed for more than 103 s. Different charge transport mechanisms are found in both resistance states, depending on the applied voltage range and its polarity. The resistive switching is shown to originate from the oxygen ion migration and subsequent formation/rupture of conducting filaments.
Deuterium permeation behavior of HTUPS4 steel with thermal oxidation layer
International Nuclear Information System (INIS)
Xu, Yu-Ping; Liu, Feng; Zhao, Si-Xiang; Li, Xiao-Chun; Wang, Jing; An, Zhong-Qing; Lu, Tao; Liu, Hao-Dong; Ding, Fang; Zhou, Hai-Shan; Luo, Guang-Nan
2016-01-01
The permeation behavior of creep-resistant, Al 2 O 3 -forming HTUPS austenitic stainless steels was studied using a gas driven permeation (GDP) device. The steel samples were first thermal oxidized at air condition, followed by GDP experiments. The permeability and diffusion coefficients of oxidized samples and bare 316L steels were derived and compared. In order to characterize the oxide layer, X-ray photoelectron spectroscopy was performed. An oxide layer with a thickness of 200 nm which mainly consists of Al 2 O 3 was detected.
Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro
2018-02-01
Metal oxide nanoparticle resists have attracted much attention as the next-generation resist used for the high-volume production of semiconductor devices. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the energy deposition in a zirconium oxide (ZrO2) nanoparticle resist was investigated. The numbers of electron-hole pairs generated in a ZrO2 core and an methacrylic acid (MAA) ligand shell upon exposure to 1 mJ cm-2 (exposure dose) extreme ultraviolet (EUV) radiations were theoretically estimated to be 0.16 at most and 0.04-0.17 cm2 mJ-1, respectively. By comparing the calculated distribution of electron-hole pairs with the line-and-space patterns of the ZrO2 nanoparticle resist fabricated by an EUV exposure tool, the number of electron-hole pairs required for the solubility change of the resist films was estimated to be 1.3-2.2 per NP. NP denotes a nanoparticle consisting of a metal oxide core with a ligand shell. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in the metal oxide core for the chemical change of ligand molecules.
Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.
2017-04-01
Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.
Peng, H.Y.; Pu, L.; Wu, J.C.; Cha, Dong Kyu; Hong, J.H.; Lin, W.N.; Li, Yangyang; Ding, Junfeng; David, A.; Li, K.; Wu, Tao
2013-01-01
We report that electrode engineering, particularly tailoring the metal work function, measurement configuration and geometric shape, has significant effects on the bipolar resistive switching (RS) in lateral memory devices based on self-doped SrTiO3
The influence of Cr and Al pack cementation on low carbon steel to improve oxidation resistance
Prasetya, Didik; Sugiarti, Eni; Destyorini, Fredina; Thosin, Kemas Ahmad Zaini
2012-06-01
Pack chromizing and aluminizing has been widely used for many years to improve hot temperature oxidation and corrosion resistance of metals. The coating process involves packing the steel in a powder mixture which contain aluminum and chromium source, and inert filler (usually alumina), and halide activator NH4Cl. Al and Cr were deposited onto carbon steel by pack cementation process using elemental Al and Cr powder as Al and Cr source, whereas NiCo alloys codeposited by electrodeposition. The position of Al and Cr could be under or over Ni-Co alloys deposited. Pack cementation was heated on dry inert gas at temperature 800 °C about 5 hours and 20 minute for Cr and Al respectively. Al and Cr was successfully deposited. Laying down effect of Al and Cr onto carbon steel whether up and down toward NiCo alloys coating have affected to oxidation resistance. The pack aluminizing as top layer given best resitance to restrain excessive oxide scale, in contrast pack chromizing reveal bad oxidation resistance, moreover occured spallation on layer.
Oliver, Shüné V; Brooke, Basil D
2016-01-01
Oxidative stress plays numerous biological roles, both functional and pathological. The role of oxidative stress in various epidemiologically relevant biological traits in Anopheles mosquitoes is not well established. In this study, the effects of oxidative stress on the longevity and insecticide resistance phenotype in the major malaria vector species An. arabiensis and An. funestus were examined. Responses to dietary copper sulphate and hydrogen peroxide were used as proxies for the oxidative stress phenotype by determining the effect of copper on longevity and hydrogen peroxide lethal dose. Glutathione peroxidase and catalase activities were determined colorimetrically. Oxidative burden was quantified as protein carbonyl content. Changes in insecticide resistance phenotype were monitored by WHO bioassay. Insecticide resistant individuals showed an increased capacity for coping with oxidative stress, mediated by increased glutathione peroxidase and catalase activity. This effect was observed in both species, as well as in laboratory strains and F1 individuals derived from wild-caught An. funestus mothers. Phenotypic capacity for coping with oxidative stress was greatest in strains with elevated Cytochrome P450 activity. Synergism of oxidative stress defence enzymes by dietary supplementation with haematin, 3-Amino-1, 2, 4-triazole and Sodium diethyldithiocarbamate significantly increased pyrethroid-induced mortality in An. arabiensis and An. funestus. It is therefore concluded that defence against oxidative stress underlies the augmentation of the insecticide resistance phenotype associated with multiple blood-feeding. This is because multiple blood-feeding ultimately leads to a reduction of oxidative stress in insecticide resistant females, and also reduces the oxidative burden induced by DDT and pyrethroids, by inducing increased glutathione peroxidase activity. This study highlights the importance of oxidative stress in the longevity and insecticide resistance
International Nuclear Information System (INIS)
Yong, T.Y.; Tou, T.Y.; Yow, H.K.; Safran, G.
2008-01-01
The microstructures, electrical and optical properties of indium-doped tin oxide (ITO) films, deposited on glass substrates in different background gases by a pulsed Nd:YAG laser, were characterized. The optimal pressure for obtaining the lowest resistivity in ITO thin film is inversely proportional to the molecular weight of the background gases, namely the argon (Ar), oxygen (O 2 ), nitrogen (N 2 ) and helium (He). While substrate heating to 250 deg. C decreased the ITO resistivity to -4 Ω cm, obtaining the optical transmittance of higher than 90% depended mainly on the background gas pressure for O 2 and Ar. Obtaining the lowest ITO resistivity, however, did not beget a high optical transmittance for ITO deposition in N 2 and He. Scanning electron microscope pictures show distinct differences in microstructures due to the background gas: nanostructures when using Ar and N 2 but polycrystalline for using O 2 and He. The ITO surface roughness varied with the deposition distance. The effects on the molecularly doped, single-layer organic light emitting device (OLED) operation and performance were also investigated. Only ITO thin films prepared in O 2 and Ar are suitable for the fabrication OLED with performance comparable to that fabricated on the commercially available, magnetron-sputtered ITO
International Nuclear Information System (INIS)
Chang, Chun-Wei; Hsu, Wensyang
2009-01-01
The three-dimensional micro assembly of hinged nickel micro devices by magnetic lifting and micro resistance welding is proposed here. By an electroplating-based surface machining process, the released nickel structure with the hinge mechanism can be fabricated. Lifting of the released micro structure to different tilted angles is accomplished by controlling the positions of a magnet beneath the device. An in situ electro-thermal actuator is used here to provide the pressing force in micro resistance welding for immobilizing the tilted structure. The proposed technique is shown to immobilize micro devices at controlled angles ranging from 14° to 90° with respect to the substrate. Design parameters such as the electro-thermal actuator and welding beam width are also investigated. It is found that there is a trade-off in beam width design between large contact pressure and low thermal deformation. Different dominated effects from resistivity enhancement and contact area enlargement during the welding process are also observed in the dynamic resistance curves. Finally, a lifted and immobilized electro-thermal bent-beam actuator is shown to displace upward about 27.7 µm with 0.56 W power input to demonstrate the capability of electrical transmission at welded joints by the proposed 3D micro assembly technique
Organic nonvolatile resistive memory devices based on thermally deposited Au nanoparticle
Jin, Zhiwen; Liu, Guo; Wang, Jizheng
2013-05-01
Uniform Au nanoparticles (NPs) are formed by thermally depositing nominal 2-nm thick Au film on a 10-nm thick polyimide film formed on a Al electrode, and then covered by a thin polymer semiconductor film, which acts as an energy barrier for electrons to be injected from the other Al electrode (on top of polymer film) into the Au NPs, which are energetically electron traps in such a resistive random access memory (RRAM) device. The Au NPs based RRAM device exhibits estimated retention time of 104 s, cycle times of more than 100, and ON-OFF ratio of 102 to 103. The carrier transport properties are also analyzed by fitting the measured I-V curves with several conduction models.
Tungsten oxide thin films grown by thermal evaporation with high resistance to leaching
Energy Technology Data Exchange (ETDEWEB)
Correa, Diogo S. [Universidade Federal de Pelotas (UFPel), RS (Brazil). Centro de Ciencias Quimicas, Farmaceuticas e de Alimentos; Pazinato, Julia C.O.; Freitas, Mauricio A. de; Radtke, Claudio; Garcia, Irene T.S., E-mail: irene@iq.ufrgs.br [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil). Instituto de Quimica; Dorneles, Lucio S. [Universidade Federal de Santa Maria (UFSM), RS (Brazil). Centro de Ciencias Naturais e Exatas
2014-05-15
Tungsten oxides show different stoichiometries, crystal lattices and morphologies. These characteristics are important mainly when they are used as photocatalysts. In this work tungsten oxide thin films were obtained by thermal evaporation on (100) silicon substrates covered with gold and heated at 350 and 600 °C, with different deposition times. The stoichiometry of the films, morphology, crystal structure and resistance to leaching were characterized through X-ray photoelectron spectroscopy, micro-Raman spectroscopy, scanning and transmission electron microscopy, X-ray diffractometry, Rutherford backscattering spectrometry and O{sup 16} (α,α')O{sup 16} resonant nuclear reaction. Films obtained at higher temperatures show well-defined spherical nanometric structure; they are composed of WO{sub 3.1} and the presence of hydrated tungsten oxide was also observed. The major crystal structure observed is the hexagonal. Thin films obtained through thermal evaporation present resistance to leaching in aqueous media and excellent performance as photocatalysts, evaluated through the degradation of the methyl orange dye. (author)
Low cost corrosion and oxidation resistant coatings for improved system reliability, Phase I
National Aeronautics and Space Administration — In order to improve high-temperature oxidation and corrosion resistance of critical superalloy components in turbine engines innovative processing methods must be...
Effect of electrode and interface oxide on the property of ReRAM composed of Pr0.7Ca0.3MnO3
International Nuclear Information System (INIS)
Kaji, H; Kondo, H; Fujii, T; Arita, M; Takahashi, Y
2010-01-01
The current-voltage (I-V) characteristics of resistance random access memories (ReRAM) composed of the [top electrode] /Pr 0.7 Ca 0.3 MnO 3 (PCMO)/Pt structure were investigated by using Au, Pt, Ag, Cr, Mo and W needles as top electrodes against the PCMO layer. Reproducible resistance switching can be recognized in devices using Cr, Mo and W. Devices using Mo and W electrode showed two type of characteristics: (A) resistance change from low resistance state to high resistance state by positive bias voltage and (B) vice versa. Since the surfaces of these needles may be oxidized, we took account of the effect by the surface oxide. To check this assumption, we annealed the W needles and Mo needles in air and investigated I-V characteristics without the PCMO layer. As a result, the characteristic-(B) was classified to be induced by a surface oxide. Meanwhile, the characteristic-(A) is from PCMO. The existence of the interface oxide between top electrode and PCMO seems to decide the type of characteristics and to influence the reproducibility of the ReRAM property.
International Nuclear Information System (INIS)
Kim, Tae-Wook; Oh, Seung-Hwan; Choi, Hye-Jung; Wang, Gun-Uk; Kim, Dong-Yu; Hwang, Hyun-Sang; Lee, Tak-Hee
2010-01-01
The effects of Ag nanoparticles on the switching behavior of polyfluorene-based organic nonvolatile memory devices were investigated. Polyfluorene-derivatives (WPF-oxy-F) with and without Ag nanoparticles were synthesized, and the presence of Ag nanoparticles in Ag-WPF-oxy-F was identified by transmission electron microscopy and X-ray photoelectron spectroscopy analyses. The Ag-nanoparticles did not significantly affect the basic switching performances, such as the current-voltage characteristics, the distribution of on/off resistance, and the retention. The pulse switching time of Ag-WPF-oxy-F was faster than that of WPF-oxy-F. Ag-WPF-oxy-F memory devices showed an area dependence in the high resistance state, implying that formation of a Ag metallic channel for current conduction.
Directory of Open Access Journals (Sweden)
Muhammad Sohail
Full Text Available Abstract INTRODUCTION: With the advancement of medicine and surgery, various types of medical devices have become part of treatment strategies. METHODS: Identification and antimicrobial sensitivity testing were done according to CLSI guidelines following standard microbiological practices. RESULTS: Urinary catheter infections (31% were most frequent followed by central venous catheter (18% and orthopedic implants (15%. Methicillin resistant Staphylococcus aureus (MRSA was a major cause of device-related infection after Escherichia coli (21%; other pathogens were Klebsiella pneumoniae (14%, Pseudomonas spp. (10%, Acinetobacter spp. (8% and Candida species (7%. None of MRSA was resistant to vancomycin (MIC ≥16µg/mL. Resistance rates were 98% and 97% for ofloxacin and ciprofloxacin, respectively. CONCLUSIONS Escherichia coli and MRSA are major pathogens of medical device-related infections.
Enhanced switching stability in Ta2O5 resistive RAM by fluorine doping
Sedghi, N.; Li, H.; Brunell, I. F.; Dawson, K.; Guo, Y.; Potter, R. J.; Gibbon, J. T.; Dhanak, V. R.; Zhang, W. D.; Zhang, J. F.; Hall, S.; Robertson, J.; Chalker, P. R.
2017-08-01
The effect of fluorine doping on the switching stability of Ta2O5 resistive random access memory devices is investigated. It shows that the dopant serves to increase the memory window and improve the stability of the resistive states due to the neutralization of oxygen vacancies. The ability to alter the current in the low resistance state with set current compliance coupled with large memory window makes multilevel cell switching more favorable. The devices have set and reset voltages of <1 V with improved stability due to the fluorine doping. Density functional modeling shows that the incorporation of fluorine dopant atoms at the two-fold O vacancy site in the oxide network removes the defect state in the mid bandgap, lowering the overall density of defects capable of forming conductive filaments. This reduces the probability of forming alternative conducting paths and hence improves the current stability in the low resistance states. The doped devices exhibit more stable resistive states in both dc and pulsed set and reset cycles. The retention failure time is estimated to be a minimum of 2 years for F-doped devices measured by temperature accelerated and stress voltage accelerated retention failure methods.
Xianyu, Jianbo; Feng, Jiafu; Yang, Yuwei; Tang, Jie; Xie, Gang; Fan, Lingying
2018-05-01
This study aims to explore the correlation of oxidative stress (OxS) in patients with chronic hepatitis B (CHB) and the disease severity with HBV genotypes and drug resistance mutations. A total of 296 patients with CHB were enrolled into the study. PCR-reverse dot-blot hybridization was used to detect the HBV genotypes (B, C, and D) and the drug resistance-causing HBV mutant genes. In addition, the total oxidative stress (TOS) and total antioxidant status (TAS) were determined, and oxidative stress index (OSI) was calculated and compared. Serum levels of TOS and OSI, the B/C ratio, and drug resistance mutation rate were increased along with the elevated disease severity degree (CHBHBV mutation had higher serum TOS and OSI levels, while lower serum TAS levels (P HBV-induced liver disease, and the damage degree is correlated with the HBV genotype and drug resistance mutation. Oxidative stress might be a useful indicator of the progression of HBV-induced liver disease in patients. Copyright © 2018. Published by Elsevier Inc.
Directory of Open Access Journals (Sweden)
François Lebreton
Full Text Available Oxidative stress serves as an important host/environmental signal that triggers a wide range of responses in microorganisms. Here, we identified an oxidative stress sensor and response regulator in the important multidrug-resistant nosocomial pathogen Enterococcus faecium belonging to the MarR family and called AsrR (antibiotic and stress response regulator. The AsrR regulator used cysteine oxidation to sense the hydrogen peroxide which results in its dissociation to promoter DNA. Transcriptome analysis showed that the AsrR regulon was composed of 181 genes, including representing functionally diverse groups involved in pathogenesis, antibiotic and antimicrobial peptide resistance, oxidative stress, and adaptive responses. Consistent with the upregulated expression of the pbp5 gene, encoding a low-affinity penicillin-binding protein, the asrR null mutant was found to be more resistant to β-lactam antibiotics. Deletion of asrR markedly decreased the bactericidal activity of ampicillin and vancomycin, which are both commonly used to treat infections due to enterococci, and also led to over-expression of two major adhesins, acm and ecbA, which resulted in enhanced in vitro adhesion to human intestinal cells. Additional pathogenic traits were also reinforced in the asrR null mutant including greater capacity than the parental strain to form biofilm in vitro and greater persistance in Galleria mellonella colonization and mouse systemic infection models. Despite overexpression of oxidative stress-response genes, deletion of asrR was associated with a decreased oxidative stress resistance in vitro, which correlated with a reduced resistance to phagocytic killing by murine macrophages. Interestingly, both strains showed similar amounts of intracellular reactive oxygen species. Finally, we observed a mutator phenotype and enhanced DNA transfer frequencies in the asrR deleted strain. These data indicate that AsrR plays a major role in antimicrobial
Gate Engineering in SOI LDMOS for Device Reliability
Directory of Open Access Journals (Sweden)
Aanand
2016-01-01
Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..
Dues, Dylan J; Schaar, Claire E; Johnson, Benjamin K; Bowman, Megan J; Winn, Mary E; Senchuk, Megan M; Van Raamsdonk, Jeremy M
2017-07-01
Mutations affecting components of the mitochondrial electron transport chain have been shown to increase lifespan in multiple species including the worm Caenorhabditis elegans. While it was originally proposed that decreased generation of reactive oxygen species (ROS) resulting from lower rates of electron transport could account for the observed increase in lifespan, recent evidence indicates that ROS levels are increased in at least some of these long-lived mitochondrial mutants. Here, we show that the long-lived mitochondrial mutant isp-1 worms have increased resistance to oxidative stress. Our results suggest that elevated ROS levels in isp-1 worms cause the activation of multiple stress-response pathways including the mitochondrial unfolded protein response, the SKN-1-mediated stress response, and the hypoxia response. In addition, these worms have increased expression of specific antioxidant enzymes, including a marked upregulation of the inducible superoxide dismutase genes sod-3 and sod-5. Examining the contribution of sod-3 and sod-5 to the oxidative stress resistance in isp-1 worms revealed that loss of either of these genes increased resistance to oxidative stress, but not other forms of stress. Deletion of sod-3 or sod-5 decreased the lifespan of isp-1 worms and further exacerbated their slow physiologic rates. Thus, while deletion of sod-3 and sod-5 genes has little impact on stress resistance, physiologic rates or lifespan in wild-type worms, these genes are required for the longevity of isp-1 worms. Overall, this work shows that the increased resistance to oxidative stress in isp-1 worms does not account for their longevity, and that resistance to oxidative stress can be experimentally dissociated from lifespan. Copyright © 2017 Elsevier Inc. All rights reserved.
Quantum Hall resistance standard in graphene devices under relaxed experimental conditions
Schopfer, F.; Ribeiro-Palau, R.; Lafont, F.; Brun-Picard, J.; Kazazis, D.; Michon, A.; Cheynis, F.; Couturaud, O.; Consejo, C.; Jouault, B.; Poirier, W.
Large-area and high-quality graphene devices synthesized by CVD on SiC are used to develop reliable electrical resistance standards, based on the quantum Hall effect (QHE), with state-of-the-art accuracy of 1x10-9 and under an extended range of experimental conditions of magnetic field (down to 3.5 T), temperature (up to 10 K) or current (up to 0.5 mA). These conditions are much relaxed as compared to what is required by GaAs/AlGaAs standards and will enable to broaden the use of the primary quantum electrical standards to the benefit of Science and Industry for electrical measurements. Furthermore, by comparison of these graphene devices with GaAs/AlGaAs standards, we demonstrate the universality of the QHE within an ultimate uncertainty of 8.2x10-11. This suggests the exact relation of the quantized Hall resistance with the Planck constant and the electron charge, which is crucial for the new SI to be based on fixing such fundamental constants. These results show that graphene realizes its promises and demonstrates its superiority over other materials for a demanding application. Nature Nanotech. 10, 965-971, 2015, Nature Commun. 6, 6806, 2015
Stathmin Mediates Hepatocyte Resistance to Death from Oxidative Stress by down Regulating JNK
Zhao, Enpeng; Amir, Muhammad; Lin, Yu; Czaja, Mark J.
2014-01-01
Stathmin 1 performs a critical function in cell proliferation by regulating microtubule polymerization. This proliferative function is thought to explain the frequent overexpression of stathmin in human cancer and its correlation with a bad prognosis. Whether stathmin also functions in cell death pathways is unclear. Stathmin regulates microtubules in part by binding free tubulin, a process inhibited by stathmin phosphorylation from kinases including c-Jun N-terminal kinase (JNK). The involvement of JNK activation both in stathmin phosphorylation, and in hepatocellular resistance to oxidative stress, led to an examination of the role of stathmin/JNK crosstalk in oxidant-induced hepatocyte death. Oxidative stress from menadione-generated superoxide induced JNK-dependent stathmin phosphorylation at Ser-16, Ser-25 and Ser-38 in hepatocytes. A stathmin knockdown sensitized hepatocytes to both apoptotic and necrotic cell death from menadione without altering levels of oxidant generation. The absence of stathmin during oxidative stress led to JNK overactivation that was the mechanism of cell death as a concomitant knockdown of JNK1 or JNK2 blocked death. Hepatocyte death from JNK overactivation was mediated by the effects of JNK on mitochondria. Mitochondrial outer membrane permeabilization occurred in stathmin knockdown cells at low concentrations of menadione that triggered apoptosis, whereas mitochondrial β-oxidation and ATP homeostasis were compromised at higher, necrotic menadione concentrations. Stathmin therefore mediates hepatocyte resistance to death from oxidative stress by down regulating JNK and maintaining mitochondrial integrity. These findings demonstrate a new mechanism by which stathmin promotes cell survival and potentially tumor growth. PMID:25285524
Stathmin mediates hepatocyte resistance to death from oxidative stress by down regulating JNK.
Directory of Open Access Journals (Sweden)
Enpeng Zhao
Full Text Available Stathmin 1 performs a critical function in cell proliferation by regulating microtubule polymerization. This proliferative function is thought to explain the frequent overexpression of stathmin in human cancer and its correlation with a bad prognosis. Whether stathmin also functions in cell death pathways is unclear. Stathmin regulates microtubules in part by binding free tubulin, a process inhibited by stathmin phosphorylation from kinases including c-Jun N-terminal kinase (JNK. The involvement of JNK activation both in stathmin phosphorylation, and in hepatocellular resistance to oxidative stress, led to an examination of the role of stathmin/JNK crosstalk in oxidant-induced hepatocyte death. Oxidative stress from menadione-generated superoxide induced JNK-dependent stathmin phosphorylation at Ser-16, Ser-25 and Ser-38 in hepatocytes. A stathmin knockdown sensitized hepatocytes to both apoptotic and necrotic cell death from menadione without altering levels of oxidant generation. The absence of stathmin during oxidative stress led to JNK overactivation that was the mechanism of cell death as a concomitant knockdown of JNK1 or JNK2 blocked death. Hepatocyte death from JNK overactivation was mediated by the effects of JNK on mitochondria. Mitochondrial outer membrane permeabilization occurred in stathmin knockdown cells at low concentrations of menadione that triggered apoptosis, whereas mitochondrial β-oxidation and ATP homeostasis were compromised at higher, necrotic menadione concentrations. Stathmin therefore mediates hepatocyte resistance to death from oxidative stress by down regulating JNK and maintaining mitochondrial integrity. These findings demonstrate a new mechanism by which stathmin promotes cell survival and potentially tumor growth.
Directory of Open Access Journals (Sweden)
V. Nagarajan
2017-06-01
Full Text Available The electronic property of NiFe_2O_4 nanowire device is investigated through nonequilibrium Green’s functions (NEGF in combination with density functional theory (DFT. The electronic transport properties of NiFe_2O_4 nanowire are studied in terms of density of states, transmission spectrum and I–V characteristics. The density of states gets modified with the applied bias voltage across NiFe_2O_4 nanowire device, the density of charge is observed both in the valence band and in the conduction band on increasing the bias voltage. The transmission spectrum of NiFe_2O_4 nanowire device gives the insights on the transition of electrons at different energy intervals. The findings of the present work suggest that NiFe_2O_4 nanowire device can be used as negative differential resistance (NDR device and its NDR property can be tuned with the bias voltage, which may be used in microwave device, memory devices and in fast switching devices.
Understanding the conductive channel evolution in Na:WO3-x-based planar devices
Shang, Dashan; Li, Peining; Wang, Tao; Carria, Egidio; Sun, Jirong; Shen, Baogen; Taubner, Thomas; Valov, Ilia; Waser, Rainer; Wuttig, Matthias
2015-03-01
An ion migration process in a solid electrolyte is important for ion-based functional devices, such as fuel cells, batteries, electrochromics, gas sensors, and resistive switching systems. In this study, a planar sandwich structure is prepared by depositing tungsten oxide (WO3-x) films on a soda-lime glass substrate, from which Na+ diffuses into the WO3-x films during the deposition. The entire process of Na+ migration driven by an alternating electric field is visualized in the Na-doped WO3-x films in the form of conductive channel by in situ optical imaging combined with infrared spectroscopy and near-field imaging techniques. A reversible change of geometry between a parabolic and a bar channel is observed with the resistance change of the devices. The peculiar channel evolution is interpreted by a thermal-stress-induced mechanical deformation of the films and an asymmetric Na+ mobility between the parabolic and the bar channels. These results exemplify a typical ion migration process driven by an alternating electric field in a solid electrolyte with a low ion mobility and are expected to be beneficial to improve the controllability of the ion migration in ion-based functional devices, such as resistive switching devices.An ion migration process in a solid electrolyte is important for ion-based functional devices, such as fuel cells, batteries, electrochromics, gas sensors, and resistive switching systems. In this study, a planar sandwich structure is prepared by depositing tungsten oxide (WO3-x) films on a soda-lime glass substrate, from which Na+ diffuses into the WO3-x films during the deposition. The entire process of Na+ migration driven by an alternating electric field is visualized in the Na-doped WO3-x films in the form of conductive channel by in situ optical imaging combined with infrared spectroscopy and near-field imaging techniques. A reversible change of geometry between a parabolic and a bar channel is observed with the resistance change of the
Safety implications of a graphite oxidation accident in the compact ignition tokamak device
International Nuclear Information System (INIS)
Merrill, B.J.; O'Brien, M.H.
1989-01-01
This paper addresses the possible safety consequences of an air ingress accident for the Compact Ignition Tokamak (CIT) device. An experimental program was undertaken to determine oxidation rates of four nuclear grade graphites in air at temperatures ranging from 800 to 1800 C and flow velocities from 3 to 7 m/s. On the basis of these test results, an analytic model was developed to assess the extent of first wall/divertor protective tile oxidation and the amount of energy released from this oxidation. For CIT, a significant restriction to vacuum vessel air inflow will be provided by the air seals and walls of the surrounding test cells. Under these conditions, the graphite oxidation reaction inside the vacuum vessel will become oxygen starved within minutes of the onset of this event. Since significant oxidation rates were not achieved, the heat release did not elevate structural temperatures to levels of concern with regard to activated material release. 7 refs., 9 figs
Directory of Open Access Journals (Sweden)
Shüné V Oliver
Full Text Available Oxidative stress plays numerous biological roles, both functional and pathological. The role of oxidative stress in various epidemiologically relevant biological traits in Anopheles mosquitoes is not well established. In this study, the effects of oxidative stress on the longevity and insecticide resistance phenotype in the major malaria vector species An. arabiensis and An. funestus were examined. Responses to dietary copper sulphate and hydrogen peroxide were used as proxies for the oxidative stress phenotype by determining the effect of copper on longevity and hydrogen peroxide lethal dose. Glutathione peroxidase and catalase activities were determined colorimetrically. Oxidative burden was quantified as protein carbonyl content. Changes in insecticide resistance phenotype were monitored by WHO bioassay. Insecticide resistant individuals showed an increased capacity for coping with oxidative stress, mediated by increased glutathione peroxidase and catalase activity. This effect was observed in both species, as well as in laboratory strains and F1 individuals derived from wild-caught An. funestus mothers. Phenotypic capacity for coping with oxidative stress was greatest in strains with elevated Cytochrome P450 activity. Synergism of oxidative stress defence enzymes by dietary supplementation with haematin, 3-Amino-1, 2, 4-triazole and Sodium diethyldithiocarbamate significantly increased pyrethroid-induced mortality in An. arabiensis and An. funestus. It is therefore concluded that defence against oxidative stress underlies the augmentation of the insecticide resistance phenotype associated with multiple blood-feeding. This is because multiple blood-feeding ultimately leads to a reduction of oxidative stress in insecticide resistant females, and also reduces the oxidative burden induced by DDT and pyrethroids, by inducing increased glutathione peroxidase activity. This study highlights the importance of oxidative stress in the longevity and
A Novel Ni/WOX/W Resistive Random Access Memory with Excellent Retention and Low Switching Current
Chien, Wei-Chih; Chen, Yi-Chou; Lee, Feng-Ming; Lin, Yu-Yu; Lai, Erh-Kun; Yao, Yeong-Der; Gong, Jeng; Horng, Sheng-Fu; Yeh, Chiao-Wen; Tsai, Shih-Chang; Lee, Ching-Hsiung; Huang, Yu-Kai; Chen, Chun-Fu; Kao, Hsiao-Feng; Shih, Yen-Hao; Hsieh, Kuang-Yeu; Lu, Chih-Yuan
2011-04-01
The behavior of WOX resistive random access memory (ReRAM) is a strong function of the top electrode material, which controls the conduction mechanism and the forming process. When using a top electrode with low work function, the current conduction is limited by space charges. On the other hand, the mechanism becomes thermionic emission for devices with a high work function top electrode. These (thermionic) devices are also found to have higher initial resistance, reduced forming current, and larger resistance window. Based on these insights and considering the compatibility to complementary metal-oxide-semiconductor (CMOS) process, we proposed to use Ni as the top electrode for high performance WOX ReRAM devices. The new Ni/WOX/W device can be switched at a low current density less than 8×105 A/cm2, with RESET/SET resistance ratio greater than 100, and extremely good data retention of more than 300 years at 85 °C.
Energy Technology Data Exchange (ETDEWEB)
Jiang, W.; Uchiyama, T. [Riken Corp., Saitama (Japan)
1999-11-15
MoSi{sub 2}-oxides composites using fine aluminosilicate powder (< 0.2{mu}m) have demonstrated excellent low temperature oxidation resistance and thermal shock resistance. These properties strongly depend on microstructural morphology and are obtained in composites that network-structures of both phases of MoSi{sub 2} and oxides are developed, i.e., in composites with oxides of 20 {approx} 40 vol. %. When one phase is independently dispersed in the other phase, on the other hand, problems of low temperature oxidation and thermal shock occur. The low temperature oxidation problem occurs in the composites with oxides less than 15 vol. % and the thermal shock problem occurs in the composites with oxides more than 50 vol. %. These results will contribute to material design approaches for high temperature structural applications of MoSi{sub 2}. (author)
A Monte Carlo simulation for bipolar resistive memory switching in large band-gap oxides
Energy Technology Data Exchange (ETDEWEB)
Hur, Ji-Hyun, E-mail: jhhur123@gmail.com, E-mail: jeonsh@korea.ac.kr [Department of Applied Physics, Korea University, Sejong 2511, Sejong 339-700 (Korea, Republic of); Compound Device Laboratory, Samsung Advanced Institute of Technology, Nongseo-dong, Giheung-gu, Yongin-si, Gyeonggi-Do 446-712 (Korea, Republic of); Lee, Dongsoo [Compound Device Laboratory, Samsung Advanced Institute of Technology, Nongseo-dong, Giheung-gu, Yongin-si, Gyeonggi-Do 446-712 (Korea, Republic of); Jeon, Sanghun, E-mail: jhhur123@gmail.com, E-mail: jeonsh@korea.ac.kr [Department of Applied Physics, Korea University, Sejong 2511, Sejong 339-700 (Korea, Republic of)
2015-11-16
A model that describes bilayered bipolar resistive random access memory (BL-ReRAM) switching in oxide with a large band gap is presented. It is shown that, owing to the large energy barrier between the electrode and thin oxide layer, the electronic conduction is dominated by trap-assisted tunneling. The model is composed of an atomic oxygen vacancy migration model and an electronic tunneling conduction model. We also show experimentally observed three-resistance-level switching in Ru/ZrO{sub 2}/TaO{sub x} BL-ReRAM that can be explained by the two types of traps, i.e., shallow and deep traps in ZrO{sub 2}.
Screen-printed Tin-doped indium oxide (ITO) films for NH3 gas sensing
International Nuclear Information System (INIS)
Mbarek, Hedia; Saadoun, Moncef; Bessais, Brahim
2006-01-01
Gas sensors using metal oxides have several advantageous features such as simplicity in device structure and low cost fabrication. In this work, Tin-doped indium oxide (ITO) films were prepared by the screen printing technique onto glass substrates. The granular and porous structure of screen-printed ITO are suitable for its use in gas sensing devices. The resistance of the ITO films was found to be strongly dependent on working temperatures and the nature and concentration of the ambient gases. We show that screen-printed ITO films have good sensing properties toward NH 3 vapours. The observed behaviors are explained basing on the oxidizing or the reducer nature of the gaseous species that react on the surface of the heated semi-conducting oxide
Nanoscale RRAM-based synaptic electronics: toward a neuromorphic computing device.
Park, Sangsu; Noh, Jinwoo; Choo, Myung-Lae; Sheri, Ahmad Muqeem; Chang, Man; Kim, Young-Bae; Kim, Chang Jung; Jeon, Moongu; Lee, Byung-Geun; Lee, Byoung Hun; Hwang, Hyunsang
2013-09-27
Efforts to develop scalable learning algorithms for implementation of networks of spiking neurons in silicon have been hindered by the considerable footprints of learning circuits, which grow as the number of synapses increases. Recent developments in nanotechnologies provide an extremely compact device with low-power consumption.In particular, nanoscale resistive switching devices (resistive random-access memory (RRAM)) are regarded as a promising solution for implementation of biological synapses due to their nanoscale dimensions, capacity to store multiple bits and the low energy required to operate distinct states. In this paper, we report the fabrication, modeling and implementation of nanoscale RRAM with multi-level storage capability for an electronic synapse device. In addition, we first experimentally demonstrate the learning capabilities and predictable performance by a neuromorphic circuit composed of a nanoscale 1 kbit RRAM cross-point array of synapses and complementary metal-oxide-semiconductor neuron circuits. These developments open up possibilities for the development of ubiquitous ultra-dense, ultra-low-power cognitive computers.
Zinc oxide nano-rods based glucose biosensor devices fabrication
Wahab, H. A.; Salama, A. A.; El Saeid, A. A.; Willander, M.; Nur, O.; Battisha, I. K.
2018-06-01
ZnO is distinguished multifunctional material that has wide applications in biochemical sensor devices. For extracellular measurements, Zinc oxide nano-rods will be deposited on conducting plastic substrate with annealing temperature 150 °C (ZNRP150) and silver wire with annealing temperature 250 °C (ZNRW250), for the extracellular glucose concentration determination with functionalized ZNR-coated biosensors. It was performed in phosphate buffer saline (PBS) over the range from 1 μM to 10 mM and on human blood plasma. The prepared samples crystal structure and surface morphologies were characterized by XRD and field emission scanning electron microscope FESEM respectively.
The first radical-based spintronic memristors: Towards resistive RAMs made of organic magnets
Goss, Karin; Krist, Florian; Seyfferle, Simon; Hoefel, Udo; Paretzki, Alexa; Dressel, Martin; Bogani, Lapo; Institut Fuer Anorganische Chemie, University of Stuttgart Collaboration; 1. Physikalisches Institut, University of Stuttgart Team
2014-03-01
Using molecules as building blocks for electronic devices offers ample possibilities for new device functionalities due to a chemical tunability much higher than that of standard inorganic materials, and at the same time offers a decrease in the size of the electronic component down to the single-molecule level. Purely organic molecules containing no metallic centers such as organic radicals can serve as an electronic component with magnetic properties due to the unpaired electron in the radical state. Here we present memristive logic units based on organic radicals of the nitronyl-nitroxide kind. Integrating these purely molecular units as a spin coated layer into crossbar arrays, electrically induced unipolar resistive switching is observed with a change in resistance of up to 100%. We introduce a model based on filamentary reorganization of molecules of different oxidation state revealing the importance of the molecular nature for the switching properties. The major role of the oxidation state of these paramagnetic molecules introduces a magnetic field dependence to the device functionality, which goes along with magnetoresistive charactistics observed for the material. These are the first steps towards a spintronic implementation of organic radicals in electronic devices.
Microstructural Study on Oxidation Resistance of Nonmodified and Platinum Modified Aluminide Coating
Zagula-Yavorska, Maryana; Sieniawski, Jan
2014-03-01
Platinum electroplating layers (3 and 7 μm thick) were deposited on the surface of the Inconel 713 LC, CMSX 4, and Inconel 625 Ni-base superalloys. Diffusion treatment at 1050°C for 2 h under argon atmosphere was performed after electroplating. Diffusion treated samples were aluminized according to the low activity CVD process at 1050°C for 8 h. The nonmodified aluminide coatings consist of NiAl phase. Platinum modification let to obtain the (Ni,Pt)Al phase in coatings. The coated samples were subjected to cyclic oxidation testing at 1100°C. It was discovered that increase of the platinum electroplating thickness from 3 to 7 μm provides the improvement of oxidation resistance of aluminide coatings. Increase of the platinum thickness causes decreases in weight change and decreases in parabolic constant during oxidation. The platinum provides the pure Al2O3 oxide formation, slow growth oxide layer, and delay the oxide spalling during heating-cooling thermal cycles.
Oxidized Metal Powders for Mechanical Shock and Crush Safety Enhancers; TOPICAL
International Nuclear Information System (INIS)
GARINO, TERRY J.
2002-01-01
The use of oxidized metal powders in mechanical shock or crush safety enhancers in nuclear weapons has been investigated. The functioning of these devices is based on the remarkable electrical behavior of compacts of certain oxidized metal powders when subjected to compressive stress. For example, the low voltage resistivity of a compact of oxidized tantalum powder was found to decrease by over six orders of magnitude during compaction between 1 MPa, where the thin, insulating oxide coatings on the particles are intact, to 10 MPa, where the oxide coatings have broken down along a chain of particles spanning the electrodes. In this work, the behavior of tantalum and aluminum powders was investigated. The low voltage resistivity during compaction of powders oxidized under various conditions was measured and compared. In addition, the resistivity at higher voltages and the dielectric breakdown strength during compaction were also measured. A key finding was that significant changes in the electrical properties persist after the removal of the stress so that a mechanical shock enhancer is feasible. This was verified by preliminary shock experiments. Finally, conceptual designs for both types of enhancers are presented
Production of the oxidation-resistant polypropylene track membranes
International Nuclear Information System (INIS)
Kravets, L.I.; Dmitriev, S.N.; Apel, P.Y.
1999-01-01
This paper describes results of the method of manufacturing the polypropylene track membranes produced by physicochemical treatment under irradiation of accelerated heavy ions of polymer films. The developed method allows to produce membranes 0.1 - 0.2 μm in diameter and more with an improved structural and physicochemical properties. Poly-propylene track membranes of a novel sample are characterized by high homogeneity of pore sizes in magnitude, considerable mechanical strength, advanced thermal stability and resistance to oxidation in aggressive media. It opens new fields for their usage
Nanoscale MOS devices: device parameter fluctuations and low-frequency noise (Invited Paper)
Wong, Hei; Iwai, Hiroshi; Liou, J. J.
2005-05-01
It is well-known in conventional MOS transistors that the low-frequency noise or flicker noise is mainly contributed by the trapping-detrapping events in the gate oxide and the mobility fluctuation in the surface channel. In nanoscale MOS transistors, the number of trapping-detrapping events becomes less important because of the large direct tunneling current through the ultrathin gate dielectric which reduces the probability of trapping-detrapping and the level of leakage current fluctuation. Other noise sources become more significant in nanoscale devices. The source and drain resistance noises have greater impact on the drain current noise. Significant contribution of the parasitic bipolar transistor noise in ultra-short channel and channel mobility fluctuation to the channel noise are observed. The channel mobility fluctuation in nanoscale devices could be due to the local composition fluctuation of the gate dielectric material which gives rise to the permittivity fluctuation along the channel and results in gigantic channel potential fluctuation. On the other hand, the statistical variations of the device parameters across the wafer would cause the noise measurements less accurate which will be a challenge for the applicability of analytical flicker noise model as a process or device evaluation tool for nanoscale devices. Some measures for circumventing these difficulties are proposed.
DEFF Research Database (Denmark)
Zub, Kamila Anna; Sousa, Mirta Mittelstedt Leal de; Sarno, Antonio
2015-01-01
of the AKR1C family involved in prostaglandin synthesis contribute to the resistant phenotype. Finally, selected metabolic and oxidative stress response enzymes were targeted by inhibitors, several of which displayed a selective cytotoxicity against the melphalan-resistant cells and should be further...... and pathways not previously associated with melphalan resistance in multiple myeloma cells, including a metabolic switch conforming to the Warburg effect (aerobic glycolysis), and an elevated oxidative stress response mediated by VEGF/IL8-signaling. In addition, up-regulated aldo-keto reductase levels...
Directory of Open Access Journals (Sweden)
Klingelhoeffer Christoph
2012-05-01
Full Text Available Abstract Background Ascorbic acid demonstrates a cytotoxic effect by generating hydrogen peroxide, a reactive oxygen species (ROS involved in oxidative cell stress. A panel of eleven human cancer cell lines, glioblastoma and carcinoma, were exposed to serial dilutions of ascorbic acid (5-100 mmol/L. The purpose of this study was to analyse the impact of catalase, an important hydrogen peroxide-detoxifying enzyme, on the resistance of cancer cells to ascorbic acid mediated oxidative stress. Methods Effective concentration (EC50 values, which indicate the concentration of ascorbic acid that reduced the number of viable cells by 50%, were detected with the crystal violet assay. The level of intracellular catalase protein and enzyme activity was determined. Expression of catalase was silenced by catalase-specific short hairpin RNA (sh-RNA in BT-20 breast carcinoma cells. Oxidative cell stress induced apoptosis was measured by a caspase luminescent assay. Results The tested human cancer cell lines demonstrated obvious differences in their resistance to ascorbic acid mediated oxidative cell stress. Forty-five percent of the cell lines had an EC50 > 20 mmol/L and fifty-five percent had an EC50 50 of 2.6–5.5 mmol/L, glioblastoma cells were the most susceptible cancer cell lines analysed in this study. A correlation between catalase activity and the susceptibility to ascorbic acid was observed. To study the possible protective role of catalase on the resistance of cancer cells to oxidative cell stress, the expression of catalase in the breast carcinoma cell line BT-20, which cells were highly resistant to the exposure to ascorbic acid (EC50: 94,9 mmol/L, was silenced with specific sh-RNA. The effect was that catalase-silenced BT-20 cells (BT-20 KD-CAT became more susceptible to high concentrations of ascorbic acid (50 and 100 mmol/L. Conclusions Fifty-five percent of the human cancer cell lines tested were unable to protect themselves
Olga Gneri, Paula; Jardim, Marcos
Resistive switching memory has been of interest lately not only for its simple metal-insulator-metal (MIM) structure but also for its promising ease of scalability an integration into current CMOS technologies like the Field Programmable Gate Arrays and other non-volatile memory applications. There are several resistive switching MIM combinations but under this scope of research, attention will be paid to the bipolar resistive switching characteristics and fabrication of Tantalum Pentaoxide sandwiched between platinum and copper. By changing the polarity of the voltage bias, this metal-insulator-metal (MIM) device can be switched between a high resistive state (OFF) and low resistive state (ON). The change in states is induced by an electrochemical metallization process, which causes a formation or dissolution of Cu metal filamentary paths in the Tantalum Pentaoxide insulator. There is very little thorough experimental information about the Cu-Ta 2O5-Pt switching characteristics when scaled to nanometer dimensions. In this light, the MIM structure was fabricated in a two-dimensional crossbar format. Also, with the limited available resources, a multi-spacer technique was formulated to localize the active device area in this MIM configuration to less than 20nm. This step is important in understanding the switching characteristics and reliability of this structure when scaled to nanometer dimensions.
Carbon contaminant in the ion processing of aluminum oxide film
International Nuclear Information System (INIS)
Chaug, Y.; Roy, N.
1989-01-01
Ion processing can induce contamination on the bombarded surface. However, this process is essential for the microelectronics device fabrication. Auger electron spectroscopy has been used to study the simultaneous deposition of carbon impurity during ion bombardment of magnetron rf-sputtering deposited aluminum oxide film. Ion bombardment on aluminum oxide results in a preferential removal of surface oxygen and a formation of a metastable state of aluminum suboxide. Cosputtered implanted carbon contaminant appears to have formed a new state of stoichiometry on the surface of the ion bombarded aluminum oxide and existed as an aluminum carbide. This phase has formed due to the interaction of the implanted carbon and the aluminum suboxide. The Ar + ion sputter etching rate is reduced for the carbon contaminated oxide. The electrical resistance of the aluminum oxide between two gold strips has been measured. It is found that the electrical resistance is also reduced due to the formation of the new stoichiometry on the surface
High-performance flexible resistive memory devices based on Al2O3:GeOx composite
Behera, Bhagaban; Maity, Sarmistha; Katiyar, Ajit K.; Das, Samaresh
2018-05-01
In this study a resistive switching random access memory device using Al2O3:GeOx composite thin films on flexible substrate is presented. A bipolar switching characteristic was observed for the co-sputter deposited Al2O3:GeOx composite thin films. Al/Al2O3:GeOx/ITO/PET memory device shows excellent ON/OFF ratio (∼104) and endurance (>500 cycles). GeOx nanocrystals embedded in the Al2O3 matrix have been found to play a significant role in enhancing the switching characteristics by facilitating oxygen vacancy formation. Mechanical endurance was retained even after several bending. The conduction mechanism of the device was qualitatively discussed by considering Ohmic and SCLC conduction. This flexible device is a potential candidate for next-generation electronics device.
International Nuclear Information System (INIS)
Gomez, P; Litvinov, D; Khizroev, S
2007-01-01
This paper presents a systematic method to design and calculate tunnelling magneto-resistance (TMR) sensors with high signal-to-noise ratio (SNR). The sensing module consists of four TMR devices arranged in a Wheatstone-bridge configuration. Closed-form equations were obtained to calculate TMR sensor current, array output voltage, magneto-resistance ratio, overall noise (thermal and shot) and SNR for a given bandwidth. Using this technique we were able to maximize the SNR by tuning the many parameters of the TMR devices. Typical SNR values are in excess of 45 dB
CoSi{sub x} contact resistance after etching and ashing plasma exposure
Energy Technology Data Exchange (ETDEWEB)
Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)
2009-07-15
The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.
Directory of Open Access Journals (Sweden)
Chun-Huei Tsau
2015-01-01
Full Text Available The (Al, Cr, TiFeCoNi alloy thin films were deposited by PVD and using the equimolar targets with same compositions from the concept of high-entropy alloys. The thin films became metal oxide films after annealing at vacuum furnace for a period; and the resistivity of these thin films decreased sharply. After optimum annealing treatment, the lowest resistivity of the FeCoNiOx, CrFeCoNiOx, AlFeCoNiOx, and TiFeCoNiOx films was 22, 42, 18, and 35 μΩ-cm, respectively. This value is close to that of most of the metallic alloys. This phenomenon was caused by delaminating of the alloy oxide thin films because the oxidation was from the surfaces of the thin films. The low resistivity of these oxide films was contributed to the nonfully oxidized elements in the bottom layers and also vanishing of the defects during annealing.
Guo, Qinyue; Xu, Lin; Li, Huixia; Sun, Hongzhi; Liu, Jiali; Wu, Shufang; Zhou, Bo
2017-01-31
Progranulin (PGRN) has recently emerged as an important regulator for insulin resistance. However, the direct effect of progranulin in adipose insulin resistance associated with the autophagy mechanism is not fully understood. In the present study, progranulin was administered to 3T3-L1 adipocytes and C57BL/6 J mice with/without specific inhibitors of oxidative stress and endoplasmic reticulum stress, and metabolic parameters, oxidative stress, endoplasmic reticulum stress and autophagy markers were assessed. Progranulin treatment increased iNOS expression, NO synthesis and ROS generation, and elevated protein expressions of CHOP, GRP78 and the phosphorylation of PERK, and caused a significant increase in Atg7 and LC3-II protein expression and a decreased p62 expression, and decreased insulin-stimulated tyrosine phosphorylation of IRS-1 and glucose uptake, demonstrating that progranulin activated oxidative stress and ER stress, elevated autophagy and induced insulin insensitivity in adipocytes and adipose tissue of mice. Interestingly, inhibition of iNOS and ER stress both reversed progranulin-induced stress response and increased autophagy, protecting against insulin resistance in adipocytes. Furthermore, the administration of the ER stress inhibitor 4-phenyl butyric acid reversed the negative effect of progranulin in vivo. Our findings showed the clinical potential of the novel adipokine progranulin in the regulation of insulin resistance, suggesting that progranulin might mediate adipose insulin resistance, at least in part, by inducing autophagy via activated oxidative stress and ER stress.
Nanofluidic Devices with Two Pores in Series for Resistive-Pulse Sensing of Single Virus Capsids
DEFF Research Database (Denmark)
Harms, Zachary D.; Mogensen, Klaus Bo; Rodrigues de Sousa Nunes, Pedro André
2011-01-01
We report fabrication and characterization of nanochannel devices with two nanopores in series for resistive-pulse sensing of hepatitis B virus (HBV) capsids. The nanochannel and two pores are patterned by electron beam lithography between two microchannels and etched by reactive ion etching....... The two nanopores are 50-nm wide, 50-nm deep, and 40-nm long and are spaced 2.0-μm apart. The nanochannel that brackets the two pores is 20 wider (1 μm) to reduce the electrical resistance adjacent to the two pores and to ensure the current returns to its baseline value between resistive-pulse events...
Feng, Ping; Du, Peifu; Wan, Changjin; Shi, Yi; Wan, Qing
2016-09-30
New-concept devices featuring the characteristics of ultralow operation voltages and low fabrication cost have received increasing attention recently because they can supplement traditional Si-based electronics. Also, organic/inorganic composite systems can offer an attractive strategy to combine the merits of organic and inorganic materials into promising electronic devices. In this report, solution-processed graphene oxide/chitosan composite film was found to be an excellent proton conducting electrolyte with a high specific capacitance of ~3.2 μF/cm 2 at 1.0 Hz, and it was used to fabricate multi-gate electric double layer transistors. Dual-gate AND logic operation and two-terminal diode operation were realized in a single device. A two-terminal synaptic device was proposed, and some important synaptic behaviors were emulated, which is interesting for neuromorphic systems.
Resistive Oxygen Gas Sensors for Harsh Environments
Moos, Ralf; Izu, Noriya; Rettig, Frank; Reiß, Sebastian; Shin, Woosuck; Matsubara, Ichiro
2011-01-01
Resistive oxygen sensors are an inexpensive alternative to the classical potentiometric zirconia oxygen sensor, especially for use in harsh environments and at temperatures of several hundred °C or even higher. This device-oriented paper gives a historical overview on the development of these sensor materials. It focuses especially on approaches to obtain a temperature independent behavior. It is shown that although in the past 40 years there have always been several research groups working concurrently with resistive oxygen sensors, novel ideas continue to emerge today with respect to improvements of the sensor response time, the temperature dependence, the long-term stability or the manufacture of the devices themselves using novel techniques for the sensitive films. Materials that are the focus of this review are metal oxides; especially titania, titanates, and ceria-based formulations. PMID:22163805
Ultra-low specific on-resistance SOI double-gate trench-type MOSFET
International Nuclear Information System (INIS)
Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji
2011-01-01
An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)
Li, He; Cui, Yun
2017-12-01
Nowadays, flexible electronic devices are increasingly used in direct contact with human skin to monitor the real-time health of human body. Based on the Fourier heat conduction equation and Pennes bio-heat transfer equation, this paper deduces the analytical solutions of one - dimensional heat transfer for flexible electronic devices integrated with human skin under the condition of a constant power. The influence of contact thermal resistance between devices and skin is considered as well. The corresponding finite element model is established to verify the correctness of analytical solutions. The results show that the finite element analysis agrees well with the analytical solution. With bigger thermal resistance, temperature increase of skin surface will decrease. This result can provide guidance for the design of flexible electronic devices to reduce the negative impact that exceeding temperature leave on human skin.
Enhanced oxidative killing of azole-resistant Candida glabrata strains with ERG11 deletion.
Kan, V L; Geber, A; Bennett, J E
1996-01-01
The susceptibility of genetically defined Candida glabrata strains to killing by H2O2 and neutrophils was assessed. Fluconazole-susceptible L5L and L5D strains demonstrated survival rates higher than those of two fluconazole-resistant strains lacking the ERG11 gene coding for 14 alpha-demethylase. Fluconazole resistance can occur by mechanisms which increase fungal susceptibility to oxidative killing by H2O2 and neutrophils. PMID:8807069
Xu, Zedong; Gao, Min; Yu, Lina; Lu, Liying; Xu, Xiaoguang; Jiang, Yong
2014-10-22
The resistive switching behavior of Co-nanoparticle-dispersed polypyrrole (PPy) composite films is studied. A novel design method for resistive random access memory (ReRAM) is proposed. The conducting polymer films with metal nanocrystal (NC)-dispersed carbon chains induce the spontaneous oxidization of the conducting polymer at the surface. The resistive switching behavior is achieved by an electric field controlling the oxygen ion mobility between the metal electrode and the conducting polymer film to realize the mutual transition between intrinsic conduction (low resistive state) and oxidized layer conduction (high resistive state). Furthermore, the formation process of intrinsic conductive paths can be effectively controlled in the conducting polymer ReRAM using metal NCs in films because the inner metal NCs induce electric field lines converging around them and the intensity of the electric field at the tip of NCs can greatly exceed that of the other region. Metal NCs can also bring new characteristics for ReRAM, such as magnetism by dispersing magnetic metal NCs in polymer, to obtain multifunctional electronic devices or meet some special purpose in future applications. Our works will enrich the application fields of the electromagnetic PPy composite films and present a novel material for ReRAM devices.
DEFF Research Database (Denmark)
Kristensen, Jonas M; Skov, Vibe; Wojtaszewski, Jørgen
2010-01-01
Transcriptional profiling of skeletal muscle from patients with type 2 diabetes and high-risk individuals have demonstrated a co-ordinated down-regulation of oxidative phosphorylation (OxPhos) genes, suggesting a link between insulin resistance and mitochondrial dysfunction. However, whether...... mitochondrial dysfunction is a cause or consequence of insulin resistance remains to be clarified. In the present study, we tested the hypothesis that mitochondrial oxidative metabolism was down-regulated in skeletal muscle of patients with genetically determined insulin resistance. Skeletal muscle biopsies.......02), and complex V (ATP5B; p=0.005). Our data demonstrate that genetically determined insulin resistance is associated with a co-ordinated down-regulation of OxPhos components both at the transcriptional and translational level. These findings suggest that an impaired biological response to insulin in skeletal...
Design and construction of a resistive energy dump device for bipolar superconducting magnet systems
Energy Technology Data Exchange (ETDEWEB)
Mohan, M. J.
1977-05-01
When superconducting magnets quench, the resistance of the conductor material rises rapidly to its normal value. This increase in resistance can result in catastrophic heating in the magnet unless stored field energy is quickly removed from the system. Phase inversion is the normal mode of energy removal. SCR's in the power supply are phased back, the output of the supply is inverted, and magnetic field energy is directed back into the utility grid. Under certain conditions, however, the power supply may fail to invert properly, and an alternate energy removal scheme must protect the superconducting magnet system. Composed of an isolation switch, a semiconductor switching module, and a dump resistor, the resistive dump device provides a viable protection scheme. Operationally, several conditions are capable of activating the isolation switch and triggering the bipolar SCR switching module. Manual dump commands, for instance, permit the operator to dump field energy in the event of observed abnormalities. A special voltage tap quench detector senses the aforementioned abnormal power supply output inversion and also fires the dump circuit. Regardless of the nature of the trigger input, however, activation of the energy dump device diverts coil current through the dump resistor. I/sup 2/R losses over time then safely dissipate stored magnetic field energy.
Li, Yahui; Zhang, Huayu; Wu, Bowen; Guo, Zhuo
2017-12-01
A kind of coating nanostructure, Ag nanoparticles coated with multilayered reduced graphene oxide (RGO), is fabricated by employing a three-step reduction method in an orderly manner, which is significantly different from the conventional structures that are simply depositing or doping with Ag nanoparticles on RGO via chemical reduction. The as-prepared nanostructure is investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), selected-area electronic diffraction (SEAD), scanning electron microscopy (SEM), and Fourier transform infrared spectroscopy (FTIR). The results show that the obtained Ag/RGO nanostructure is observed to be a perfect coating structure with well dispersed Ag particles, which is responsible for the remarkable oxidation resistance. The results of XPS spectra indicate the content of metallic Ag is far greater than that of Ag oxides despite of prolonged exposure to the air, which fully demonstrate the excellent stability of thus coating nanostructure.
Role of Y in the oxidation resistance of CrAlYN coatings
Domínguez-Meister, S.; El Mrabet, S.; Escobar-Galindo, R.; Mariscal, A.; Jiménez de Haro, M. C.; Justo, A.; Brizuela, M.; Rojas, T. C.; Sánchez-López, J. C.
2015-10-01
CrAlYN coatings with different aluminum (4-12 at.%) and yttrium (2-5 at.%) contents are deposited by d.c. reactive magnetron sputtering on silicon and M2 steel substrates using metallic targets and Ar/N2 mixtures. The influence of the nanostructure and chemical elemental distribution on the oxidation resistance after heating in air at 1000 °C is studied by means of cross-sectional scanning electron microscopy (X-SEM), energy dispersive X-ray analysis (EDX), X-ray diffraction (XRD) and glow discharge optical emission spectroscopy (GD-OES). The sequential exposure to the metallic targets during the synthesis leads to a multilayer structure where concentration of metallic elements (Cr, Al and Y) is changing periodically. A good oxidation resistance is observed when Al- and Y-rich regions are separated by well-defined CrN layers, maintaining crystalline coherence along the columnar structure. This protective behavior is independent of the type of substrate and corresponds to the formation of a thin mixed (Al, Cr)-oxide scale that protects the film underneath. The GD-OES and XRD analysis have demonstrated that Y acts as a reactive element, blocking the Fe and C atoms diffusion from the steel and favoring higher Al/Cr ratio in the passivation layer after heating. The coating with Y content around 4 at.% exhibited the best performance with a thinner oxide scale, a delay in the CrN decomposition and transformation to Cr2N, and a more effective Fe and C blocking.
Mid-infrared response of reduced graphene oxide and its high-temperature coefficient of resistance
Directory of Open Access Journals (Sweden)
Haifeng Liang
2014-10-01
Full Text Available Much effort has been made to study the formation mechanisms of photocurrents in graphene and reduced graphene oxide films under visible and near-infrared light irradiation. A built-in field and photo-thermal electrons have been applied to explain the experiments. However, much less attention has been paid to clarifying the mid-infrared response of reduced graphene oxide films at room temperature. Thus, mid-infrared photoresponse and annealing temperature-dependent resistance experiments were carried out on reduced graphene oxide films. A maximum photocurrent of 75 μA was observed at room temperature, which was dominated by the bolometer effect, where the resistance of the films decreased as the temperature increased after they had absorbed light. The electrons localized in the defect states and the residual oxygen groups were thermally excited into the conduction band, forming a photocurrent. In addition, a temperature increase of 2 °C for the films after light irradiation for 2 minutes was observed using absorption power calculations. This work details a way to use reduced graphene oxide films that contain appropriate defects and residual oxygen groups as bolometer-sensitive materials in the mid-infrared range.
Very High Output Thermoelectric Devices Based on ITO Nanocomposites
Fralick, Gustave; Gregory, Otto J.
2009-01-01
A material having useful thermoelectric properties was synthesized by combining indium-tin-oxide (ITO) with a NiCoCrAlY alloy/alumina cermet. This material had a very large Seebeck coefficient with electromotive-force-versustemperature behavior that is considered to be excellent with respect to utility in thermocouples and other thermoelectric devices. When deposited in thin-film form, ceramic thermocouples offer advantages over precious-metal (based, variously, on platinum or rhodium) thermocouples that are typically used in gas turbines. Ceramic thermocouples exhibit high melting temperatures, chemical stability at high temperatures, and little or no electromigration. Oxide ceramics also resist oxidation better than metal thermocouples, cost substantially less than precious-metal thermocouples, and, unlike precious-metal thermocouples, do not exert catalytic effects.
International Nuclear Information System (INIS)
Bashir, R.; Afroze, B.; Zulfiqar, H. F.; Saleem, R.; Saleem, F.; Aslam, F.; Naz, S.
2016-01-01
Objective: To determine the residing microbial flora of ethylene oxide (EtO) sterilized medical devices and optimization of safe dose of gamma radiation (Cobalt 60 source) for the complete elimination of microbial load. Study Design: Experimental study. Place and Duration of Study: Department of Biotechnology, Lahore College for Women University, Lahore, Pakistan from September 2014 to June 2015. Methodology: Thirty-six samples of EtO sterilized medical devices of same batch of three different companies were collected for this study. Isolation and enumeration of microbes were done by using different selective and differential media. Gram staining and biochemically characterization by API 20 (Bio Merieux, France) kit was done for identification of the microorganisms. The medical devices having high microbial load were sent to Pakistan Radiation Services (PARAS) for gamma irradiations at 3 different selected doses (20 KGy, 25 KGy, and 30 KGy). Result: Different types of Gram positive bacteria (Staphylococcus epidermidis, Staphylococcus aureus and Bacillus subtilis) were isolated from the EtO sterilized samples. Gram negative bacteria and fungi were not detected on these medical devices. Gamma irradiations Result showed that 30 KGy was optimized dose for complete elimination of microbial flora on endotracheal, Nelaton, and tracheostomy tubes. Conclusion: Gamma radiations (Co 60 source) effectively decontaminate the microbial flora on the equipment previously sterilized by the ethylene oxide gas; and 30 KGy is the optimized dose for all these medical devices. (author)
International Nuclear Information System (INIS)
Urbancik, L.; Bar, J.; Nemec, J.; Sima, A.
1986-01-01
The device consists of a teflon vessel with sealing and an opening below the electrolyte level. Into it is submerged an electrode connected to a dc voltage supply whose other pole is connected to a sample of the metal which is pressed to the opening in the sealing with a flexible strap. The teflon vessel and the sealing are integral. The device is simpler and less costly than those manufactured so far. The operating capability of damaged sealing may be renewed by simple mechanical working. The device may be used for detecting the resistance and passivation of steam generator metal tubes. (J.B.). 1 fig
Kashef, Nasim; Hamblin, Michael R
2017-03-01
Infections have been a major cause of disease throughout the history of humans on earth. With the introduction of antibiotics, it was thought that infections had been conquered. However, bacteria have been able to develop resistance to antibiotics at an exponentially increasing rate. The growing threat from multi-drug resistant organisms calls for intensive action to prevent the emergence of totally resistant and untreatable infections. Novel, non-invasive, non-antibiotic strategies are needed that act more efficiently and faster than current antibiotics. One promising alternative is antimicrobial photodynamic inactivation (APDI), an approach that produces reactive oxygen species when dyes and light are combined. So far, it has been questionable if bacteria can develop resistance against APDI. This review paper gives an overview of recent studies concerning the susceptibility of bacteria towards oxidative stress, and suggests possible mechanisms of the development of APDI-resistance that should at least be addressed. Some ways to potentiate APDI and also to overcome future resistance are suggested. Copyright © 2017 Elsevier Ltd. All rights reserved.
Energy Technology Data Exchange (ETDEWEB)
Thanan, Raynoo [Department of Biochemistry, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Liver Fluke and Cholangiocarcinoma Research Center, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Techasen, Anchalee [Liver Fluke and Cholangiocarcinoma Research Center, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Faculty of Associated Medical Science, Khon Kaen University, Khon Kaen 40002 (Thailand); Hou, Bo [Department of Environmental and Molecular Medicine, Mie University Graduate School of Medicine, Tsu, Mie 514-8507 (Japan); Jamnongkan, Wassana; Armartmuntree, Napat [Department of Biochemistry, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Liver Fluke and Cholangiocarcinoma Research Center, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Yongvanit, Puangrat, E-mail: puangrat@kku.ac.th [Department of Biochemistry, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Liver Fluke and Cholangiocarcinoma Research Center, Faculty of Medicine, Khon Kaen University, Khon Kaen 40002 (Thailand); Murata, Mariko, E-mail: mmurata@doc.medic.mie-u.ac.jp [Department of Environmental and Molecular Medicine, Mie University Graduate School of Medicine, Tsu, Mie 514-8507 (Japan)
2015-08-14
Oxidative stress is a cause of inflammation–related diseases, including cancers. Cholangiocarcinoma is a liver cancer with bile duct epithelial cell phenotypes. Our previous studies in animal and human models indicated that oxidative stress is a major cause of cholangiocarcinoma development. Hydrogen peroxide (H{sub 2}O{sub 2}) can generate hydroxyl radicals, which damage lipids, proteins, and nucleic acids, leading to cell death. However, some cells can survive by adapting to oxidative stress conditions, and selective clonal expansion of these resistant cells would be involved in oxidative stress-related carcinogenesis. The present study aimed to establish H{sub 2}O{sub 2}-resistant cell line from an immortal cholangiocyte cell line (MMNK1) by chronic treatment with low-concentration H{sub 2}O{sub 2} (25 μM). After 72 days of induction, H{sub 2}O{sub 2}-resistant cell lines (ox-MMNK1-L) were obtained. The ox-MMNK1-L cell line showed H{sub 2}O{sub 2}-resistant properties, increasing the expression of the anti-oxidant genes catalase (CAT), superoxide dismutase-1 (SOD1), superoxide dismutase-2 (SOD2), and superoxide dismutase-3 (SOD3) and the enzyme activities of CAT and intracellular SODs. Furthermore, the resistant cells showed increased expression levels of an epigenetics-related gene, DNA methyltransferase-1 (DNMT1), when compared to the parental cells. Interestingly, the ox-MMNK1-L cell line had a significantly higher cell proliferation rate than the MMNK1 normal cell line. Moreover, ox-MMNK1-L cells showed pseudopodia formation and the loss of cell-to-cell adhesion (multi-layers) under additional oxidative stress (100 μM H{sub 2}O{sub 2}). These findings suggest that H{sub 2}O{sub 2}-resistant cells can be used as a model of oxidative stress-related cholangiocarcinoma genesis through molecular changes such as alteration of gene expression and epigenetic changes. - Highlights: • An H{sub 2}O{sub 2}-resistant ox-MMNK1-L cells was established from
Formation and oxidation resistance of NbSi2 coatings on niobium by pack cementation
International Nuclear Information System (INIS)
Li Ming; Song Lixin; Le Jun; Zhang Xiaowei; Pei Baogen; Hu Xingfang
2005-01-01
NbSi 2 coatings were formed on niobium by halide-activated pack cementation process. The as-coated niobium samples were oxidized in air up to 1723 K by thermogravimetry method. The surface and cross-sectional morphology, phase composition and element distribution of the NbSi 2 coatings before and after oxidation were characterized by SEM, XRD and EPMA. The results show that the as-formed coatings consist of single phase of hexagonal NbSi 2 and the oxidation resistance of pure niobium can be greatly improved by pack siliconizing. (orig.)
This study assesses whether oxidative stress, using oxidized LDL (ox-LDL) as a proxy, is associated with metabolic syndrome (MS), whether ox-LDL mediates the association between central obesity and MS, and whether insulin resistance mediates the association between ox-LDL and MS. We examined baselin...
Effects of fish oil on oxidation resistance of VLDL in hypertriglyceridemic patients
Hau, M.-F.; Smelt, A.H.M.; Bindels, A.J.G.H.; Sijbrands, E.J.G.; Laarse, A. van der; Onkenhout, W.; Duyvenvoorde, W. van; Princen, H.M.G.
1996-01-01
In hypertriglyceridemic (HTG) patients the addition of fish oil to the diet causes a marked reduction in the concentration of triglyceride-rich lipoproteins in the serum. To investigate the effects of fish oil on the oxidation resistance of VLDL and LDL in HTG patients, nine male patients received 1
Compact chromium oxide thin film resistors for use in nanoscale quantum circuits
Energy Technology Data Exchange (ETDEWEB)
Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.; Warburton, P. A. [London Centre for Nanotechnology, UCL, 17–19 Gordon Street, London WC1H 0AH (United Kingdom)
2014-12-14
We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantum phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.
International Nuclear Information System (INIS)
Clima, Sergiu; Chen, Yang Yin; Goux, Ludovic; Govoreanu, Bogdan; Degraeve, Robin; Fantini, Andrea; Jurczak, Malgorzata; Chen, Chao Yang; Pourtois, Geoffrey
2016-01-01
Resistive Random Access Memories are among the most promising candidates for the next generation of non-volatile memory. Transition metal oxides such as HfOx and TaOx attracted a lot of attention due to their CMOS compatibility. Furthermore, these materials do not require the inclusion of extrinsic conducting defects since their operation is based on intrinsic ones (oxygen vacancies). Using Density Functional Theory, we evaluated the thermodynamics of the defects formation and the kinetics of diffusion of the conducting species active in transition metal oxide RRAM materials. The gained insights based on the thermodynamics in the Top Electrode, Insulating Matrix and Bottom Electrode and at the interfaces are used to design a proper defect reservoir, which is needed for a low-energy reliable switching device. The defect reservoir has also a direct impact on the retention of the Low Resistance State due to the resulting thermodynamic driving forces. The kinetics of the diffusing conducting defects in the Insulating Matrix determine the switching dynamics and resistance retention. The interface at the Bottom Electrode has a significant impact on the low-current operation and long endurance of the memory cell. Our first-principles findings are confirmed by experimental measurements on fabricated RRAM devices.
Energy Technology Data Exchange (ETDEWEB)
Clima, Sergiu, E-mail: clima@imec.be; Chen, Yang Yin; Goux, Ludovic; Govoreanu, Bogdan; Degraeve, Robin; Fantini, Andrea; Jurczak, Malgorzata [imec, Kapeldreef 75, 3001 Leuven (Belgium); Chen, Chao Yang [imec, Kapeldreef 75, 3001 Leuven (Belgium); Katholieke Universiteit Leuven, 3001 Leuven (Belgium); Pourtois, Geoffrey [imec, Kapeldreef 75, 3001 Leuven (Belgium); PLASMANT, University of Antwerp, 2610 Antwerpen (Belgium)
2016-06-14
Resistive Random Access Memories are among the most promising candidates for the next generation of non-volatile memory. Transition metal oxides such as HfOx and TaOx attracted a lot of attention due to their CMOS compatibility. Furthermore, these materials do not require the inclusion of extrinsic conducting defects since their operation is based on intrinsic ones (oxygen vacancies). Using Density Functional Theory, we evaluated the thermodynamics of the defects formation and the kinetics of diffusion of the conducting species active in transition metal oxide RRAM materials. The gained insights based on the thermodynamics in the Top Electrode, Insulating Matrix and Bottom Electrode and at the interfaces are used to design a proper defect reservoir, which is needed for a low-energy reliable switching device. The defect reservoir has also a direct impact on the retention of the Low Resistance State due to the resulting thermodynamic driving forces. The kinetics of the diffusing conducting defects in the Insulating Matrix determine the switching dynamics and resistance retention. The interface at the Bottom Electrode has a significant impact on the low-current operation and long endurance of the memory cell. Our first-principles findings are confirmed by experimental measurements on fabricated RRAM devices.
High temperature oxidation resistance of (Ti,Ta)(C,N)-based cermets
International Nuclear Information System (INIS)
Chicardi, E.; Córdoba, J.M.; Gotor, F.J.
2016-01-01
Highlights: • Cermets based on (Ti,Ta)(C,N) were oxidized in air between 800 and 1100 °C for 48 h. • The substitution of Ti by Ta resulted in a high resistance to oxidation. • A protective layer of cobalt titanates at the surface of cermets was observed. • A rutile phase in which some Ti"4"+ are replaced by Ta"5"+ was detected. • This replacement decelerated the oxygen diffusion into the cermets. - Abstract: Cermets based on titanium–tantalum carbonitride were oxidized in static air between 800 °C and 1100 °C for 48 h. The thermogravimetric and microstructural study showed an outstanding reduction in the oxidation of more than 90% when the Ta content was increased. In cermets with low Ta content, the formation of a thin CoO/Co_3O_4 outer layer tends to disappear by reacting with the underlying rutile phase, which emerges at the surface. However, in cermets with higher Ta content, the formation of an external titanate layer, observed even at a low temperature, appears to prevent the oxygen diffusion and the oxidation progression.
Mehra, Saahil
2013-01-01
Metal nanowire transparent networks are promising replacements to indium tin oxide (ITO) transparent electrodes for optoelectronic devices. While the transparency and sheet resistance are key metrics for transparent electrode performance, independent control of the film light scattering properties is important to developing multifunctional electrodes for improved photovoltaic absorption. Here we show that controlled incorporation of ZnO nanopyramids into a metal nanowire network film affords independent, highly tunable control of the scattering properties (haze) with minimal effects on the transparency and sheet resistance. Varying the zinc oxide/silver nanostructure ratios prior to spray deposition results in sheet resistances, transmission (600 nm), and haze (600 nm) of 6-30 Ω □-1, 68-86%, and 34-66%, respectively. Incorporation of zinc oxide nanopyramid scattering agents into the conducting nanowire mesh has a negligible effect on mesh connectivity, providing a straightforward method of controlling electrode scattering properties. The decoupling of the film scattering power and electrical characteristics makes these films promising candidates for highly scattering transparent electrodes in optoelectronic devices and can be generalized to other metal nanowire films as well as carbon nanotube transparent electrodes. © 2013 The Royal Society of Chemistry.
Directory of Open Access Journals (Sweden)
H Çakır-Atabek
2015-11-01
Full Text Available The relationship between oxidative stress and some exercise components of resistance exercise (e.g. intensity, exercise volume has not been clearly defined. Additionally, the oxidative stress markers may respond differently in various conditions. This study aims to determine the effects of progressive intensity of resistance exercise (RE on oxidative stress and antioxidants in trained and untrained men, and also to investigate the possible threshold intensity required to evoke oxidative stress. RE trained (N=8 and untrained (N=8 men performed the leg extension RE at progressive intensities standardized for total volume: 1x17 reps at 50% of one-repetition maximum (1RM; 1x14 reps at 60% of 1RM; 1x12 reps at 70% of 1RM; 2x5 reps at 80% of 1RM; and 3x3 reps at 90% of 1RM. Blood samples were drawn before (PRE and immediately after each intensity, and after 30 minutes, 60 minutes and 24 hours following the RE. Lipid-hydroperoxide (LHP significantly increased during the test and then decreased during the recovery in both groups (p0.05. Standardized volume of RE increased oxidative stress responses. Our study suggests that lower intensity (50% is enough to increase LHP, whereas higher intensity (more than 80% is required to evoke protein oxidation.
Energy Technology Data Exchange (ETDEWEB)
Choi, Byoungkwon; Noh, Sanghoon; Kim, Kibaik; Kang, Suk Hoon; Chun, Youngbum; Kim, Tae Kyu [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)
2014-05-15
Ferritic/martensitic steel has a better thermal conductivity and swelling resistance than austenitic stainless steel. Unfortunately, the available temperature range of ferritic/martensitic steel is limited at up to 650 .deg. C. Oxide dispersion strengthened (ODS) steels have been developed as the most prospective core structural materials for next generation nuclear systems because of their excellent high strength and irradiation resistance. The material performances of this new alloy are attributed to the existence of uniformly distributed nano-oxide particles with a high density, which is extremely stable at high temperature in a ferritic/martensitic matrix. This microstructure can be very attractive in achieving superior mechanical properties at high temperatures, and thus, these favorable microstructures should be obtained through the controls of the fabrication process parameters during the mechanical alloying and hot consolidation procedures. In this study, a hot extrusion process for advanced radiation resistant ODS steel tube was investigated. ODS martensitic steel was designed to have high homogeneity, productivity, and reproducibility. Mechanical alloying and hot consolidation processes were employed to fabricate the ODS steels. A microstructure observation and creep rupture test were examined to investigate the effects of the optimized fabrication conditions. Advanced radiation resistant ODS steel has been designed to have homogeneity, productivity, and reproducibility. For these characteristics, modified mechanical alloying and hot consolidation processes were developed. Microstructure observation revealed that the ODS steel has uniformly distributed fine-grain nano-oxide particles. The fabrication process for the tubing is also being propelled in earnest.
International Nuclear Information System (INIS)
Choi, Byoungkwon; Noh, Sanghoon; Kim, Kibaik; Kang, Suk Hoon; Chun, Youngbum; Kim, Tae Kyu
2014-01-01
Ferritic/martensitic steel has a better thermal conductivity and swelling resistance than austenitic stainless steel. Unfortunately, the available temperature range of ferritic/martensitic steel is limited at up to 650 .deg. C. Oxide dispersion strengthened (ODS) steels have been developed as the most prospective core structural materials for next generation nuclear systems because of their excellent high strength and irradiation resistance. The material performances of this new alloy are attributed to the existence of uniformly distributed nano-oxide particles with a high density, which is extremely stable at high temperature in a ferritic/martensitic matrix. This microstructure can be very attractive in achieving superior mechanical properties at high temperatures, and thus, these favorable microstructures should be obtained through the controls of the fabrication process parameters during the mechanical alloying and hot consolidation procedures. In this study, a hot extrusion process for advanced radiation resistant ODS steel tube was investigated. ODS martensitic steel was designed to have high homogeneity, productivity, and reproducibility. Mechanical alloying and hot consolidation processes were employed to fabricate the ODS steels. A microstructure observation and creep rupture test were examined to investigate the effects of the optimized fabrication conditions. Advanced radiation resistant ODS steel has been designed to have homogeneity, productivity, and reproducibility. For these characteristics, modified mechanical alloying and hot consolidation processes were developed. Microstructure observation revealed that the ODS steel has uniformly distributed fine-grain nano-oxide particles. The fabrication process for the tubing is also being propelled in earnest
DEFF Research Database (Denmark)
Xu, Yu
Continuous hydrothermal flow synthesis (CHFS) was used to prepare functional oxide nanoparticles. Materials synthesized include NiO, Y-doped ZrO2, Gd-doped CeO2, LaCrO3 and Ni-substituted CoFe2O4. These types of oxides can be applied in several energy conversion devices, e.g. as active materials...... as materials are continuously produced, and the technology can be scaled-up to an industrial-relevant production capacity. The thesis starts with investigating the most appropriate mixer design for a novel two-stage reactor by computational fluid dynamics modelling. On basis of the modelling results, a two......, dense continuous layers (
Energy Technology Data Exchange (ETDEWEB)
Gascho, J.L.S.; Costa, S.F.; Hoepfner, J.C.; Pezzin, S.H., E-mail: juliagascho@hotmail.com [Universidade do Estado de Santa Catarina (UDESC), Joinville, SC (Brazil). Programa de Pos-Graduacao em Ciencia e Engenharia de Materiais
2014-07-01
This study investigated the morphology of graphene/graphene oxide film obtained by partial chemical reduction of graphite oxide (OG) as well as its resistance to solvents. Films of graphene/graphene oxide are great candidates for replacement of indium oxide doped with tin (ITO) in photoelectric devices. The OG was obtained from natural graphite, by Hummer's method modified, and its reduction is made by using sodium borohydride. Infrared spectroscopy analysis of Fourier transform (FTIR), Xray diffraction (XRD) and scanning electron microscopy, high-resolution (SEM/FEG) for the characterization of graphene/graphene oxide film obtained were performed. This film proved to be resilient, not dispersing in any of the various tested solvents (such as ethanol, acetone and THF), even under tip sonication, this resistance being an important property for the applications. Furthermore, the film had a morphology similar to that obtained by other preparation methods.(author)
Rezvanian, O.; Brown, C.; Zikry, M. A.; Kingon, A. I.; Krim, J.; Irving, D. L.; Brenner, D. W.
2008-07-01
It is shown that measured and calculated time-dependent electrical resistances of closed gold Ohmic switches in radio frequency microelectromechanical system (rf-MEMS) devices are well described by a power law that can be derived from a single asperity creep model. The analysis reveals that the exponent and prefactor in the power law arise, respectively, from the coefficient relating creep rate to applied stress and the initial surface roughness. The analysis also shows that resistance plateaus are not, in fact, limiting resistances but rather result from the small coefficient in the power law. The model predicts that it will take a longer time for the contact resistance to attain a power law relation with each successive closing of the switch due to asperity blunting. Analysis of the first few seconds of the measured resistance for three successive openings and closings of one of the MEMS devices supports this prediction. This work thus provides guidance toward the rational design of Ohmic contacts with enhanced reliabilities by better defining variables that can be controlled through material selection, interface processing, and switch operation.
Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance
Baumgartner, H. R.
1978-01-01
Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.
Wang, Li-Min; Wang, Chih-Yi; Jheng, Ciao-Ren; Wu, Syu-Jhan; Sai, Chen-Kai; Lee, Ya-Ju; Chiang, Ching-Yu; Shew, Bor-Yuan
2016-08-01
The crystalline structure, morphology, composition, electrical transport, and optical properties of aluminum-doped zinc oxide (AZO) films are studied for applications in transparent electronics and optoelectronic devices. AZO thin films of c-axis-oriented growth and with different thickness were deposited on PET flexible plastic substrates at room temperature by rf magnetron sputtering. A larger grain size with a decreased strain ɛ value is observed in a thicker film, while changes in composition for films with different thicknesses are insignificant. Moreover, the resistivity of film decreases with increasing thickness, and the low-temperature electrical transport properties can be described by the scenario of quantum corrections to conductivity. With the room-temperature growth conditions, the resistivity of 4.5 × 10-4 Ω cm, carrier concentration of 6.4 × 1020 cm-3, and transmittance of 80 % for the 1100-nm-thick film are obtained. In addition, the optical bandgap energy decreases with increasing film thickness, which can be attributed to the bandgap renormalization and crystallite size effects.
A high performance transparent resistive switching memory made from ZrO_2/AlON bilayer structure
International Nuclear Information System (INIS)
Tsai, Tsung-Ling; Chang, Hsiang-Yu; Tseng, Tseung-Yuen; Lou, Jesse Jen-Chung
2016-01-01
In this study, the switching properties of an indium tin oxide (ITO)/zirconium oxide (ZrO_2)/ITO single layer device and those of a device with an aluminum oxynitride (AlON) layer were investigated. The devices with highly transparent characteristics were fabricated. Compared with the ITO/ZrO_2/ITO single layer device, the ITO/ZrO_2/AlON/ITO bilayer device exhibited a larger ON/OFF ratio, higher endurance performance, and superior retention properties by using a simple two-step forming process. These substantial improvements in the resistive switching properties were attributed to the minimized influence of oxygen migration through the ITO top electrode (TE), which can be realized by forming an asymmetrical conductive filament with the weakest part at the ZrO_2/AlON interface. Therefore, in the ITO/ZrO_2/AlON/ITO bilayer device, the regions where conductive filament formation and rupture occur can be effectively moved from the TE interface to the interior of the device.
Oxidation resistant coatings for ceramic matrix composite components
Energy Technology Data Exchange (ETDEWEB)
Vaubert, V.M.; Stinton, D.P. [Oak Ridge National Lab., TN (United States); Hirschfeld, D.A. [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Dept. of Materials and Metallurgical Engineering
1998-11-01
Corrosion resistant Ca{sub 0.6}Mg{sub 0.4}Zr{sub 4}(PO{sub 4}){sub 6} (CMZP) and Ca{sub 0.5}Sr{sub 0.5}Zr{sub 4}(PO{sub 4}){sub 6} (CS-50) coatings for fiber-reinforced SiC-matrix composite heat exchanger tubes have been developed. Aqueous slurries of both oxides were prepared with high solids loading. One coating process consisted of dipping the samples in a slip. A tape casting process has also been created that produced relatively thin and dense coatings covering a large area. A processing technique was developed, utilizing a pre-sintering step, which produced coatings with minimal cracking.
VO2(B conversion to VO2(A and VO2(M and their oxidation resistance and optical switching properties
Directory of Open Access Journals (Sweden)
Zhang Yifu
2016-03-01
Full Text Available Vanadium dioxide VO2 has been paid in recent years increasing attention because of its various applications, however, its oxidation resistance properties in air atmosphere have rarely been reported. Herein, VO2(B nanobelts were transformed into VO2(A and VO2(M nanobelts by hydrothermal route and calcination treatment, respectively. Then, we comparatively studied the oxidation resistance properties of VO2(B, VO2(A and VO2(M nanobelts in air atmosphere by thermo-gravimetric analysis and differential thermal analysis (TGA/DTA. It was found that the nanobelts had good thermal stability and oxidation resistance below 341 °C, 408 °C and 465 °C in air, respectively, indicating that they were stable in air at room temperature. The fierce oxidation of the nanobelts occurred at 426, 507 and 645 °C, respectively. The results showed that the VO2(M nanobelts had the best thermal stability and oxidation resistance among the others. Furthermore, the phase transition temperatures and optical switching properties of VO2(A and VO2(M were studied by differential scanning calorimetry (DSC and variable temperature infrared spectra. It was found that the VO2(A and VO2(M nanobelts had outstanding thermochromic character and optical switching properties.
Energy Technology Data Exchange (ETDEWEB)
Evin, Harold Nicolas; Heintz, Olivier; Chevalier, Sebastien [UMR 5209 CNRS-Bourgogne Univ. (France). Lab. Interdisciplinaire Carnot de Bourgogne; Foejer, Cecilia; Jakani, Saad; Dhont, Annick; Claessens, Serge [OCAS N.V. ArcelorMittal Global R and D, Gent (Belgium)
2010-07-01
The improvement of high temperature oxidation resistance of low chromium content steels, such as T/P91, is of great interest in regards with their application in thermal power generating plants. Indeed, they possess good creep properties, but are facing their limits of use at temperature higher than 600 C, due to accelerated corrosion phenomena. Good knowledge of the mechanisms involved during their oxidation process is needed to prevent the degradation of the materials and to extend life time of the power plants components. Oxide layers thermally grown, on 9% Cr steels (provided by OCAS N.V), during isothermal tests between 600 C and 750 C in laboratory air under atmospheric pressure were investigated, by Scanning Electron Microscopy (SEM) and X-ray diffraction (XRD). The oxidation behaviour appeared very limited at 750 C, due to the presence of a breakaway, which can be linked to iron porous oxide grown over the surface of the samples. ''In situ'' X-ray Photoelectron spectroscopy (XPS) analyses were performed in air at 600 C after short exposures (between 5 min and 25 h). A complex mixture of iron oxide, Cr{sub 2}O{sub 3} and Cr (VI) species were characterized in the scales. The in-situ analyses were compared and related to XPS analyses performed on thick oxide scales formed on samples oxidized in air at 600 C for 100h. An oxidation mechanism is then proposed to understand the oxide scale growth in the temperature range 600 - 750 C. The second step of this study consists in improving the high temperature corrosion resistance of these steels without modifying their mechanical properties. Thus several solutions were investigated such as MOCVD coatings, pack cementation coatings, and tested in cycle conditions prior. (orig.)
Photoconductivity of reduced graphene oxide and graphene oxide composite films
International Nuclear Information System (INIS)
Liang, Haifeng; Ren, Wen; Su, Junhong; Cai, Changlong
2012-01-01
A photoconductive device was fabricated by patterning magnetron sputtered Pt/Ti electrode and Reduced Graphene Oxide (RGO)/Graphene Oxide (GO) composite films with a sensitive area of 10 × 20 mm 2 . The surface morphology of as-deposited GO films was observed by scanning electronic microscopy, optical microscopy and atomic force microscopy, respectively. The absorption properties and chemical structure of RGO/GO composite films were obtained using a spectrophotometer and an X-ray photoelectron spectroscopy. The photoconductive properties of the system were characterized under white light irradiation with varied output power and biased voltage. The results show that the resistance decreased from 210 kΩ to 11.5 kΩ as the irradiation power increased from 0.0008 mW to 625 mW. The calculated responsiveness of white light reached 0.53 × 10 −3 A/W. Furthermore, the device presents a high photo-conductivity response and displays a photovoltaic response with an open circuit voltage from 0.017 V to 0.014 V with irradiation power. The sources of charge are attributed to efficient excitation dissociation at the interface of the RGO/GO composite film, coupled with cross-surface charge percolation.
Lanthanum Gadolinium Oxide: A New Electronic Device Material for CMOS Logic and Memory Devices
Directory of Open Access Journals (Sweden)
Shojan P. Pavunny
2014-03-01
Full Text Available A comprehensive study on the ternary dielectric, LaGdO3, synthesized and qualified in our laboratory as a novel high-k dielectric material for logic and memory device applications in terms of its excellent features that include a high linear dielectric constant (k of ~22 and a large energy bandgap of ~5.6 eV, resulting in sufficient electron and hole band offsets of ~2.57 eV and ~1.91 eV, respectively, on silicon, good thermal stability with Si and lower gate leakage current densities within the International Technology Roadmap for Semiconductors (ITRS specified limits at the sub-nanometer electrical functional thickness level, which are desirable for advanced complementary metal-oxide-semiconductor (CMOS, bipolar (Bi and BiCMOS chips applications, is presented in this review article.
Cathodic arc sputtering of functional titanium oxide thin films, demonstrating resistive switching
Energy Technology Data Exchange (ETDEWEB)
Shvets, Petr, E-mail: pshvets@innopark.kantiana.ru; Maksimova, Ksenia; Demin, Maxim; Dikaya, Olga; Goikhman, Alexander
2017-05-15
The formation of thin films of the different stable and metastable titanium oxide phases is demonstrated by cathode arc sputtering of a titanium target in an oxygen atmosphere. We also show that sputtering of titanium in vacuum yields the formation of titanium silicides on the silicon substrate. The crystal structure of the produced samples was investigated using Raman spectroscopy and X-ray diffraction. We conclude that cathode arc sputtering is a flexible method suitable for producing the functional films for electronic applications. The functionality is verified by the memory effect demonstration, based on the resistive switching in the titanium oxide thin film structure.
Hao, Aize; Ismail, Muhammad; He, Shuai; Huang, Wenhua; Qin, Ni; Bao, Dinghua
2018-02-01
The coexistence of unipolar and bipolar resistive switching (RS) behaviors of Ag-nanoparticles (Ag-NPs) doped NiFe2O4 (NFO) based memory devices was investigated. The switching voltages of required operations in the unipolar mode were smaller than those in the bipolar mode, while ON/OFF resistance levels of both modes were identical. Ag-NPs doped NFO based devices could switch between the unipolar and bipolar modes just by preferring the polarity of RESET voltage. Besides, the necessity of identical compliance current during the SET process of unipolar and bipolar modes provided an additional advantage of simplicity in device operation. Performance characteristics and cycle-to-cycle uniformity (>103 cycles) in unipolar operation were considerably better than those in bipolar mode (>102 cycles) at 25 °C. Moreover, good endurance (>600 cycles) at 200 °C was observed in unipolar mode and excellent nondestructive retention characteristics were obtained on memory cells at 125 °C and 200 °C. On the basis of temperature dependence of resistance at low resistance state, it was believed that physical origin of the RS mechanism involved the formation/rupture of the conducting paths consisting of oxygen vacancies and Ag atoms, considering Joule heating and electrochemical redox reaction effects for the unipolar and bipolar resistive switching behaviors. Our results demonstrate that 0.5% Ag-NPs doped nickel ferrites are promising resistive switching materials for resistive access memory applications.
International Nuclear Information System (INIS)
Fan Zhi-Qiang; Zhang Zhen-Hua; Qiu Ming; Deng Xiao-Qing; Tang Gui-Ping
2012-01-01
We report the ab initio calculations of transport behaviors of an azobenzene molecular device which is similar to the experimental configurations. The calculated results show that the transport behaviors of the device are sensitive to the molecule-electrode distance and the currents will drop rapidly when the molecule-electrode distance changes from 1.7 Å to 2.0 Å. More interestingly, the negative differential resistance behavior can be found in our device. Nevertheless, it is not the inherent property of an azobenzene molecular device but an effect of the molecule-electrode distance. Detailed analyses of the molecular projected self-consistent Hamiltonian states and the transmission spectra of the system reveal the physical mechanism of these behaviors. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Energy Technology Data Exchange (ETDEWEB)
Sowa, Maciej; Greń, Katarzyna [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Kukharenko, Andrey I. [Institute of Metal Physics, Russian Academy of Sciences-Ural Division, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Ural Federal University, Mira Street 19, 620002 Yekaterinburg, Mira str. 19 (Russian Federation); Korotin, Danila M. [Institute of Metal Physics, Russian Academy of Sciences-Ural Division, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Michalska, Joanna [Faculty of Materials Engineering and Metallurgy, Silesian University of Technology, Krasińskiego Street 8, 40-019 Katowice (Poland); Szyk-Warszyńska, Lilianna; Mosiałek, Michał [Jerzy Haber Institute of Catalysis and Surface Chemistry PAS, Niezapominajek Street 8, 30-239 Kraków (Poland); Żak, Jerzy [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland); Pamuła, Elżbieta [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, Mickiewicza Avenue 30, 30-059 Kraków (Poland); Kurmaev, Ernst Z. [Institute of Metal Physics, Russian Academy of Sciences-Ural Division, S. Kovalevskoi Street 18, 620990 Yekaterinburg (Russian Federation); Cholakh, Seif O. [Ural Federal University, Mira Street 19, 620002 Yekaterinburg, Mira str. 19 (Russian Federation); Simka, Wojciech, E-mail: wojciech.simka@polsl.pl [Faculty of Chemistry, Silesian University of Technology, B. Krzywoustego Street 6, 44-100 Gliwice (Poland)
2014-09-01
The work presents results of the studies performed on electropolishing of pure niobium in a bath that contained: sulphuric acid, hydrofluoric acid, ethylene glycol and acetanilide. After the electropolishing, the specimens were subjected to anodic passivation in a 1 mol dm{sup −3} phosphoric acid solution at various voltages. The surface morphology, thickness, roughness and chemical composition of the resulting oxide layers were analysed. Thusly prepared niobium samples were additionally investigated in terms of their corrosion resistance in Ringer's solution. The electropolished niobium surface was determined to be smooth and lustrous. The anodisation led to the growth of barrier-like oxide layers, which were enriched in phosphorus species. - Highlights: • Pure niobium was electropolished and subsequently anodised in a H{sub 3}PO{sub 4} solution. • Phosphorus was successfully introduced into the oxide layers after the treatment. • Corrosion resistance of niobium in Ringer's solution was improved after anodising.
International Nuclear Information System (INIS)
Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de
2015-01-01
Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17 m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching
Energy Technology Data Exchange (ETDEWEB)
Wu, Jiqiang; Liu, Han; Ye, Xuemei [Jiangsu Key Laboratory of Materials Surface Technology, Changzhou University, Changzhou 213164 (China); Chai, Yating [Materials Research and Education Center, Auburn University, AL 36849 (United States); Hu, Jing, E-mail: jinghoo@126.com [Jiangsu Key Laboratory of Materials Surface Technology, Changzhou University, Changzhou 213164 (China); Materials Research and Education Center, Auburn University, AL 36849 (United States)
2015-05-25
Highlights: • Plain air was primarily used for plasma post-oxidation for AISI 4140 steel. • A thin iron oxide layer composed of Fe{sub 3}O{sub 4} to Fe{sub 2}O{sub 3} was formed on top of the compound layer. • The ratio of Fe{sub 3}O{sub 4} to Fe{sub 2}O{sub 3} was closely related to the post-oxidizing conditions. • Post-oxidizing at 673 K for 60 min brought out highest ratio of Fe{sub 3}O{sub 4} to Fe{sub 2}O{sub 3} and optimum corrosion resistance. - Abstract: Plasma post-oxidizing was conducted immediately after plasma nitriding in the same equipment for AISI 4140 steel, and plain air was used as the oxygen bearing gas. The cross-sectional microstructures of the treated samples were observed by optical metallography and scanning electron microcopy (SEM), and the thickness of compound layer was measured accordingly. The phases were determined by X-ray diffraction (XRD), corrosion resistance was evaluated by electrochemical polarization, and the surface morphology before and after polarization test was also observed by SEM. Meanwhile, standard Gibbs free energy of the oxidation reactions existed in Fe–O system was calculated. The results show that a thin iron oxide layer composed of magnetite (Fe{sub 3}O{sub 4}) and hematite (Fe{sub 2}O{sub 3}) is formed on top of the compound layer during plasma post-oxidizing process, and the ratio of magnetite (Fe{sub 3}O{sub 4}) to hematite (Fe{sub 2}O{sub 3}) is depended on plasma post-oxidizing temperature and time. Highest ratio of Fe{sub 3}O{sub 4} to Fe{sub 2}O{sub 3} is obtained while post-oxidizing at 673 K for 60 min due to lower standard Gibbs free energy and appropriate forming rate for the formation of Fe{sub 3}O{sub 4} at this temperature. The thin oxide layer brings out significant enhancement of corrosion resistance, especially at higher ratios of Fe{sub 3}O{sub 4} to Fe{sub 2}O{sub 3}, due to the dense and adherent characteristic of Fe{sub 3}O{sub 4} oxide. Surface images of the post-oxidizing specimen
Critical-temperature inhomogeneities and resistivity rounding in copper oxide superconductors
International Nuclear Information System (INIS)
Maza, J.; Vidal, F.
1991-01-01
By using effective-medium approaches, we obtain the onset of the electrical-resistivity rounding, above the normal-superconducting transition, associated with inhomogeneities of the mean-field critical temperature T c0 at scales larger than the superconducting correlation length. These results are compared with available data in single-crystal and single-phase (to within 4%) polycrystalline YBa 2 Cu 3 O 7-δ samples. This comparison shows that the measured resistivity rounding cannot be explained by these types of local T c0 inhomogeneities. Complementarily, our calculations allow us to check some proposals on T c0 inhomogeneities associated with local sample strains or oxygen-content variations. The interplay between T c0 inhomogeneities and superconducting order-parameter fluctuations (SCOPF) leads to the conclusion that in the mean-field-like region (MFR) above the superconducting transition, the T c0 inhomogeneity contribution to the measured resistivity rounding in high-quality (single-phase) cuprate oxide superconductors is negligible. In contrast, our analysis confirms that in the MFR these effects may be explained quantitatively on the grounds of the Lawrence-Doniach theory for SCOPF
Understanding the conductive channel evolution in Na:WO(3-x)-based planar devices.
Shang, Dashan; Li, Peining; Wang, Tao; Carria, Egidio; Sun, Jirong; Shen, Baogen; Taubner, Thomas; Valov, Ilia; Waser, Rainer; Wuttig, Matthias
2015-04-14
An ion migration process in a solid electrolyte is important for ion-based functional devices, such as fuel cells, batteries, electrochromics, gas sensors, and resistive switching systems. In this study, a planar sandwich structure is prepared by depositing tungsten oxide (WO(3-x)) films on a soda-lime glass substrate, from which Na(+) diffuses into the WO(3-x) films during the deposition. The entire process of Na(+) migration driven by an alternating electric field is visualized in the Na-doped WO(3-x) films in the form of conductive channel by in situ optical imaging combined with infrared spectroscopy and near-field imaging techniques. A reversible change of geometry between a parabolic and a bar channel is observed with the resistance change of the devices. The peculiar channel evolution is interpreted by a thermal-stress-induced mechanical deformation of the films and an asymmetric Na(+) mobility between the parabolic and the bar channels. These results exemplify a typical ion migration process driven by an alternating electric field in a solid electrolyte with a low ion mobility and are expected to be beneficial to improve the controllability of the ion migration in ion-based functional devices, such as resistive switching devices.
Saravanan, K.; Jayalakshmi, G.; Suresh, K.; Sundaravel, B.; Panigrahi, B. K.; Phase, D. M.
2018-03-01
We report the structural evolution of reduced graphene oxide (rGO) in graphene oxide (GO) flakes during 1 MeV Si+ ion irradiation. In-situ electrical resistivity measurements facilitate monitoring the sheet resistance with the increase in the fluence. The electrical sheet resistance of the GO flake shows the exponential decay behaviour with the increasing ion fluence. Raman spectra of the GO flake reveal the increase in the ID/IG ratio, indicating restoration of the sp2 network upon irradiation. The C/O ratio estimated from resonant Rutherford backscattering spectrometry analysis directly evidenced the reduction of oxygen moieties upon irradiation. C K-edge X-ray absorption near edge structure spectra reveal the restoration of C=C sp2-hybridized carbon atoms and the removal of oxygen-containing functional groups in the GO flake. STM data reveal the higher conductance in the rGO regime in comparison with the regime, where the oxygen functional groups are present. The experimental investigation demonstrates that the ion irradiation can be employed for efficient reduction of GO with tunable electrical and structural properties.
Ma, Liwei; Wang, Hongjun; Wang, Chunyan; Su, Jing; Xie, Qi; Xu, Lu; Yu, Yang; Liu, Shibing; Li, Songyan; Xu, Ye; Li, Zhixin
2016-01-01
Cisplatin is a commonly used chemotherapeutic drug, used for the treatment of malignant ovarian cancer, but acquired resistance limits its application. There is therefore an overwhelming need to understand the mechanism of cisplatin resistance in ovarian cancer, that is, ovarian cancer cells are insensitive to cisplatin treatment. Here, we show that failure of elevating calcium and oxidative stress tolerance play key roles in cisplatin resistance in ovarian cancer cell lines. Cisplatin induce...
Xu, Zhefeng; Rong, Ju; Yu, Xiaohua; Kun, Meng; Zhan, Zhaolin; Wang, Xiao; Zhang, Yannan
2017-10-01
A new type of high-temperature oxidation-resistant aluminum-based coating, on a titanium billet surface, was fabricated by the cold spray method, at a high temperature of 1050°C, for 8 h, under atmospheric pressure. The microstructure of the exposed surface was analyzed via optical microscopy, the microstructure of the coating and elemental diffusion was analyzed via field emission scanning electron microscopy, and the interfacial phases were identified via x-ray diffraction. The Ti-Al binary phase diagram and Gibbs free energy of the stable phase were calculated by Thermo-calc. The results revealed that good oxidation resistant 50-μm-thick coatings were successfully obtained after 8 h at 1050°C. Two layers were obtained after the coating process: an Al2O3 oxidation layer and a TiAl3 transition layer on the Ti-based substrate. The large and brittle Al2O3 grains on the surface, which can be easily spalled off from the surface after thermal processing, protected the substrate against oxidation during processing. In addition, the thermodynamic calculation results were in good agreement with the experimental data.
Energy Technology Data Exchange (ETDEWEB)
Garratt, E; Wickey, K J; Nandasiri, M I; Moore, A; AlFaify, S; Gao, X [Department of Physics, Western Michigan University, Kalamazoo, MI 49008 (United States); Smith, R J; Buchanan, T L; Priyantha, W; Kopczyk, M; Gannon, P E [Montana State University, Bozeman, MT, 59717 (United States); Kayani, A, E-mail: asghar.kayani@wmich.ed
2009-11-01
The requirements of low cost and high-temperature corrosion resistance for bipolar interconnect plates in solid oxide fuel cell stacks has directed attention to the use of metal plates with oxidation resistant coatings. We have investigated the performance of steel plates with homogenous coatings of CrAlON (oxynitrides). The coatings were deposited using RF magnetron sputtering, with Ar as a sputtering gas. Oxygen in these coatings was not intentionally added. Oxygen might have come through contaminated nitrogen gas bottle, leak in the chamber or from the partial pressure of water vapors. Nitrogen was added during the growth process to get oxynitride coating. The Cr/Al composition ratio in the coatings was varied in a combinatorial approach. The coatings were subsequently annealed in air for up to 25 hours at 800 {sup o}C. The composition of the coated plates and the rate of oxidation were characterized using Rutherford backscattering (RBS) and nuclear reaction analysis (NRA). Surface characterization was carried out using Atomic Force Microscopy (AFM) and surfaces of the coatings were found smooth on submicron scale. From our results, we conclude that Al rich coatings are more susceptible to oxidation than Cr rich coatings.
Pan, Chengbin; Miranda, Enrique; Villena, Marco A.; Xiao, Na; Jing, Xu; Xie, Xiaoming; Wu, Tianru; Hui, Fei; Shi, Yuanyuan; Lanza, Mario
2017-06-01
Despite the enormous interest raised by graphene and related materials, recent global concern about their real usefulness in industry has raised, as there is a preoccupying lack of 2D materials based electronic devices in the market. Moreover, analytical tools capable of describing and predicting the behavior of the devices (which are necessary before facing mass production) are very scarce. In this work we synthesize a resistive random access memory (RRAM) using graphene/hexagonal-boron-nitride/graphene (G/h-BN/G) van der Waals structures, and we develop a compact model that accurately describes its functioning. The devices were fabricated using scalable methods (i.e. CVD for material growth and shadow mask for electrode patterning), and they show reproducible resistive switching (RS). The measured characteristics during the forming, set and reset processes were fitted using the model developed. The model is based on the nonlinear Landauer approach for mesoscopic conductors, in this case atomic-sized filaments formed within the 2D materials system. Besides providing excellent overall fitting results (which have been corroborated in log-log, log-linear and linear-linear plots), the model is able to explain the dispersion of the data obtained from cycle-to-cycle in terms of the particular features of the filamentary paths, mainly their confinement potential barrier height.
International Nuclear Information System (INIS)
Nikravesh, B.; Ramezanzadeh, B.; Sarabi, A.A.; Kasiriha, S.M.
2011-01-01
Research highlights: → The corrosion resistance of the coating was improved using MIO and Al pigments. → The greatest coating corrosion resistance was observed at MIO/Al ratio of 10/90. → The cathodic disbonded area of the coating was decreased using MIO and Al particles. → The lowest disbonded area was observed at MIO/Al ratio of 10/90. → Al particles had high capability of reacting with the OH - ions. - Abstract: The corrosion resistance of an epoxy coating reinforced with different ratios of MIO/Al pigments was studied. The coatings properties were investigated by an electrochemical impedance spectroscopy (EIS), salt spray test, cathodic disbonding and a scanning electron microscope (SEM). The corrosion resistance of the epoxy coating was improved using MIO (micaceous iron oxide) and Al pigments. The corrosion resistance of the purely Al pigmented coating was considerably greater than the purely MIO pigmented coating. The cathodic disbonded area of coating was decreased using MIO and Al pigments. The decrease in disbonded area was more pronounced in the presence of Al particles.
Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides
Energy Technology Data Exchange (ETDEWEB)
Boltz, Janika
2011-12-12
Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post
Chen, Li; Xu, Wen Ming; Zhang, Dan
2014-10-01
To study the expression of insulin signaling-related genes and oxidative stress markers in the visceral adipose tissue obtained from polycystic ovary syndrome (PCOS) patients and healthy control subjects and to investigate the relationships among abdominal obesity, insulin resistance, and oxidative stress at the tissue level. Case-control study. University teaching hospital. In total, 30 PCOS patients and 30 healthy control subjects, who underwent laparoscopic surgery, were included in the study. Abdominal obesity was defined based on waist circumference (WC). The homeostasis model index was used to assess insulin resistance (HOMA-IR). Gene expression of glucose transporter 4 (GLUT4) and insulin receptor substrate 1 (IRS1) in visceral adipose tissue (VAT) and the parameters of oxidative stress, such as superoxide dismutase, enzyme glutathione reductase, and dimethylarginine, were measured, and the expression of protein oxidative damage product 3-nitro-tyrosine residues (nitrotyrosine) in VAT was identified with the use of immunohistochemistry. PCOS was associated with lower expression of GLUT4 and IRS1 and a higher level of oxidative stress in VAT, which was strongly correlated with WC and HOMA-IR. Presence of abdominal obesity further intensified the correlations observed in our measurements. The nitrotyrosine expression in VAT was stronger in PCOS patients. The strong correlation of insulin resistance with oxidative stress at the VAT level suggests that local oxidative stress and abnormalities of insulin signaling in adipose tissue play critical roles in the pathogenesis of PCOS. Copyright © 2014 American Society for Reproductive Medicine. Published by Elsevier Inc. All rights reserved.
Complete coverage of reduced graphene oxide on silicon dioxide substrates
International Nuclear Information System (INIS)
Jingfeng Huang; Hu Chen; Yoong Alfred Tok Iing; Larisika, Melanie; Nowak, Christoph; Faulkner, Steve; Nimmo, Myra A.
2014-01-01
Reduced graphene oxide (RGO) has the advantage of an aqueous and industrial-scale production route. No other approaches can rival the RGO field effect transistor platform in terms of cost (
International Nuclear Information System (INIS)
Koike, Katsuhiko; Yamazaki, Fumiharu; Okamura, Tomoyuki; Fukuda, Shin
2007-01-01
An optical filter for plasma display panel (PDP) requires an electromagnetic shield with very high ability. The authors investigated a transparent conductive multilayer coating consisting of silver (Ag) layers and transparent metal oxide layers. The durability of the multilayer sputter coating, including the silver layer, is very sensitive to the surrounding atmosphere. For example, after an exposure test they found discolored points on the multilayer sputter coatings, possibly caused by migration of silver atoms in the silver layers. In their investigation, they modified the top surface of the multilayer sputter coatings with transition metals to improve the corrosion resistance of the multilayer coating. Specifically, they deposited transition metals 0.5-2 nm thick on the top surface of the multilayer coatings by sputtering. They chose indium tin oxide (ITO) as the transparent metal oxide. They applied the multilayer sputter coatings of seven layers to a polyethylene terephthalate (PET) film substrate. A cross-sectional structure of the film with the multilayer coatings is PET film/ITO/Ag/ITO/Ag/ITO/Ag/ITO. They evaluated the corrosion resistance of the films by a salt-water immersion test. In the test, they immersed the film with multilayer coatings into salt water, and then evaluated the appearance, transmittance, and electrical resistance of the multilayer coatings. They investigated several transition metals as the modifying material, and found that titanium and tantalum drastically improved the resistance of the multilayer coatings to the salt-water exposure without a significant decline in transmittance. They also investigated the relation between elapsed time after deposition of the modifying materials and resistance to the salt water. Furthermore, they investigated the effects of a heat treatment and an oxide plasma treatment on resistance to the salt water
Directory of Open Access Journals (Sweden)
Hamid Arazi
Full Text Available The aim of this study was to determine the effect of anabolic androgenic steroids (AAS use on oxidative stress responses to a single session of resistance exercise in strength-trained men. Twenty-three strength trained men, with 11 self-reporting regular AAS use and 12 self-reporting never taking AAS (NAAS volunteered to participate in this study. Blood draws were obtained pre and post resistance exercise in order to evaluate changes in oxidative stress biomarkers levels (i.e., 8-hydroxy-2-deoxyguanosine [8-OHdG], malondialdehyde [MDA], and nitric oxide [NO], antioxidant defense systems (i.e., glutathione peroxidase [GPx] and catalase [CAT], and glucose (GLU levels. The AAS users had higher level of 8-OHdG (77.3 ± 17 vs. 57.7 ± 18.2 ng/mg, MDA (85.6 ± 17.8 vs. 52.3 ± 15.1 ng/mL, and GPx (9.1 ± 2.3 vs. 7.1 ± 1.3 mu/mL compared to NAAS at pre exercise (p < 0.05. Both the experimental groups showed increases in 8-OHdG (p = 0.001, MDA (p = 0.001, GPx (p = 0.001, NO (p = 0.04, CAT (p = 0.02 and GLU (p = 0.001 concentrations after resistance exercise, and the AAS group indicated significant differences in 8-OHdG (p = 0.02 and MDA (p = 0.05 concentrations compared with NAAS users at post exercise. In conclusion, use of AAS is associated with alterations in immune function resulting in oxidative stress, and cell damage; however, high-intensity resistance exercise could increase greater oxidative stress biomarkers in strength-trained men. Keywords: ROS, Strength exercise, Anabolic
Rieke, Peter C [Pasco, WA; Coffey, Gregory W [Richland, WA; Pederson, Larry R [Kennewick, WA; Marina, Olga A [Richland, WA; Hardy, John S [Richland, WA; Singh, Prabhaker [Richland, WA; Thomsen, Edwin C [Richland, WA
2010-07-20
The present invention provides novel compositions that find advantageous use in making electrodes for electrochemical cells. Also provided are electrochemical devices that include active oxygen reduction electrodes, such as solid oxide fuel cells, sensors, pumps and the like. The compositions comprises a copper-substituted ferrite perovskite material. The invention also provides novel methods for making and using the electrode compositions and solid oxide fuel cells and solid oxide fuel cell assemblies having cathodes comprising the compositions.
International Nuclear Information System (INIS)
Souza, Kellie Provazi de
2006-01-01
The influence of different treatments on the aluminum system covered with aluminum oxide is investigated. The aluminum anodization in sulphuric media and in mixed sulphuric and phosphoric media was used to alter the corrosion resistance, thickness, coverage degree and microhardness of the anodic oxide. Iron electrodeposition inside the anodic oxide was used to change its electric conductivity and corrosion resistance. Direct and pulsed current were used for iron electrodeposition and the Fe(SO 4 ) 2 (NH 4 ) 2 .6H 2 O electrolyte composition was changed with the addition of boric and ascorbic acids. To the sealing treatment the CeCl 3 composition was varied. The energy dispersive x-ray (EDS), the x-ray fluorescence spectroscopy (FRX) and the morphologic analysis by scanning electronic microscopy (SEM) allowed to verify that, the pulsed current increase the iron content inside the anodic layer and that the use of the additives inhibits the iron oxidation. The chronopotentiometric curves obtained during iron electrodeposition indicated that the boric and ascorbic acids mixture increased the electrodeposition process efficiency. The electrochemical impedance spectroscopy (EIE), the Vickers (Hv) microhardness measurements and morphologic analysis evidenced that the sealing treatment improves the corrosion resistance of the anodic film modified with iron. The electrical impedance (EI) technique allowed to prove the electric conductivity increase of the anodized aluminum with iron electrodeposited even after the cerium low concentration treatment. Iron nanowires were prepared by using the anodic oxide pores as template. (author)
Laser beam joining of non-oxidic ceramics for ultra high temperature resistant joints
International Nuclear Information System (INIS)
Lippmann, W.; Knorr, J.; Wolf, R.; Reinecke, A.M.; Rasper, R.
2004-01-01
The excellent technical properties of silicon carbide (SiC) and silicon nitride (Si 3 N 4 ) ceramics, such as resistance to extreme temperatures, oxidation, mechanical wear, aggressive chemical substances and radioactive radiation and also its high thermal conductivity and good temperature-shock resistance, make these ceramics ideally suited for use in the field of nuclear technology. However, their practical use has been limited so far because of the unavailability of effective joining techniques for these ceramics, especially for high temperature applications. A new joining technology (CERALINK registered ) has been developed in a network project which allowed high temperature resistant and vacuum-tight joining of SiC or Si 3 N 4 ceramics. A power laser is used as heat source, which makes it possible to join ceramic components in free atmosphere in combination with a pure oxidic braze filler. As no furnace is necessary, there are no limitations on the component dimensions by the furnace-geometry. During the joining process, the heated area can be limited to the seam area so that this technology can also be used to encapsulate materials with a low melting point. The seam has a high mechanical strength, it is resistant to a wide range of chemicals and radiation and it is also vacuum-tight. The temperature resistance can be varied by variation of the braze filler composition - usually between 1,400 C and >1,600 C. Beside the optimum filler it is also important to select the suitable laser wavelength. The paper will demonstrate the influence of different wave lengths, i. e. various laser types, on the seam quality. Examples are chosen to illustrate the strengths and limitations of the new technology
The resistance changes of carbon and metal oxide film resistors by irradiation of 60Co γ rays
International Nuclear Information System (INIS)
Okamoto, Shinichi; Fujino, Takahiro; Furuta, Junichiro; Yoshida, Toshio
1979-01-01
The resistance changes of glass-sealed deposited-carbon-film and carbon-coated-film resitors and metal oxide glazed resistors made in USA were studied by gamma-ray irradiation. (1) The resistances of deposited-carbon-film resistors of 50, 100 and 200 megohm did not change by irradiation of gamma rays up to 1.9 x 10 9 R. (2) The carbon-coated-film resistors of 100, 1000, 10000 and 100000 megohm had negative resistance changes by irradiation of gamma rays up to 9.9 x 10 8 R. (3) The resistances of metal oxide glazed resistors of 100, 1000 and 10000 megohm did not change by irradiation of gamma rays up to 8.8 x 10 8 R. When radiation monitoring instruments with hi-meg resistors are used in a gamma field with high intensity, the resistors must not be exposed to gamma rays with high doses, or the resistors which do not change by gamma-ray irradiation must be selected. (author)
Laurenti, Marco; Bianco, Stefano; Castellino, Micaela; Garino, Nadia; Virga, Alessandro; Pirri, Candido F; Mandracci, Pietro
2016-03-01
Plastic smart windows are becoming one of the key elements in view of the fabrication of inexpensive, lightweight electrochromic (EC) devices to be integrated in the new generation of high-energy-efficiency buildings and automotive applications. However, fabricating electrochromic devices on polymer substrates requires a reduction of process temperature, so in this work we focus on the development of a completely room-temperature deposition process aimed at the preparation of ITO-coated polycarbonate (PC) structures acting as transparent and conductive plastic supports. Without providing any substrate heating or surface activation pretreatments of the polymer, different deposition conditions are used for growing indium tin oxide (ITO) thin films by the radiofrequency magnetron sputtering technique. According to the characterization results, the set of optimal deposition parameters is selected to deposit ITO electrodes having high optical transmittance in the visible range (∼90%) together with low sheet resistance (∼8 ohm/sq). The as-prepared ITO/PC structures are then successfully tested as conductive supports for the fabrication of plastic smart windows. To this purpose, tungsten trioxide thin films are deposited by the reactive sputtering technique on the ITO/PC structures, and the resulting single electrode EC devices are characterized by chronoamperometric experiments and cyclic voltammetry. The fast switching response between colored and bleached states, together with the stability and reversibility of their electrochromic behavior after several cycling tests, are considered to be representative of the high quality of the EC film but especially of the ITO electrode. Indeed, even if no adhesion promoters, additional surface activation pretreatments, or substrate heating were used to promote the mechanical adhesion among the electrode and the PC surface, the observed EC response confirmed that the developed materials can be successfully employed for the
Hamdani, Fethi; Das, Nishith K.; Shoji, Tetsuo
2018-06-01
The design of ultra-high purity (UHP) Fe-based model alloys for advanced ultra-supercritical (A-USC) technology is attempted in this work. Creep testing has been performed in air at 700 °C and a stress level of 150 MPa. Analysis of the fracture surface and cross section of the crept specimen was performed. To evaluate the oxidation resistance in A-USC conditions, oxidation testing was performed in supercritical water (SCW) at 700 °C and 25 MPa. Weight gain (WG) measurements and meticulous characterization of the oxide scale were carried out. Based on thermodynamics and density functional theory calculations, some reactive elements in the Fe-Cr-Ni system were designated to promote precipitation strengthening and to improve the hydrogen-accelerated oxidation resistance. The addition of a 2 wt pct Mo into Fe-22Cr-22Ni-0.6Nb wt pct-based matrix did not significantly improve the creep resistance. The addition of 0.26 wt pct Zr coupled with cold working was effective for improving creep properties. The Mo-modified model alloy showed almost the same WG value as SUS310, while the Zr-modified alloy showed a higher WG value. Meanwhile, a Cr-enriched continuous oxide layer was formed at the oxidation front of the Zr-modified alloy and SUS310S after exposure to SCW conditions.
Hamdani, Fethi; Das, Nishith K.; Shoji, Tetsuo
2018-03-01
The design of ultra-high purity (UHP) Fe-based model alloys for advanced ultra-supercritical (A-USC) technology is attempted in this work. Creep testing has been performed in air at 700 °C and a stress level of 150 MPa. Analysis of the fracture surface and cross section of the crept specimen was performed. To evaluate the oxidation resistance in A-USC conditions, oxidation testing was performed in supercritical water (SCW) at 700 °C and 25 MPa. Weight gain (WG) measurements and meticulous characterization of the oxide scale were carried out. Based on thermodynamics and density functional theory calculations, some reactive elements in the Fe-Cr-Ni system were designated to promote precipitation strengthening and to improve the hydrogen-accelerated oxidation resistance. The addition of a 2 wt pct Mo into Fe-22Cr-22Ni-0.6Nb wt pct-based matrix did not significantly improve the creep resistance. The addition of 0.26 wt pct Zr coupled with cold working was effective for improving creep properties. The Mo-modified model alloy showed almost the same WG value as SUS310, while the Zr-modified alloy showed a higher WG value. Meanwhile, a Cr-enriched continuous oxide layer was formed at the oxidation front of the Zr-modified alloy and SUS310S after exposure to SCW conditions.
Emerging memories: resistive switching mechanisms and current status
International Nuclear Information System (INIS)
Jeong, Doo Seok; Thomas, Reji; Katiyar, R S; Scott, J F; Kohlstedt, H; Petraru, A; Hwang, Cheol Seong
2012-01-01
The resistance switching behaviour of several materials has recently attracted considerable attention for its application in non-volatile memory (NVM) devices, popularly described as resistive random access memories (RRAMs). RRAM is a type of NVM that uses a material(s) that changes the resistance when a voltage is applied. Resistive switching phenomena have been observed in many oxides: (i) binary transition metal oxides (TMOs), e.g. TiO 2 , Cr 2 O 3 , FeO x and NiO; (ii) perovskite-type complex TMOs that are variously functional, paraelectric, ferroelectric, multiferroic and magnetic, e.g. (Ba,Sr)TiO 3 , Pb(Zr x Ti 1−x )O 3 , BiFeO 3 and Pr x Ca 1−x MnO 3 ; (iii) large band gap high-k dielectrics, e.g. Al 2 O 3 and Gd 2 O 3 ; (iv) graphene oxides. In the non-oxide category, higher chalcogenides are front runners, e.g. In 2 Se 3 and In 2 Te 3 . Hence, the number of materials showing this technologically interesting behaviour for information storage is enormous. Resistive switching in these materials can form the basis for the next generation of NVM, i.e. RRAM, when current semiconductor memory technology reaches its limit in terms of density. RRAMs may be the high-density and low-cost NVMs of the future. A review on this topic is of importance to focus concentration on the most promising materials to accelerate application into the semiconductor industry. This review is a small effort to realize the ambitious goal of RRAMs. Its basic focus is on resistive switching in various materials with particular emphasis on binary TMOs. It also addresses the current understanding of resistive switching behaviour. Moreover, a brief comparison between RRAMs and memristors is included. The review ends with the current status of RRAMs in terms of stability, scalability and switching speed, which are three important aspects of integration onto semiconductors. (review article)
Emerging memories: resistive switching mechanisms and current status
Jeong, Doo Seok; Thomas, Reji; Katiyar, R. S.; Scott, J. F.; Kohlstedt, H.; Petraru, A.; Hwang, Cheol Seong
2012-07-01
The resistance switching behaviour of several materials has recently attracted considerable attention for its application in non-volatile memory (NVM) devices, popularly described as resistive random access memories (RRAMs). RRAM is a type of NVM that uses a material(s) that changes the resistance when a voltage is applied. Resistive switching phenomena have been observed in many oxides: (i) binary transition metal oxides (TMOs), e.g. TiO2, Cr2O3, FeOx and NiO; (ii) perovskite-type complex TMOs that are variously functional, paraelectric, ferroelectric, multiferroic and magnetic, e.g. (Ba,Sr)TiO3, Pb(Zrx Ti1-x)O3, BiFeO3 and PrxCa1-xMnO3 (iii) large band gap high-k dielectrics, e.g. Al2O3 and Gd2O3; (iv) graphene oxides. In the non-oxide category, higher chalcogenides are front runners, e.g. In2Se3 and In2Te3. Hence, the number of materials showing this technologically interesting behaviour for information storage is enormous. Resistive switching in these materials can form the basis for the next generation of NVM, i.e. RRAM, when current semiconductor memory technology reaches its limit in terms of density. RRAMs may be the high-density and low-cost NVMs of the future. A review on this topic is of importance to focus concentration on the most promising materials to accelerate application into the semiconductor industry. This review is a small effort to realize the ambitious goal of RRAMs. Its basic focus is on resistive switching in various materials with particular emphasis on binary TMOs. It also addresses the current understanding of resistive switching behaviour. Moreover, a brief comparison between RRAMs and memristors is included. The review ends with the current status of RRAMs in terms of stability, scalability and switching speed, which are three important aspects of integration onto semiconductors.
Ajiboye, Taofeek O; Raji, Hikmat O; Adeleye, Abdulwasiu O; Adigun, Nurudeen S; Giwa, Oluwayemisi B; Ojewuyi, Oluwayemisi B; Oladiji, Adenike T
2016-03-30
The effect of Hibiscus sabdariffa calyx extract was evaluated in high-fructose-induced metabolic syndrome rats. Insulin resistance, hyperglycemia, dyslipidemia and oxidative rout were induced in rats using high-fructose diet. High-fructose diet-fed rats were administered 100 and 200 mg kg(-1) body weight of H. sabdariffa extract for 3 weeks, starting from week 7 of high-fructose diet treatment. High-fructose diet significantly (P Hibiscus extract. Overall, aqueous extract of H. sabdariffa palliates insulin resistance, hyperglycemia, dyslipidemia and oxidative rout in high-fructose-induced metabolic syndrome rats. © 2015 Society of Chemical Industry.
Ab initio molecular dynamics studies on effect of Zr on oxidation resistance of TiAlN coatings
Energy Technology Data Exchange (ETDEWEB)
Pi, Jingwu [State Key Lab of Powder Metallurgy, Central South University, Changsha,Hunan 410083 (China); Kong, Yi, E-mail: yikong@csu.edu.cn [State Key Lab of Powder Metallurgy, Central South University, Changsha,Hunan 410083 (China); Chen, Li [State Key Lab of Powder Metallurgy, Central South University, Changsha,Hunan 410083 (China); Zhuzhou Cemented Carbide Cutting Tools Co., Ltd., Zhuzhou, Hunan 412007 (China); Du, Yong [State Key Lab of Powder Metallurgy, Central South University, Changsha,Hunan 410083 (China)
2016-08-15
Highlights: • The lowest bonding energy sequence for dimers in the vacuum: Zr−O < Ti−O < Al−O. • The lowest bonding energy sequence for oxygen above the surface: Ti−O < Zr−O < Al−O. • At 300 K, the addition of Zr benefitting the formation of vacancy and TiO{sub 2}. • At 1123 K, the addition of Zr leading to a more stable surface. • Our findings explain that the oxidation resistance of TiAlZrN superior to TiAlN at 1123 K as well as TiAlZrN at 300 K. - Abstract: It was demonstrated experimentally that doping Zr into TiAlN coatings at room temperature will detriment its oxidation resistance. On the other hand, there are evidences that doping Zr into TiAlN at high temperature will improve coating's oxidation resistance. In the present work, we address the effect of Zr on the oxidation resistance of TiAlN by means of ab initio molecular dynamics simulations. The TiAlN and TiAlZrN (1 Zr atom replacing 1 Ti atom) surfaces covered with 4 oxygen atoms at 300 K and 1123 K were simulated. Based on the analysis of the atomic motion, bond formation after relaxation, and the charge density difference maps we find that at 300 K, the addition of Zr induces escape of Ti atoms from the surface, resulting in formation of surface vacancies and subsequently TiO{sub 2}. Comparison of metal-oxygen dimers in the vacuum and above the TiAlZrN surface further shows that the addition of Zr in the TiAlN surface will change the lowest bonding energy sequence from Zr−O < Ti−O < Al−O in the vacuum to Ti−O < Zr−O < Al−O above the TiAlZrN surface. From Molecular Dynamics simulations at 1123 K, it is find that no Ti vacancies were generated in the surface. Moreover, less charge is transferred from metal to N atoms and the bond lengths between Ti and O atoms become shorter at 1123 K as compared with 300 K, suggesting that the addition of Zr atom promotes the interaction of Ti and O at TiAlZrN surface at 1123 K, leading to a more stable surface. Our simulation
Huang, Yi-Jen
2016-04-07
The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we show that the resistive switching material structure, (amorphous TiOx)/(Ag nanoparticles)/(polycrystalline TiOx), fabricated on the textured-FTO substrate with ITO as the top electrode exhibits both the memory switching and threshold switching functions. When the device is used for resistive switching, it is forming-free for resistive memory applications with low operation voltage (<±1 V) and self-compliance to current up to 50 μA. When it is used for threshold switching, the low threshold current is beneficial for improving the device selectivity. The variation of oxygen distribution measured by energy dispersive X-ray spectroscopy and scanning transmission electron microscopy indicates the formation or rupture of conducting filaments in the device at different resistance states. It is therefore suggested that the push and pull actions of oxygen ions in the amorphous TiOx and polycrystalline TiOx films during the voltage sweep account for the memory switching and threshold switching properties in the device.
Projected phase-change memory devices.
Koelmans, Wabe W; Sebastian, Abu; Jonnalagadda, Vara Prasad; Krebs, Daniel; Dellmann, Laurent; Eleftheriou, Evangelos
2015-09-03
Nanoscale memory devices, whose resistance depends on the history of the electric signals applied, could become critical building blocks in new computing paradigms, such as brain-inspired computing and memcomputing. However, there are key challenges to overcome, such as the high programming power required, noise and resistance drift. Here, to address these, we present the concept of a projected memory device, whose distinguishing feature is that the physical mechanism of resistance storage is decoupled from the information-retrieval process. We designed and fabricated projected memory devices based on the phase-change storage mechanism and convincingly demonstrate the concept through detailed experimentation, supported by extensive modelling and finite-element simulations. The projected memory devices exhibit remarkably low drift and excellent noise performance. We also demonstrate active control and customization of the programming characteristics of the device that reliably realize a multitude of resistance states.
Enhanced Oxidation-Resistant Cu@Ni Core-Shell Nanoparticles for Printed Flexible Electrodes.
Kim, Tae Gon; Park, Hye Jin; Woo, Kyoohee; Jeong, Sunho; Choi, Youngmin; Lee, Su Yeon
2018-01-10
In this work, the fabrication and application of highly conductive, robust, flexible, and oxidation-resistant Cu-Ni core-shell nanoparticle (NP)-based electrodes have been reported. Cu@Ni core-shell NPs with a tunable Ni shell thickness were synthesized by varying the Cu/Ni molar ratios in the precursor solution. Through continuous spray coating and flash photonic sintering without an inert atmosphere, large-area Cu@Ni NP-based conductors were fabricated on various polymer substrates. These NP-based electrodes demonstrate a low sheet resistance of 1.3 Ω sq -1 under an optical energy dose of 1.5 J cm -2 . In addition, they exhibit highly stable sheet resistances (ΔR/R 0 flexible heater fabricated from the Cu@Ni film is demonstrated, which shows uniform heat distribution and stable temperature compared to those of a pure Cu film.
Corrosion-resistant coating technique for oxide-dispersion-strengthened ferritic/martensitic steel
International Nuclear Information System (INIS)
Sakasegawa, Hideo; Tanigawa, Hiroyasu; Ando, Masami
2014-01-01
Oxide-dispersion-strengthened (ODS) steels are attractive materials for application as fuel cladding in fast reactors and first-wall material of fusion blanket. Recent studies have focused more on high-chromium ferritic (12-18 wt% Cr) ODS steels with attractive corrosion resistance properties. However, they have poor material workability, require complicated heat treatments for recrystallization, and possess anisotropic microstructures and mechanical properties. On the other hand, low-chromium ferritic/martensitic (8-9 wt% Cr) ODS steels have no such limitations; nonetheless, they have poor corrosion resistance properties. In our work, we developed a corrosion-resistant coating technique for a low-chromium ferritic/martensitic ODS steel. The ODS steel was coated with the 304 or 430 stainless steel, which has better corrosion resistances than the low-chromium ferritic/martensitic ODS steels. The 304 or 430 stainless steel was coated by changing the canning material from mild steel to stainless steel in the conventional material processing procedure for ODS steels. Microstructural observations and micro-hardness tests proved that the stainless steels were successfully coated without causing a deterioration in the mechanical property of the low-chromium ferritic/martensitic ODS steel. (author)
Directory of Open Access Journals (Sweden)
Sirvan Atashak
2014-06-01
Full Text Available Excessive adiposity increases oxidative stress, and thus may play a critical role in the pathogenesis and development of obesity-associated comorbidities, in particular atherosclerosis, diabetes mellitus, and arterial hypertension. Improved body composition, through exercise training and diet, may therefore significantly contribute to a reduction in oxidative stress. Further, some foods high in antioxidants (e.g., ginger provide additional defense against oxidation. This study was conducted to assess the effects of ginger (Zingiber officinale Roscoe supplementation and progressive resistance training (PRT on some nonenzymatic blood [total antioxidant capacity (TAC and malondialdehyde (MDA] oxidative stress markers in obese men. Thirty-two obese males (body mass index ≥30, aged 18–30 years were randomized to one of the following four groups: a placebo (PL; n = 8; resistance training plus placebo (RTPL; n = 8; resistance training plus ginger supplementation (RTGI; n = 8; and ginger supplementation only (GI; n = 8. Participants in the RTGI and GI groups consumed 1 g ginger/day for 10 weeks. At the same time, PRT was undertaken by the RTPL and RTGI groups three times/week. Resting blood samples were collected at baseline and at 10 weeks, and analyzed for plasma nonenzymatic TAC and MDA concentration. After the 10-week intervention, we observed significant training × ginger supplementation × resistance training interaction for TAC (p = 0.043 and significant interactions for training × resistance training and training × ginger supplementation for MDA levels (p < 0.05. The results of this study show that 10 weeks of either ginger supplementation or PRT protects against oxidative stress and therefore both of these interventions can be beneficial for obese individuals; however, when combined, the effects cancel each other out.
Characterization of Porous WO3 Electrochromic Device by Electrochemical Impedance Spectroscopy
Directory of Open Access Journals (Sweden)
Chien Chon Chen
2013-01-01
Full Text Available This paper concerns the microstructure of the anodic tungsten oxide (WO3 and its use in an electrochromic (EC glass device. When voltages between 100 V and 160 V were applied to tungsten film for 1 h under 0.4 wt. % NaF electrolyte, porous WO3 film was formed. The film, which had a large surface area, was used as electrochromic film for EC glass. The average transmittance in a visible region of the spectrum for a 144 cm2 EC device was above 75% in the bleached state and below 40% in the colored state, respectively. Repeatability using of the colored/bleached cycles was tested good by a cyclic voltammograms method. The internal impedance values under colored and bleached states were detected and simulated using an electrical impedance spectra (EIS technique. The EC glass impedance characteristics were simulated using resistors, capacitors, and Warburg impedance. The ITO/WO3, WO3/electrolyte, electrolyte/NiO, and NiO/ITO interfaces can be simulated using a resistance capacitance (RC parallel circuits, and bulk materials such as the indium tin oxide (ITO and conducting wire can be simulated by using a series of resisters.
Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.
2012-01-01
High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility
Energy Technology Data Exchange (ETDEWEB)
Winkler, Thomas; Schmidt, Hans; Fluegge, Harald; Nikolayzik, Fabian; Baumann, Ihno; Schmale, Stephan; Johannes, Hans-Hermann; Rabe, Torsten [Institut fuer Hochfrequenztechnik, Technische Universitaet Braunschweig, Schleinitzstr. 22, 38106 Braunschweig (Germany); Hamwi, Sami, E-mail: sami.hamwi@ihf.tu-bs.de [Institut fuer Hochfrequenztechnik, Technische Universitaet Braunschweig, Schleinitzstr. 22, 38106 Braunschweig (Germany); Riedl, Thomas [Institute of Electronic Devices, Bergische Universitaet Wuppertal, Rainer-Gruenter Str. 21, 42119 Wuppertal (Germany); Kowalsky, Wolfgang [Institut fuer Hochfrequenztechnik, Technische Universitaet Braunschweig, Schleinitzstr. 22, 38106 Braunschweig (Germany)
2012-05-01
We report on transparent and highly conductive multilayer electrodes prepared at room temperature by RF sputtering of zinc tin oxide (ZTO) and thermal evaporation of ultrathin silver (Ag) as top contact for transparent organic light emitting diodes (TOLED). Specifically, we study the morphological, electrical and optical properties of the multilayer structure in particular of the thin Ag film. The tendency of Ag to form agglomerates over time on top of ZTO is shown by atomic force microscopy. From the optical constants derived from ellipsometric measurements we evidenced a bulk like behavior of an Ag film with a thickness of 8 nm embedded in ZTO leading to a low sheet resistance of 9 {Omega}/sq. Furthermore we verify the optical constants by simulation of an optimized ZTO/Ag/ZTO structure. As an application we present a highly efficient TOLED providing a device transmittance of > 82% in the visible part of the spectrum. The TOLED shows no damage caused by sputtering on a lighting area of 80 mm{sup 2} and exhibits efficiencies of 43 cd/A and 36 lm/W.
Directory of Open Access Journals (Sweden)
de Moraes ACM
2015-11-01
Full Text Available Ana Carolina Mazarin de Moraes,1 Bruna Araujo Lima,2 Andreia Fonseca de Faria,1 Marcelo Brocchi,2 Oswaldo Luiz Alves1 1Laboratory of Solid State Chemistry, Institute of Chemistry, University of Campinas, Campinas, São Paulo, Brazil; 2Department of Genetics, Evolution and Bioagents, Institute of Biology, University of Campinas, Campinas, São Paulo, Brazil Background: Methicillin-resistant Staphylococcus aureus (MRSA has been responsible for serious hospital infections worldwide. Nanomaterials are an alternative to conventional antibiotic compounds, because bacteria are unlikely to develop microbial resistance against nanomaterials. In the past decade, graphene oxide (GO has emerged as a material that is often used to support and stabilize silver nanoparticles (AgNPs for the preparation of novel antibacterial nanocomposites. In this work, we report the synthesis of the graphene-oxide silver nanocomposite (GO-Ag and its antibacterial activity against relevant microorganisms in medicine. Materials and methods: GO-Ag nanocomposite was synthesized through the reduction of silver ions (Ag+ by sodium citrate in an aqueous GO dispersion, and was extensively characterized using ultraviolet-visible absorption spectroscopy, X-ray diffraction, thermogravimetric analysis, X-ray photoelectron spectroscopy, and transmission electron microscopy. The antibacterial activity was evaluated by microdilution assays and time-kill experiments. The morphology of bacterial cells treated with GO-Ag was investigated via transmission electron microscopy. Results: AgNPs were well distributed throughout GO sheets, with an average size of 9.4±2.8 nm. The GO-Ag nanocomposite exhibited an excellent antibacterial activity against methicillin-resistant S. aureus, Acinetobacter baumannii, Enterococcus faecalis, and Escherichia coli. All (100% MRSA cells were inactivated after 4 hours of exposure to GO-Ag sheets. In addition, no toxicity was found for either pristine GO or bare Ag
Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo
2008-11-01
Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.
Labram, John G.
2015-02-13
Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.
Directory of Open Access Journals (Sweden)
Xiao-Hui Yan
2017-08-01
Full Text Available Objective: To study the correlation of erythropoietin (EPO resistance with oxidative stress response and inflammatory response in patients with maintenance hemodialysis. Methods: A total of 184 patients with end-stage renal disease who received maintenance hemodialysis in Shaanxi Provincial People’s Hospital between March 2015 and October 2016 were selected as dialysis group, 102 volunteers who received physical examination in Shaanxi Provincial People’s Hospital during the same period were selected as control group, the EPO resistance index was assessed, the median was calculated, and serum oxidative stress and inflammatory response indexes were detected. Results: Serum T-AOC, SOD and CAT levels in dialysis group were significantly lower than those in control group while MDA, AOPP, IFN-γ, HMGB-1, ICAM-1, IL-4 and IL-10 levels were significantly higher than those in control group; serum T-AOC, SOD and CAT levels in patients with high ERI were significantly lower than those in patients with low ERI while MDA, AOPP, IFN-γ, HMGB-1, ICAM-1, IL-4 and IL-10 levels were significantly higher than those in patients with low ERI. Conclusion: The degree of EPO resistance in patients with maintenance hemodialysis is closely related to the activation of oxidative stress response and inflammatory response.
Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors
International Nuclear Information System (INIS)
Spathis, C.; Birbas, A.; Georgakopoulou, K.
2015-01-01
Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices
Semi-classical noise investigation for sub-40nm metal-oxide-semiconductor field-effect transistors
Energy Technology Data Exchange (ETDEWEB)
Spathis, C., E-mail: cspathis@ece.upatras.gr; Birbas, A.; Georgakopoulou, K. [Department of Electrical and Computer Engineering, University of Patras, Patras 26500 (Greece)
2015-08-15
Device white noise levels in short channel Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) dictate the performance and reliability of high-frequency circuits ranging from high-speed microprocessors to Low-Noise Amplifiers (LNAs) and microwave circuits. Recent experimental noise measurements with very short devices demonstrate the existence of suppressed shot noise, contrary to the predictions of classical channel thermal noise models. In this work we show that, as the dimensions continue to shrink, shot noise has to be considered when the channel resistance becomes comparable to the barrier resistance at the source-channel junction. By adopting a semi-classical approach and taking retrospectively into account transport, short-channel and quantum effects, we investigate the partitioning between shot and thermal noise, and formulate a predictive model that describes the noise characteristics of modern devices.
Properties of CMOS devices and circuits fabricated on high-resistivity, detector-grade silicon
International Nuclear Information System (INIS)
Holland, S.
1991-11-01
A CMOS process that is compatible with silicon p-i-n radiation detectors has been developed and characterized. A total of twelve mask layers are used in the process. The NMOS device is formed in a retrograde well while the PMOS device is fabricated directly in the high-resistivity silicon. Isolation characteristics are similar to a standard foundary CMOS process. Circuit performance using 3 μm design rules has been evaluated. The measured propagation delay and power-delay product for a 51-stage ring oscillator was 1.5 ns and 43 fJ, respectively. Measurements on a simple cascode amplifier results in a gain-bandwidth product of 200 MHz at a bias current of 15 μA. The input-referred noise of the cascode amplifier is 20 nV/√Hz at 1 MHz
The characteristics of surface oxidation and corrosion resistance of nitrogen implanted zircaloy-4
International Nuclear Information System (INIS)
Tang, G.; Choi, B.H.; Kim, W.; Jung, K.S.; Kwon, H.S.; Lee, S.J.; Lee, J.H.; Song, T.Y.; Shon, D.H.; Han, J.G.
1997-01-01
This work is concerned with the development and application of ion implantation techniques for improving the corrosion resistance of zircaloy-4. The corrosion resistance in nitrogen implanted zircaloy-4 under a 120 keV nitrogen ion beam at an ion dose of 3 x 10 17 cm -2 depends on the implantation temperature. The characteristics of surface oxidation and corrosion resistance were analyzed with the change of implantation temperature. It is shown that as implantation temperature rises from 100 to 724 C, the colour of specimen surface changes from its original colour to light yellow at 100 C, golden at 175 C, pink at 300 C, blue at 440 C and dark blue at 550 C. As the implantation temperature goes above 640 C, the colour of surface changes to light black, and the surface becomes a little rough. The corrosion resistance of zircaloy-4 implanted with nitrogen is sensitive to the implantation temperature. The pitting potential of specimens increases from 176 to 900 mV (SCE) as the implantation temperature increases from 100 to 300 C, and decreases from 900 to 90 mV(SCE) as the implantation temperature increases from 300 to 640 C. The microstructure, the distribution of oxygen, nitrogen and carbon elements, the oxide grain size and the feature of the precipitation in the implanted surface were investigated by optical microscope, TEM, EDS, XRD and AES. The experimental results reveal that the ZrO 2 is distributed mainly on the outer surface. The ZrN is distributed under the ZrO 2 layer. The characteristics of the distribution of ZrO 2 and ZrN in the nitrogen-implanted zircaloy-4 is influenced by the implantation temperature of the sample, and in turn the corrosion resistance is influenced. (orig.)
A power device material of corundum-structured α-Ga2O3 fabricated by MIST EPITAXY® technique
Kaneko, Kentaro; Fujita, Shizuo; Hitora, Toshimi
2018-02-01
Corundum-structured oxides have been attracting much attention as next-generation power device materials. A corundum-structured α-Ga2O3 successfully demonstrated power device operations of Schottky barrier diodes (SBDs) with the lowest on-resistance of 0.1 mΩ cm2. The SBDs as a mounting device of TO220 also showed low switching-loss properties with a capacitance of 130 pF. Moreover, the thermal resistance was 13.9 °C/W, which is comparable to that of the SiC TO220 device (12.5 °C/W). On the other hand, corundum-structured α-(Rh,Ga)2O3 showed p-type conductivity, which was confirmed by Hall effect measurements. The Hall coefficient, carrier density, and mobility were 8.22 cm3/C, 7.6 × 1017/cm3, and 1.0 cm2 V-1 s-1, respectively. These values were acceptable for the p-type layer of pn diodes based on α-Ga2O3.
Zheng, Bin; Liu, Yongqi; Liu, Ruixiang; Meng, Jian; Mao, Mingming
2015-01-01
This paper reports the results of experimental investigation of flow resistance in a coal mine ventilation air methane preheated catalytic oxidation reactor. The experimental system was installed at the Energy Research Institute of Shandong University of Technology. The system has been used to investigate the effects of flow rate (200 Nm3/h to 1000 Nm3/h) and catalytic oxidation bed average temperature (20°C to 560°C) within the preheated catalytic oxidation reactor. The pressure drop and res...
Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits
Sahay, Shubham; Suri, Manan
2017-12-01
This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.
Investigations on MGy ionizing dose effects in thin oxides of micro-electronic devices
Energy Technology Data Exchange (ETDEWEB)
Gaillardin, M.; Paillet, P.; Raine, M.; Martinez, M.; Marcandella, C.; Duhamel, O.; Richard, N.; Leray, J.L. [CEA, DAM, DIF, F-91297 Arpajon (France); Goiffon, V.; Corbiere, F.; Rolando, S.; Molina, R.; Magnan, P. [ISAE, Universite de Toulouse, 10 avenue Edouard Belin, BP 54032, 31055 Toulouse Cedex 4 (France); Girard, S.; Ouerdane, Y.; Boukenter, A. [Universite de Saint-Etienne, Laboratoire H. Curien, UMR-5516, 42000, Saint-Etienne (France)
2015-07-01
on PMOS transistors of different widths, W{sub NARROW} = 0.24 μm and W{sub WIDE} = 10 μm. The devices are irradiated using 10 keV X-rays at several total dose steps up to 3 MGy. On the one hand, negative threshold voltage shifts of more than 3.3 V are extracted after 3 MGy on narrow transistors. Even very high, this voltage shift is consistent with RINCE in narrow open layout transistors. On the other hand, voltage shifts greater than 2.5 V are extracted on wide transistors. Obviously, this result should not be associated neither to positive charge trapping in the thin gate oxide nor to any RINCE in this very wide transistor geometry. NMOS and PMOS transistors exhibit a clear asymmetrical behaviour. But contrary to what is commonly observed at 'low' TID range, the PMOS transistor is here more impacted than the NMOS one. The final paper will thus provide an extensive study of these phenomena using other device designs and geometries tested with dedicated TID experiments to discuss whether or not this effect revealed at very high TID, i.e. several MGy, may be attributed to an enhanced high-TID induced charge trapping mechanism in thin gate oxides. (authors)
Investigations on MGy ionizing dose effects in thin oxides of micro-electronic devices
International Nuclear Information System (INIS)
Gaillardin, M.; Paillet, P.; Raine, M.; Martinez, M.; Marcandella, C.; Duhamel, O.; Richard, N.; Leray, J.L.; Goiffon, V.; Corbiere, F.; Rolando, S.; Molina, R.; Magnan, P.; Girard, S.; Ouerdane, Y.; Boukenter, A.
2015-01-01
on PMOS transistors of different widths, W NARROW = 0.24 μm and W WIDE = 10 μm. The devices are irradiated using 10 keV X-rays at several total dose steps up to 3 MGy. On the one hand, negative threshold voltage shifts of more than 3.3 V are extracted after 3 MGy on narrow transistors. Even very high, this voltage shift is consistent with RINCE in narrow open layout transistors. On the other hand, voltage shifts greater than 2.5 V are extracted on wide transistors. Obviously, this result should not be associated neither to positive charge trapping in the thin gate oxide nor to any RINCE in this very wide transistor geometry. NMOS and PMOS transistors exhibit a clear asymmetrical behaviour. But contrary to what is commonly observed at 'low' TID range, the PMOS transistor is here more impacted than the NMOS one. The final paper will thus provide an extensive study of these phenomena using other device designs and geometries tested with dedicated TID experiments to discuss whether or not this effect revealed at very high TID, i.e. several MGy, may be attributed to an enhanced high-TID induced charge trapping mechanism in thin gate oxides. (authors)