WorldWideScience

Sample records for oxide hfo2 films

  1. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  2. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  3. Thermoluminescence in films of HfO2:Dy+3

    International Nuclear Information System (INIS)

    Ceron, P.; Rivera, T.; Guzman, J.; Montes, E.; Pelaez, A.; Rojas, B.; Guzman, D.; Azorin, J.; Paredes, L.

    2014-08-01

    In this work the thermoluminescence (TL) response of films of hafnium oxide polluted with dysprosium (HfO 2 :Dy +3 ) that were irradiated in the near UV (200 nm - 400 nm). The films were deposited by means of the ultrasonics spray pyrolysis technique on a glass substrate, using different deposit temperatures (300 grades C - 600 grades C). The best TL emission corresponded to the prepared film to 450 grades C that was exposed to a spectral irradiation of 80 μJ/(cm 2 -s) with a wave longitude of 240 nm. The TL response in function of the spectral irradiation was lineal in the studied interval (24 to 288 mJ/cm 2 ), several kinetic parameters were also calculated of the shine curve as depth of the trap (E), frequency factor (s) and order to the kinetics (b). The obtained results show that the films of HfO 2 :Dy +3 could be used as radiation monitor in the region of the near UV. (Author)

  4. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  5. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  6. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  7. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  8. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  9. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  10. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  11. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  12. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  13. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  14. Structural, morphological, optical and photoluminescence properties of HfO2 thin films

    International Nuclear Information System (INIS)

    Ma, C.Y.; Wang, W.J.; Wang, J.; Miao, C.Y.; Li, S.L.; Zhang, Q.Y.

    2013-01-01

    Nanocrystalline monoclinic HfO 2 films with an average crystal size of 4.2–14.8 nm were sputter deposited under controlled temperatures and their structural characteristics and optical and photoluminescence properties have been evaluated. Structural investigations indicate that monoclinic HfO 2 films grown at higher temperatures above 400 °C are highly oriented along the (− 111) direction. The lattice expansion increases with diminishing HfO 2 crystalline size below 6.8 nm while maximum lattice expansion occurs with highly oriented monoclinic HfO 2 of crystalline size about 14.8 nm. The analysis of atomic force microscopy shows that the film growth at 600 °C can be attributed to the surface-diffusion-dominated growth. The intensity of the shoulderlike band that initiates at ∼ 5.7 eV and saturates at 5.94 eV shows continued increase with increasing crystalline size, which is intrinsic to nanocrystalline monoclinic HfO 2 films. Optical band gap varies in the range 5.40 ± 0.03–5.60 ± 0.03 eV and is slightly decreased with the increase in crystalline size. The luminescence band at 4.0 eV of HfO 2 films grown at room temperature can be ascribed to the vibronic transition of excited OH · radical while the emission at 3.2–3.3 eV for the films grown at all temperatures was attributed to the radiative recombination at impurity and/or defect centers. - Highlights: • Nanocrystalline monoclinic HfO 2 films were sputter deposited. • Structural, optical and photoluminescence properties were studied. • To analyze the scaling behavior using the power spectral density • Optical and photoluminescence properties strongly depend on film growth temperature

  15. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  16. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  17. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  18. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  19. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    Science.gov (United States)

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  20. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  1. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  2. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  3. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  4. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  5. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  6. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  7. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  8. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  9. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  10. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  11. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  12. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  13. Characterization of luminescent samarium doped HfO2 coatings synthesized by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Chacon-Roa, C; Guzman-Mendoza, J; Aguilar-Frutis, M; Garcia-Hipolito, M; Alvarez-Fragoso, O; Falcony, C

    2008-01-01

    Trivalent samarium (Sm 3+ ) doped hafnium oxide (HfO 2 ) films were deposited using the spray pyrolysis deposition technique. The films were deposited on Corning glass substrates at temperatures ranging from 300 to 550 deg. C using chlorides as raw materials. Films, mostly amorphous, were obtained when deposition temperatures were below 350 deg. C. However, for temperatures higher than 400 deg. C, the films became polycrystalline, presenting the HfO 2 monoclinic phase. Scanning electron microscopy of the films revealed a rough surface morphology with spherical particles. Also, electron energy dispersive analysis was performed on these films. The photoluminescence and cathodoluminescence characteristics of the HfO 2 : SmCl 3 films, measured at room temperature, exhibited four main bands centred at 570, 610, 652 and 716 nm, which are due to the well-known intra-4f transitions of the Sm 3+ ion. It was found that the overall emission intensity rose as the deposition temperature was increased. Furthermore, a concentration quenching of the luminescence intensity was also observed

  14. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  15. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  16. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  17. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  18. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  19. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  20. Synthesis and characterization of hafnium oxide films for thermo and photoluminescence applications

    International Nuclear Information System (INIS)

    Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Maciel Cerda, A.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.

    2010-01-01

    Hafnium oxide (HfO 2 ) films were deposited by the ultrasonic spray pyrolysis process. The films were synthesized from hafnium chloride as raw material in deionized water as solvent and were deposited on corning glass substrates at temperatures from 300 to 600 deg. C. For substrate temperatures lower than 400 deg. C the deposited films were amorphous, while for substrate temperatures higher than 450 deg. C, the monoclinic phase of HfO 2 appeared. Scanning electron microscopy showed that the film's surface resulted rough with semi-spherical promontories. The films showed a chemical composition close to HfO 2 , with an Hf/O ratio of about 0.5. UV radiation was used in order to achieve the thermoluminescent characterization of the films; the 240 nm wavelength induced the best response. In addition, preliminary photoluminescence spectra, as a function of the deposition temperatures, are shown.

  1. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  2. Chemical reaction at the interface between pentacene and HfO2

    International Nuclear Information System (INIS)

    Kang, S.J.; Yi, Y.; Kim, K.H.; Yoo, C.Y.; Moewes, A.; Cho, M.H.; Denlinger, J.D.; Whang, C.N.; Chang, G.S.

    2005-01-01

    The electronic structure and the interface formation at the interface region between pentacene and HfO2 are investigated using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and x-ray emission spectroscopy (XES). The measured C 1s XPS spectra of pentacene indicate that chemical bonding occurs at the interface between pentacene and HfO2. The carbon of pentacene reacts with oxygen belonging to HfO2 and band bending occurs at the interface due to a redistribution of charge. The determined interface dipole and band bending between pentacene and HfO2 are 0.04 and 0.1 eV, respectively. The highest occupied molecular orbital (HOMO) level is observed at 0.68 eV below the Fermi level. This chemical reaction allows us to grow a pentacene film with large grains onto HfO2. We conclude that high performance pentacene thin film transistors can be obtained by inserting an ultrathin HfO2 layer between pentacene and a gate insulator

  3. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  4. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    Science.gov (United States)

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  5. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  6. On the phase formation of sputtered hafnium oxide and oxynitride films

    International Nuclear Information System (INIS)

    Sarakinos, K.; Music, D.; Mraz, S.; Baben, M. to; Jiang, K.; Nahif, F.; Braun, A.; Zilkens, C.; Schneider, J. M.; Konstantinidis, S.; Renaux, F.; Cossement, D.; Munnik, F.

    2010-01-01

    Hafnium oxynitride films are deposited from a Hf target employing direct current magnetron sputtering in an Ar-O 2 -N 2 atmosphere. It is shown that the presence of N 2 allows for the stabilization of the transition zone between the metallic and the compound sputtering mode enabling deposition of films at well defined conditions of target coverage by varying the O 2 partial pressure. Plasma analysis reveals that this experimental strategy facilitates control over the flux of the O - ions which are generated on the oxidized target surface and accelerated by the negative target potential toward the growing film. An arrangement that enables film growth without O - ion bombardment is also implemented. Moreover, stabilization of the transition sputtering zone and control of the O - ion flux without N 2 addition is achieved employing high power pulsed magnetron sputtering. Structural characterization of the deposited films unambiguously proves that the phase formation of hafnium oxide and hafnium oxynitride films with the crystal structure of HfO 2 is independent from the O - bombardment conditions. Experimental and theoretical data indicate that the presence of vacancies and/or the substitution of O by N atoms in the nonmetal sublattice favor the formation of the cubic and/or the tetragonal HfO 2 crystal structure at the expense of the monoclinic HfO 2 one.

  7. HfO2 - rare earth oxide systems in the region with high content of rare earth oxide

    International Nuclear Information System (INIS)

    Shevchenko, A.V.; Lopato, L.M.

    1982-01-01

    Using the methods of annealing and hardenings (10 2 -10 4 deg/s cooling rate) and differential thermal analysis elements of state diagrams of HfO 2 - rare earth oxide (rare earths-La, Pr, Nd, Sm, Gd, Tb, Dy, Y, Er, Yb, Lu, Sc) systems from 1800 deg C up to melting in the range of 60-100 mol% rare earth oxide concentration were constructed. Regularities of HfQ 2 addition effect on high-temperature polymorphic transformations of rare earth oxides were studied. Results of investigation were discussed from viewpoint of crystal chemistry

  8. Effects of interfacial Fe electronic structures on magnetic and electronic transport properties in oxide/NiFe/oxide heterostructures

    International Nuclear Information System (INIS)

    Liu, Qianqian; Chen, Xi; Zhang, Jing-Yan; Yang, Meiyin; Li, Xu-Jing; Jiang, Shao-Long; Liu, Yi-Wei; Cao, Yi; Wu, Zheng-Long; Feng, Chun; Ding, Lei; Yu, Guang-Hua

    2015-01-01

    Highlights: • The magnetic and transport properties of oxide/NiFe/oxide films were studied. • The oxide (SiO 2 , MgO and HfO 2 ) has different elemental electronegativity. • Redox reaction at different NiFe/oxide interface is dependent on the oxide layer. • Different interfacial electronic structures shown by XPS influence the properties. - Abstract: We report that the magnetic and electronic transport properties in oxide/NiFe(2 nm)/oxide film (oxide = SiO 2 , MgO or HfO 2 ) are strongly influenced by the electronic structure of NiFe/oxide interface. Magnetic measurements show that there exist magnetic dead layers in the SiO 2 sandwiched film and MgO sandwiched film, whereas there is no magnetic dead layer in the HfO 2 sandwiched film. Furthermore, in the ultrathin SiO 2 sandwiched film no magnetoresistance (MR) is detected, while in the ultrathin MgO sandwiched film and HfO 2 sandwiched film the MR ratios reach 0.35% and 0.88%, respectively. The investigation by X-ray photoelectron spectroscopy reveals that the distinct interfacial redox reactions, which are dependent on the oxide layers, lead to the variation of magnetic and transport properties in different oxide/NiFe/oxide heterostructures

  9. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  10. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  11. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  12. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  13. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  14. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  15. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  16. Difference in Thermal Degradation Behavior of ZrO2 and HfO2 Anodized Capacitors

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Yoshida, Naoto; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2004-09-01

    Microcrystalline ZrO2 and HfO2 thin film capacitors were prepared by anodizing sputter-deposited Zr and Hf films. The thermal degradation behavior of both anodized capacitors was clarified by the measurement of their capacitance properties and Auger depth profiles before and after heat treatment in air. As a result, it is confirmed that the heat-resistance property of the HfO2 anodized capacitor is superior to that of the ZrO2 capacitor. In addition, it is revealed that the thermal degradation of the ZrO2 anodized capacitor is caused by the diffusion of Zr atoms from the underlying layer into the ZrO2 anodized layer, while that of the HfO2 anodized capacitor is caused by the diffusion of oxygen atoms from the anodized layer into the underlying Hf layer.

  17. Influence of O2 flow rate on HfO2 gate dielectrics for back-gated graphene transistors

    International Nuclear Information System (INIS)

    Ganapathi, Kolla Lakshmi; Bhat, Navakanta; Mohan, Sangeneni

    2014-01-01

    HfO 2  thin films deposited on Si substrate using electron beam evaporation, are evaluated for back-gated graphene transistors. The amount of O 2  flow rate, during evaporation is optimized for 35 nm thick HfO 2  films, to achieve the best optical, chemical and electrical properties. It has been observed that with increasing oxygen flow rate, thickness of the films increased and refractive index decreased due to increase in porosity resulting from the scattering of the evaporant. The films deposited at low O 2  flow rates (1 and 3 SCCM) show better optical and compositional properties. The effects of post-deposition annealing and post-metallization annealing in forming gas ambience (FGA) on the optical and electrical properties of the films have been analyzed. The film deposited at 3 SCCM O 2  flow rate shows the best properties as measured on MOS capacitors. To evaluate the performance of device properties, back-gated bilayer graphene transistors on HfO 2  films deposited at two O 2  flow rates of 3 and 20 SCCM have been fabricated and characterized. The transistor with HfO 2  film deposited at 3 SCCM O 2  flow rate shows better electrical properties consistent with the observations on MOS capacitor structures. This suggests that an optimum oxygen pressure is necessary to get good quality films for high performance devices. (paper)

  18. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  19. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  20. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  1. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  2. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  3. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    Science.gov (United States)

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  4. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    Science.gov (United States)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  5. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  6. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  7. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  8. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  9. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    Science.gov (United States)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  10. Effects of layer sequence and postdeposition annealing temperature on performance of La2O3 and HfO2 multilayer composite oxides on In0.53Ga0.47As for MOS capacitor application

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chuang, Ting-Wei; Chen, Yu-Chen; Hou, Tzu-Ching; Yao, Jing-Neng; Chang, Po-Chun; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2014-03-01

    In this paper, we report on high-k composite oxides that are formed by depositing multiple layers of HfO2 and La2O3 on In0.53Ga0.47As for MOS device application. Both multilayer HfO2 (0.8 nm)/La2O3 (0.8 nm)/In0.53Ga0.47As and La2O3 (0.8 nm)/HfO2 (0.8 nm)/In0.53Ga0.47As MOS structures were investigated. The effects of oxide thickness and postdeposition annealing (PDA) temperature on the interface properties of the composite oxide MOS capacitors were studied. It was found that a low CET of 1.41 nm at 1 kHz was achieved using three-layer composite oxides. On the other hand, a small frequency dispersion of 2.8% and an excellent Dit of 7.0 × 1011 cm-2·eV-1 can be achieved using multiple layers of La2O3 (0.8 nm) and HfO2 (0.8 nm) on the In0.53Ga0.47As MOS capacitor with optimum thermal treatment and layer thickness.

  11. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  12. Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y, HfO2(Y, and GeOx Films by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Oleg Gorshkov

    2017-01-01

    Full Text Available The single sheet arrays of Au nanoparticles (NPs embedded into the ZrO2(Y, HfO2(Y, and GeOx (x≈2 films have been fabricated by the alternating deposition of the nanometer-thick dielectric and metal films using Magnetron Sputtering followed by annealing. The structure and optical properties of the NP arrays have been studied, subject to the fabrication technology parameters. The possibility of fabricating dense single sheet Au NP arrays in the matrices listed above with controlled NP sizes (within 1 to 3 nm and surface density has been demonstrated. A red shift of the plasmonic optical absorption peak in the optical transmission spectra of the nanocomposite films (in the wavelength band of 500 to 650 nm has been observed. The effect was attributed to the excitation of the collective surface plasmon-polaritons in the dense Au NP arrays. The nanocomposite films fabricated in the present study can find various applications in nanoelectronics (e.g., single electronics, nonvolatile memory devices, integrated optics, and plasmonics.

  13. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  14. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  15. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    Science.gov (United States)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  16. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  17. Fabrication of periodic arrays of metallic nanoparticles by block copolymer templates on HfO_2 substrates

    International Nuclear Information System (INIS)

    Frascaroli, Jacopo; Seguini, Gabriele; Spiga, Sabina; Perego, Michele; Boarino, Luca

    2015-01-01

    Block copolymer-based templates can be exploited for the fabrication of ordered arrays of metal nanoparticles (NPs) with a diameter down to a few nanometers. In order to develop this technique on metal oxide substrates, we studied the self-assembly of polymeric templates directly on the HfO_2 surface. Using a random copolymer neutralization layer, we obtained an effective HfO_2 surface neutralization, while the effects of surface cleaning and annealing temperature were carefully examined. Varying the block copolymer molecular weight, we produced regular nanoporous templates with feature size variable between 10 and 30 nm and a density up to 1.5 × 10"1"1 cm"−"2. With the adoption of a pattern transfer process, we produced ordered arrays of Pt and Pt/Ti NPs with diameters of 12, 21 and 29 nm and a constant size dispersion (σ) of 2.5 nm. For the smallest template adopted, the NP diameter is significantly lower than the original template dimension. In this specific configuration, the granularity of the deposited film probably influences the pattern transfer process and very small NPs of 12 nm were achieved without a significant broadening of the size distribution. (paper)

  18. Synthesis and characterization of hafnium oxide for luminescent applications

    International Nuclear Information System (INIS)

    Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.

    2008-01-01

    Full text: Hafnium oxide (HfO 2 ) is a material with a wide range of possible technological applications because it's chemical and physical properties such as high melting point, high chemical stability, high refraction index, high dielectric constant and hardness near to diamond in the tetragonal phase. The large energy gap and low phonon frequencies of the HfO 2 makes it appropriate as a host matrix for been doped with rare earth activators. Efficient luminescent materials find wide application in electroluminescent flat panel displays; color plasma displays panels, scintillators, cathode ray tubes, fluorescent lamps, lasers, etc. In recent years the study of luminescent materials based on HfO 2 has been intensified. Some groups have studied the optical properties of doped and undoped HfO 2 . In this contribution, Hafnium Oxide (HfO 2 ) films were prepared using the spray pyrolysis deposition technique. The material was synthesized using chlorides as raw materials in deionised water as solvent and deposited on Corning glass substrates at temperatures from 300 deg C to 600 deg C. For substrate temperatures lower than 400 deg C, the deposited films are amorphous, while for substrate temperatures higher than 450 deg C, the monoclinic phase of HfO 2 appears. Scanning electron microscopy with microprobe analysis was use to observe the microstructure and obtain the chemical composition of the films; rough surfaces with spherical particles were appreciated. UV and low energy X Ray radiations were used in order to achieve the thermoluminescent characterization of the films as a function of the deposition temperature

  19. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    Science.gov (United States)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  20. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  1. Wake-up effects in Si-doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou, Dayu; Xu, Jin; Li, Qing; Guan, Yan; Cao, Fei; Dong, Xianlin; Müller, Johannes; Schenk, Tony; Schröder, Uwe

    2013-01-01

    Hafnium oxide based ferroelectric thin films have shown potential as a promising alternative material for non-volatile memory applications. This work reports the switching stability of a Si-doped HfO 2 film under bipolar pulsed-field operation. High field cycling causes a “wake-up” in virgin “pinched” polarization hysteresis loops, demonstrated by an enhancement in remanent polarization and a shift of negative coercive voltage. The rate of wake-up is accelerated by either reducing the frequency or increasing the amplitude of the cycling field. We suggest de-pinning of domains due to reduction of the defect concentration at bottom electrode interface as origin of the wake-up

  2. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  3. Control of magnetism by electrical charge doping or redox reactions in a surface-oxidized Co thin film with a solid-state capacitor structure

    Science.gov (United States)

    Hirai, T.; Koyama, T.; Chiba, D.

    2018-03-01

    We have investigated the electric field (EF) effect on magnetism in a Co thin film with a naturally oxidized surface. The EF was applied to the oxidized Co surface through a gate insulator layer made of HfO2, which was formed using atomic layer deposition (ALD). The efficiency of the EF effect on the magnetic anisotropy in the sample with the HfO2 layer deposited at the appropriate temperature for the ALD process was relatively large compared to the previously reported values with an unoxidized Co film. The coercivity promptly and reversibly followed the variation in gate voltage. The modulation of the channel resistance was at most ˜0.02%. In contrast, a dramatic change in the magnetic properties including the large change in the saturation magnetic moment and a much larger EF-induced modulation of the channel resistance (˜10%) were observed in the sample with a HfO2 layer deposited at a temperature far below the appropriate temperature range. The response of these properties to the gate voltage was very slow, suggesting that a redox reaction dominated the EF effect on the magnetism in this sample. The frequency response for the capacitive properties was examined to discuss the difference in the mechanism of the EF effect observed here.

  4. The Development of HfO2-Rare Earth Based Oxide Materials and Barrier Coatings for Thermal Protection Systems

    Science.gov (United States)

    Zhu, Dongming; Harder, Bryan James

    2014-01-01

    Advanced hafnia-rare earth oxides, rare earth aluminates and silicates have been developed for thermal environmental barrier systems for aerospace propulsion engine and thermal protection applications. The high temperature stability, low thermal conductivity, excellent oxidation resistance and mechanical properties of these oxide material systems make them attractive and potentially viable for thermal protection systems. This paper will focus on the development of the high performance and high temperature capable ZrO2HfO2-rare earth based alloy and compound oxide materials, processed as protective coating systems using state-or-the-art processing techniques. The emphasis has been in particular placed on assessing their temperature capability, stability and suitability for advanced space vehicle entry thermal protection systems. Fundamental thermophysical and thermomechanical properties of the material systems have been investigated at high temperatures. Laser high-heat-flux testing has also been developed to validate the material systems, and demonstrating durability under space entry high heat flux conditions.

  5. Characterization of electron beam deposited thin films of HfO2 and binary thin films of (HfO2:SiO2) by XRD and EXAFS measurements

    International Nuclear Information System (INIS)

    Das, N.C.; Sahoo, N.K.; Bhattacharyya, D.; Thakur, S.; Kamble, N.M.; Nanda, D.; Hazra, S.; Bal, J.K.; Lee, J.F.; Tai, Y.L.; Hsieh, C.A.

    2009-10-01

    In this report, we have discussed the microstructure and the local structure of composite thin films having varying hafnia and silica compositions and prepared by reactive electron beam evaporation. XRD and EXAFS studies have confirmed that the pure hafnium oxide thin film has crystalline microstructure whereas the films with finite hafnia and silica composition are amorphous. The result of EXAFS analysis has shown that the bond lengths as well as coordination numbers around hafnium atom change with the variation of hafnia and silica compositions in the thin film. Finally, change of bond lengths has been correlated with change of refractive index and band gap of the composite thin films. (author)

  6. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    Science.gov (United States)

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  7. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured

  8. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  9. Studies of the hyperfine interaction in semiconducting or isolating oxides on the examples HfO2, Ga2O3, and Al2O3

    International Nuclear Information System (INIS)

    Steffens, Michael

    2014-01-01

    On the example of the three oxide compounds of the hafnium, gallium, and aluminium among others the method of the perturbed γ-γ angular correlation (PAC) was applied in dependence on the sample temperature. Applied were thereby the PAC probe nuclei 111 Cd and 181 Ga, which were inserted in the samples by ion implantation or proced by neutron activation in the samples. In HfO 2 thereby especially the hyperfine interaction of thin layers with thicknesses from 2.7 to 17 nm and 100 nm were studied. Strongly disagreeing field gradients and a great influence of the sample surface on the measurement are shown. It could be shown that ν qO x should scale with the layer thickness of the oxide and that the temperature-dependent behaviour, which is influenced by the thermal expansion of the lattice, underlies also this scaling. Conditioned by the neighbourhood to the surface at high temperature oxygen can escape from the samples and so degrade the oxide. The studied Ga 2 O 3 layers were produced by oxidation of GaN at 1223 K in air. The structure of the oxide layer was thereby stepwise pursued with the PAC and could be modelled with an exponential time dependence. The oxidation was repeated with several samples at equal absolute oxidation time but different partition in intermediate steps. Altogether the result were shown as reproducable, the occuring differences of the hyperfine interactions are probably given by external quantities fluctuating in the oxidation. The measurement of the Al 2 O 3 sample in the PAC furnace and cryostat represents mainly a reproduction of the preceding experiments of Penner et al. In this materials the attempt held the spotlight to manipulate the temperature-dependent behaviour of the hyperfine interaction by additional doping. Over the experiments of the single materials was set the more precise consideration of dynamic hyperfine interactions on the probe nucleus 111 Cd. In the spin-correlation functions R(t) these were manifested by an

  10. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  11. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    Science.gov (United States)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  12. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  13. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    Science.gov (United States)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  14. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  15. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    Science.gov (United States)

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  16. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  17. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks

  18. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  19. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Science.gov (United States)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  20. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Directory of Open Access Journals (Sweden)

    T. S. N. Sales

    2017-05-01

    Full Text Available In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2 nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD, transmission electron microscopy (TEM and scanning electron microscopy (SEM with electron back scattering diffraction (EBSD, and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%.

  1. Theoretical prediction of ion conductivity in solid state HfO2

    Science.gov (United States)

    Zhang, Wei; Chen, Wen-Zhou; Sun, Jiu-Yu; Jiang, Zhen-Yi

    2013-01-01

    A theoretical prediction of ion conductivity for solid state HfO2 is carried out in analogy to ZrO2 based on the density functional calculation. Geometric and electronic structures of pure bulks exhibit similarity for the two materials. Negative formation enthalpy and negative vacancy formation energy are found for YSH (yttria-stabilized hafnia) and YSZ (yttria-stabilized zirconia), suggesting the stability of both materials. Low activation energies (below 0.7 eV) of diffusion are found in both materials, and YSH's is a little higher than that of YSZ. In addition, for both HfO2 and ZrO2, the supercells with native oxygen vacancies are also studied. The so-called defect states are observed in the supercells with neutral and +1 charge native vacancy but not in the +2 charge one. It can give an explanation to the relatively lower activation energies of yttria-doped oxides and +2 charge vacancy supercells. A brief discussion is presented to explain the different YSH ion conductivities in the experiment and obtained by us, and we attribute this to the different ion vibrations at different temperatures.

  2. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  3. The Rayleigh law in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Guan, Yan; Liu, Xiaohua; Zhou, Dayu; Xu, Jin; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    A wealth of studies have confirmed that the low-field hysteresis behaviour of ferroelectric bulk ceramics and thin films can be described using Rayleigh relations, and irreversible domain wall motion across the array of pining defects has been commonly accepted as the underlying micro-mechanism. Recently, HfO 2 thin films incorporated with various dopants were reported to show pronounced ferroelectricity, however, their microscopic domain structure remains unclear till now. In this work, the effects of the applied electric field amplitude, frequency and temperature on the sub-coercive polarization reversal properties were investigated for 10 nm thick Si-doped HfO 2 thin films. The applicability of the Rayleigh law to ultra-thin ferroelectric films was first confirmed, indicating the existence of a multi-domain structure. Since the grain size is about 20-30 nm, a direct observation of domain walls within the grains is rather challenging and this indirect method is a feasible approach to resolve the domain structure. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Intrinsic charge trapping in amorphous oxide films: status and challenges

    Science.gov (United States)

    Strand, Jack; Kaviani, Moloud; Gao, David; El-Sayed, Al-Moatasem; Afanas’ev, Valeri V.; Shluger, Alexander L.

    2018-06-01

    We review the current understanding of intrinsic electron and hole trapping in insulating amorphous oxide films on semiconductor and metal substrates. The experimental and theoretical evidences are provided for the existence of intrinsic deep electron and hole trap states stemming from the disorder of amorphous metal oxide networks. We start from presenting the results for amorphous (a) HfO2, chosen due to the availability of highest purity amorphous films, which is vital for studying their intrinsic electronic properties. Exhaustive photo-depopulation spectroscopy measurements and theoretical calculations using density functional theory shed light on the atomic nature of electronic gap states responsible for deep electron trapping observed in a-HfO2. We review theoretical methods used for creating models of amorphous structures and electronic structure calculations of amorphous oxides and outline some of the challenges in modeling defects in amorphous materials. We then discuss theoretical models of electron polarons and bi-polarons in a-HfO2 and demonstrate that these intrinsic states originate from low-coordinated ions and elongated metal-oxygen bonds in the amorphous oxide network. Similarly, holes can be captured at under-coordinated O sites. We then discuss electron and hole trapping in other amorphous oxides, such as a-SiO2, a-Al2O3, a-TiO2. We propose that the presence of low-coordinated ions in amorphous oxides with electron states of significant p and d character near the conduction band minimum can lead to electron trapping and that deep hole trapping should be common to all amorphous oxides. Finally, we demonstrate that bi-electron trapping in a-HfO2 and a-SiO2 weakens Hf(Si)–O bonds and significantly reduces barriers for forming Frenkel defects, neutral O vacancies and O2‑ ions in these materials. These results should be useful for better understanding of electronic properties and structural evolution of thin amorphous films under carrier injection

  5. SIMS study of oxygen diffusion in monoclinic HfO2

    Science.gov (United States)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  6. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    International Nuclear Information System (INIS)

    Schuster, Paul; Bertermann, Ruediger; Snow, Timothy A.; Han Xing; Rusch, George M.; Jepson, Gary W.; Dekant, Wolfgang

    2008-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a non-ozone-depleting fluorocarbon replacement with a low global warming potential which has been developed as refrigerant. The biotransformation of HFO-1234yf was investigated after inhalation exposure. Male Sprague-Dawley rats were exposed to air containing 2000, 10,000, or 50,000 ppm HFO-1234yf for 6 h and male B6C3F1 mice were exposed to 50,000 ppm HFO-1234yf for 3.5 h in a dynamic exposure chamber (n = 5/concentration). After the end of the exposure, animals were individually housed in metabolic cages and urines were collected at 6 or 12-hour intervals for 48 h. For metabolite identification, urine samples were analyzed by 1 H-coupled and decoupled 19 F-NMR and by LC/MS-MS or GC/MS. Metabolites were identified by 19 F-NMR chemical shifts, signal multiplicity, 1 H- 19 F coupling constants and by comparison with synthetic reference compounds. In all urine samples, the predominant metabolites were two diastereomers of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine. In 19 F-NMR, the signal intensity of these metabolites represented more than 85% (50,000 ppm) of total 19 F related signals in the urine samples. Trifluoroacetic acid, 3,3,3-trifluorolactic acid, 3,3,3-trifluoro-1-hydroxyacetone, 3,3,3-trifluoroacetone and 3,3,3-trifluoro-1,2-dihydroxypropane were present as minor metabolites. Quantification of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine by LC/MS-MS showed that most of this metabolite (90%) was excreted within 18 h after the end of exposure (t 1/2 app. 6 h). In rats, the recovery of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine excreted within 48 h in urine was determined as 0.30 ± 0.03, 0.63 ± 0.16, and 2.43 ± 0.86 μmol at 2000, 10,000 and 50,000 ppm, respectively suggesting only a low extent (<< 1% of dose received) of biotransformation of HFO-1234yf. In mice, the recovery of this metabolite was 1.774 ± 0.4 μmol. Metabolites identified after in vitro incubations of HFO

  7. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  8. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal

    2015-06-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. The influence of thermal treatment on the phase development in HfO2-Al2O3 and ZrO2-Al2O3 systems

    International Nuclear Information System (INIS)

    Stefanic, G.; Music, S.; Trojko, R.

    2005-01-01

    Amorphous precursors of HfO 2 -AlO 1.5 and ZrO 2 -AlO 1.5 systems covering the whole concentration range were co-precipitated from aqueous solutions of the corresponding salts. The thermal behaviour of the amorphous precursors was examined by differential thermal analysis, X-ray powder diffraction (XRD), laser Raman spectroscopy and scanning electron microscopy. The crystallization temperature of both systems increased with increase in the AlO 1.5 content, from 530 to 940 deg. C in the HfO 2 -AlO 1.5 system, and from 405 to 915 deg. C in the ZrO 2 -AlO 1.5 system. The results of phase analysis indicate an extended capability for the incorporation of Al 3+ ions in the metastable HfO 2 - and ZrO 2 -type solid solutions obtained after crystallization of amorphous co-gels. Precise determination of lattice parameters, performed using whole-powder-pattern decomposition method, showed that the axial ratio c f /a f in the ZrO 2 - and HfO 2 -type solid solutions with 10 mol% or more of Al 3+ approach 1. The tetragonal symmetry of these samples, as determined by laser Raman spectroscopy, was attributed to the displacement of the oxygen sublattice from the ideal fluorite positions. It was found that the lattice parameters of the ZrO 2 -type solid solutions decreased with increasing Al 3+ content up to ∼10 mol%, whereas above 10 mol%, further increase of the Al 3+ content has very small influence on the unit-cell volume of both HfO 2 - and ZrO 2 -type solid solutions. The reason for such behaviour was discussed. The solubility of Hf 4+ and Zr 4+ ions in the aluminium oxides lattice appeared to be negligible

  11. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  12. Preparation and characterization of Ce-doped HfO2 nanoparticles

    International Nuclear Information System (INIS)

    Gálvez-Barboza, S.; González, L.A.; Puente-Urbina, B.A.; Saucedo-Salazar, E.M.; García-Cerda, L.A.

    2015-01-01

    Highlights: • Ce-doped HfO 2 nanoparticles were prepared by a modified solgel method. • Ce-doped HfO 2 nanoparticles have a semispherical shape with sizes between 6 and 11.5 nm. • The samples doped with 10% in weight of Ce directly crystallized in a cubic structure. • A quick, straightforward and effective route for the preparation of Ce-doped nanoparticles. - Abstract: A modified solgel method to synthesize Ce-doped HfO 2 nanoparticles was carried out using a precursor material prepared with cerium nitrate, hafnium chloride, citric acid and ethylene glycol. The obtained precursor material was calcined at 500 and 700 °C for 2 h in air. The influence of the concentration of Ce and the calcination temperature was studied to observe the structural and morphological changes of the obtained materials. For the characterization, X-ray diffraction (XRD), transmission electron microscopy (TEM) and Raman scattering (RS) were employed. The XRD patterns shown that the Ce-doped HfO 2 undergoes a structural transformation from monoclinic to cubic phase, which is significantly dependent on the Ce content and calcination temperature. TEM images have also confirmed the existence of semispherical nanoparticles with sizes between 6 and 11.5 nm

  13. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  14. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  16. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  17. Thermal evolution of CaO-doped HfO{sub 2} films and powders

    Energy Technology Data Exchange (ETDEWEB)

    Barolin, S A; Sanctis, O A de [Lab. Materiales Ceramicos, FCEIyA, Universidad Nacional de Rosario, IFIR-CONICET (Argentina); Caracoche, M C; Martinez, J A; Taylor, M A; Pasquevich, A F [Departamento de Fisica, FCE, Universidad Nacional de La Plata, IFLP-CONICET (Argentina); Rivas, P C, E-mail: oski@fceia.unr.edu.a [Facultad de Ciencias Agronomicas y Forestales, Universidad Nacional de La Plata, IFLP (Argentina)

    2009-05-01

    Solid solutions of ZrO2 and HfO2 are potential electrolyte materials for intermediate-temperature SOFC because both are oxygen-ion conductors. The main challenge for these compounds is to reduce the relatively high value of the activation energies vacancies diffusion, which is influenced by several factors. In this work the thermal evolution of CaO-HfO{sub 2} materials have been investigated. (CaO)y-Hf(1-y)O(2-y) (y = 0.06, 0.14 y 0.2) coatings and powders were synthesized by chemical solution deposition (CSD). Films were deposited onto alumina substrates by Dip Coating technique, the burning of organic waste was carried out at 500 deg. C under normal atmosphere and then the films were thermally treated at intervals of temperature rising to a maximum temperature of 1250 deg. C. By means Glazing Incidence X-ray Diffraction (rho-2theta configuration) the phases were studied in the annealed films. On the other hand, the thermal evolution and crystallization process of powders were analyzed in-situ by HT-XRD. The phenomena crystallization occurred in films and powders were analyzed. The activation energies of diffusion of oxygen vacancies of HfO2-14 mole% CaO and HfO2-20 mole% CaO films were measured from the thermal evolution of the relaxation constant measured by Perturbed Angular Correlation Technique.

  18. Self-diffusion of Er and Hf inpure and HfO2-doped polycrystalline Er2O3

    International Nuclear Information System (INIS)

    Scheidecker, R.W.

    1979-01-01

    Using a tracer technique, self-diffusion of Er and Hf was measured over the approximate temperature interval of 1600 to 1970 0 C in pure and HfO 2 -doped polycryatalline Er 2 O 3 . Up to about 10 m/o HfO 2 dopant level, the Er self-diffusion coefficients followed a relationship based on cation vacancies. Above 10 m/o HfO 2 , deviation from this relationship occurred, apparently due to clustering of cation vacancies and oxygen interstitials around the dopant hafnia ion. The activation energy for the self-diffusion of Er in pure Er 2 O 3 was 82.2 Kcal/mole and increased with the HfO 2 dopant level present. Self-diffusion of Hf was measured in pure Er 2 O 3 having two impurity levels, and a separation of the grain boundary. The volume diffusion of Hf showed both extrinsic and intrinsic behavior with the transition temperature increasing with the impurity level present in Er 2 O 3 . The activation energy for Hf volume diffusion in the intrinsic region was high, i.e. 235 -+ 9.5 Kcal/mole. The grain boundary diffusion was apparently extrinsic over the entire temperature interval Very low Hf self diffusion rates were found in both pure and HfO 2 doped Er 2 O 3 compositions. Despite a clustering effect, the HfO 2 dopant increased the Hf volume diffusion coefficients

  19. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  20. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  2. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  3. Pressure-induced phase transformation of HfO2

    International Nuclear Information System (INIS)

    Arashi, H.

    1992-01-01

    This paper reports on the pressure dependence of the Raman spectra of HfO 2 that was measured by a micro-Raman technique using a single-crystal specimen in the pressure range from 0 to 10 GPa at room temperature. The symmetry assignment of Raman bands of the monoclinic phase was experimentally accomplished from the polarization measurements for the single crystal. With increased pressure, a phase transformation for the monoclinic phase took place at 4.3 ± 0.3 GPa. Nineteen Raman bands were observed for the high-pressure phase. The spectral structure of the Raman bands for the high-pressure phase was similar with those reported previously for ZrO 2 . The space group for the high pressure phase of HfO 2 was determined as Pbcm, which was the same as that of the high-pressure phase for ZrO 2 on the basis of the number and the spectral structure of the Raman bands

  4. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  5. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    Science.gov (United States)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  6. Electric field and temperature scaling of polarization reversal in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou, Dayu; Guan, Yan; Vopson, Melvin M.; Xu, Jin; Liang, Hailong; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    HfO 2 -based binary lead-free ferroelectrics show promising properties for non-volatile memory applications, providing that their polarization reversal behavior is fully understood. In this work, temperature-dependent polarization hysteresis measured over a wide applied field range has been investigated for Si-doped HfO 2 ferroelectric thin films. Our study indicates that in the low and medium electric field regimes (E < twofold coercive field, 2E c ), the reversal process is dominated by the thermal activation on domain wall motion and domain nucleation; while in the high-field regime (E > 2E c ), a non-equilibrium nucleation-limited-switching mechanism dominates the reversal process. The optimum field for ferroelectric random access memory (FeRAM) applications was determined to be around 2.0 MV/cm, which translates into a 2.0 V potential applied across the 10 nm thick films

  7. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  8. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  9. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  10. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  11. Issues concerning the determination of solubility products of sparingly soluble crystalline solids. Solubility of HfO2(cr)

    International Nuclear Information System (INIS)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.; Sasaki, Takayuki; Kobayashi, Taishi

    2016-01-01

    Solubility studies were conducted with HfO 2 (cr) solid as a function HCl and ionic strength ranging from 2.0 to 0.004 mol kg -1 . These studies involved (1) using two different amounts of the solid phase, (2) acid washing the bulk solid phase, (3) preheating the solid phase to 1400 C, and (4) heating amorphous HfO 2 (am) suspensions to 90 C to ascertain whether the HfO 2 (am) converts to HfO 2 (cr) and to determine the solubility from the oversaturation direction. Based on the results of these treatments it is concluded that the HfO 2 (cr) contains a small fraction of less crystalline, but not amorphous, material [HfO 2 (lcr)] and this, rather than the HfO 2 (cr), is the solubility-controlling phase in the range of experimental variables investigated in this study. The solubility data are interpreted using both the Pitzer and SIT models and they provide log 10 K 0 values of -(59.75±0.35) and -(59.48±0.41), respectively, for the solubility product of HfO 2 (lcr)[HfO 2 (lcr) + 2H 2 O ↔ Hf 4+ + 4OH - ]. The log 10 of the solubility product of HfO 2 (cr) is estimated to be < -63. The observation of a small fraction of less crystalline higher solubility material is consistent with the general picture that mineral surfaces are often structurally and/or compositionally imperfect leading to a higher solubility than the bulk crystalline solid. This study stresses the urgent need, during interpretation of solubility data, of taking precautions to make certain that the observed solubility behavior for sparingly-soluble solids is assigned to the proper solid phase.

  12. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  13. Oxidation behaviour of Ti2AIN films composed mainly of nanolaminated MAX phase.

    Science.gov (United States)

    Wang, Q M; Garkas, W; Renteria, A Flores; Leyens, C; Kim, K H

    2011-10-01

    In this paper, we reported the oxidation behaviour of Ti2AIN films on polycrystalline Al2O3 substrates. The Ti2AIN films composed mainly of nanolaminated MAX phase was obtained by first depositing Ti-Al-N films using reactive sputtering of two elemental Ti and Al targets in Ar/N2 atmosphere and subsequent vacuum annealing at 800 degrees C for 1 h. The Ti2AIN films exhibited excellent oxidation resistance and thermal stability at 600-900 degrees C in air. Very low mass gain was observed. At low temperature (600 degrees C), no oxide crystals were observed on film surface. Blade-like Theta-Al2O3 fine crystals formed on film surfaces at 700-800 degrees C. At high temperature (900 degrees C), firstly Theta-Al2O3 formed on film surface and then transformed into alpha-Al2O3. At 700-900 degrees C, a continuous Al2O3 layer formed on Ti2AIN films surface, acting as diffusion barrier preventing further oxidation attack. The mechanism of the excellent oxidation resistance of Ti2AIN films was discussed based on the experimental results.

  14. Improved DC performance of AlGaN/GaN high electron mobility transistors using hafnium oxide for surface passivation

    International Nuclear Information System (INIS)

    Liu, Chang; Chor, Eng Fong; Tan, Leng Seow

    2007-01-01

    Improved DC performance of AlGaN/GaN high electron mobility transistors (HEMTs) have been demonstrated using reactive-sputtered hafnium oxide (HfO 2 ) thin film as the surface passivation layer. Hall data indicate a significant increase in the product of sheet carrier concentration (n s ) and electron mobility (μ n ) in the HfO 2 -passivated HEMTs, compared to the unpassivated HEMTs. This improvement in electron carrier characteristics gives rise to a 22% higher I Dmax and an 18% higher g mmax in HEMTs with HfO 2 passivation relative to the unpassivated devices. On the other hand, I gleak of the HEMTs decreases by nearly one order of magnitude when HfO 2 passivation is applied. In addition, drain current is measured in the subthreshold regime. Compared to the unpassivated HEMTs, HfO 2 -passivated HEMTs exhibit a much smaller off-state I D , indicating better turn-off characteristics

  15. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  16. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    Science.gov (United States)

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  17. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  18. UV-laser-light-controlled photoluminescence of metal oxide nanoparticles in different gas atmospheres: BaTiO3, SrTiO3 and HfO2

    International Nuclear Information System (INIS)

    Mochizuki, Shosuke; Saito, Takashi; Yoshida, Kaori

    2012-01-01

    The photoluminescence (PL) enhancement has been studied at room temperature using various specimen atmospheres (O 2 gas, CO 2 gas, CO 2 -H 2 mixture gas, Ar-H 2 mixture gas and vacuum) under 325 nm laser light irradiation on various metal oxides. Of them, the results obtained for BaTiO 3 nanocrystals, SrTiO 3 ones and HfO 2 powder crystal are given in the present paper. Their PL were considerably increased in intensity by irradiation of 325 nm laser light in CO 2 gas and CO 2 -H 2 mixture gas. The cause of the PL intensity enhancements is discussed in the light of the exciton theory, the defect chemistry and the photocatalytic theory. The results may be applied for the utilization of greenhouse gas (CO 2 ) and the optical sensor for CO 2 gas.

  19. Thermal expansion studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Nagarajan, K.

    2014-01-01

    A series of solid solutions containing GdO 1.5 in HfO 2 , (Hf 1-y Gd y ) O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out using room temperature X-ray diffraction measurements

  20. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    Science.gov (United States)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  1. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  2. Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Boltz, Janika

    2011-12-12

    Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post

  3. MOHOS-type memory performance using HfO2 nanoparticles as charge trapping layer and low temperature annealing

    International Nuclear Information System (INIS)

    Molina, Joel; Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso

    2012-01-01

    Highlights: ► HfO 2 nanoparticles used as charge trapping layer in MOHOS memory devices. ► Increasing HfO 2 nanoparticles concentration enhances charge injection and trapping. ► Enhancement of memory performance with low temperature annealing. ► Charge injection is done without using any hot-carrier injection mechanism. ► Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO 2 nanoparticles (np-HfO 2 ) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO 2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO 2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO 2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  4. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    Science.gov (United States)

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  5. Photo-oxidative degradation of TiO{sub 2}/polypropylene films

    Energy Technology Data Exchange (ETDEWEB)

    García-Montelongo, X.L. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Ciudad Universitaria, C.P. 66451 San Nicolás de los Garza, N.L. (Mexico); Martínez-de la Cruz, A., E-mail: azael70@yahoo.com.mx [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Ciudad Universitaria, C.P. 66451 San Nicolás de los Garza, N.L. (Mexico); Vázquez-Rodríguez, S. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Ciudad Universitaria, C.P. 66451 San Nicolás de los Garza, N.L. (Mexico); Torres-Martínez, Leticia M. [Facultad de Ingeniería Civil, Universidad Autónoma de Nuevo León, Ciudad Universitaria, C.P. 66451 San Nicolás de los Garza, N.L. (Mexico)

    2014-03-01

    Graphical abstract: - Highlights: • Photo-oxidative degradation of polypropylene is accelerated by TiO{sub 2} incorporation. • Weight loss, FTIR, SEM and GPC shown high degree of degradation of polypropylene. • A mechanism of the photo-degradation of polypropylene by TiO{sub 2} is proposed. - Abstract: Photo-oxidative degradation of polypropylene films with TiO{sub 2} nanoparticles incorporated was studied in a chamber of weathering with Xenon lamps as irradiation source. TiO{sub 2} powder with crystalline structure of anatase was synthesized by thermal treatments at 400 and 500 °C starting from a precursor material obtained by sol–gel method. Composites of TiO{sub 2}/polypropylene were prepared with 0.1, 0.5 and 1.0 wt% of TiO{sub 2}. The mixture of components was performed using a twin screw extruder, the resulting material was pelletized by mechanical fragmenting and then hot-pressed in order to form polypropylene films with TiO{sub 2} dispersed homogeneously. Photo-oxidative degradation process was followed by visual inspection, weight loss of films, scanning electron microscopy (SEM), infrared spectroscopy with Fourier transformed (FTIR), and gel permeation chromatography (GPC)

  6. Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates

    International Nuclear Information System (INIS)

    Duenas, S.; Castan, H.; Garcia, H.; Barbolla, J.; Kukli, K.; Ritala, M.; Leskelae, M.

    2005-01-01

    Deep level transient spectroscopy, capacitance-voltage and conductance transient measurement techniques have been applied in order to evaluate the electrical quality of thin high-permittivity oxide layers on silicon. The oxides studied included HfO 2 film grown from two different oxygen-free metal precursors and Ta 2 O 5 and Nb 2 O 5 nanolaminates. The interface trap densities correlated to the oxide growth chemistry and semiconductor substrate treatment. No gap state densities induced by structural disorder were measured in the films grown on chemical SiO 2 . Trap densities were also clearly lower in HfO 2 films compared to Ta 2 O 5 -Nb 2 O 5

  7. Electric-field-control of magnetic anisotropy of Co0.6Fe0.2B0.2/oxide stacks using reduced voltage

    Science.gov (United States)

    Kita, Koji; Abraham, David W.; Gajek, Martin J.; Worledge, D. C.

    2012-08-01

    We have demonstrated purely electrical manipulation of the magnetic anisotropy of a Co0.6Fe0.2B0.2 film by applying only 8 V across the CoFeB/oxide stack. A clear transition from in-plane to perpendicular anisotropy was observed. The quantitative relationship between interface anisotropy energy and the applied electric-field was determined from the linear voltage dependence of the saturation field. By comparing the dielectric stacks of MgO/Al2O3 and MgO/HfO2/Al2O3, enhanced voltage control was also demonstrated, due to the higher dielectric constant of the HfO2. These results suggest the feasibility of purely electrical control of magnetization with small voltage bias for spintronics applications.

  8. Enhancement of Endurance in HfO2-Based CBRAM Device by Introduction of a TaN Diffusion Blocking Layer

    KAUST Repository

    Chand, Umesh

    2017-08-05

    We propose a new method to improve resistive switching properties in HfO2 based CBRAM crossbar structure device by introducing a TaN thin diffusion blocking layer between the Cu top electrode and HfO2 switching layer. The Cu/TaN/HfO2/TiN device structure exhibits high resistance ratio of OFF/ON states without any degradation in switching during endurance test. The improvement in the endurance properties of the Cu/TaN/HfO2/TiN CBRAM device is thus attributed to the relatively low amount of Cu migration into HfO2 switching layer.

  9. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  10. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  11. Habituation/Fatigue behavior of a synapse memristor based on IGZO-HfO2 thin film.

    Science.gov (United States)

    Jiang, Ran; Ma, Pengfei; Han, Zuyin; Du, Xianghao

    2017-08-24

    A synaptic memristor based on IGZO and oxygen-deficient HfO 2 films has been demonstrated. The memristor exhibits a fatigue response to a monotonic stimulus of voltage pulses, which is analogous to the habituation behavior of biological memory. The occurrence of habituation is nearly simultaneous with the transition from short-term memory to long-term memory. The movement and redistribution of oxygen species with the assistance of polarization in HfO 2 layer are responsible for the above results. The observation of habituation behavior proves the potential prospect of memristor on the mimic of biological neuron.

  12. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    Science.gov (United States)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  13. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2015-01-01

    Full Text Available HfO2-based resistive random access memory (RRAM takes advantage of oxygen vacancy (V o defects in its principle of operation. Since the change in resistivity of the material is controlled by the level of oxygen deficiency in the material, it is significantly important to study the performance of oxygen vacancies in formation of conductive filament. Excluding effects of the applied voltage, the Vienna ab initio simulation package (VASP is used to investigate the orientation and concentration mechanism of the oxygen vacancies based on the first principle. The optimal value of crystal orientation [010] is identified by means of the calculated isosurface plots of partial charge density, formation energy, highest isosurface value, migration barrier, and energy band of oxygen vacancy in ten established orientation systems. It will effectively influence the SET voltage, forming voltage, and the ON/OFF ratio of the device. Based on the results of orientation dependence, different concentration models are established along crystal orientation [010]. The performance of proposed concentration models is evaluated and analyzed in this paper. The film is weakly conductive for the samples deposited in a mixture with less than 4.167at.% of V o contents, and the resistive switching (RS phenomenon cannot be observed in this case. The RS behavior improves with an increase in the V o contents from 4.167at.% to 6.25at.%; nonetheless, it is found difficult to switch to a stable state. However, a higher V o concentration shows a more favorable uniformity and stability for HfO2-based RRAM.

  14. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  15. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  16. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  17. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  18. Single-pulse and multi-pulse femtosecond laser damage of optical single films

    International Nuclear Information System (INIS)

    Yuan Lei; Zhao Yuan'an; He Hongbo; Shao Jianda; Fan Zhengxiu

    2006-01-01

    Laser-induced damage of a single 500 nm HfO 2 film and a single 500 nm ZrO 2 film were studied with single- and multi-pulse femtosecond laser. The laser-induced damage thresholds (LIDT) of both samples by the 1-on-1 method and the 1000-on-1 method were reported. It was discovered that the LIDT of the HfO 2 single film was higher than that of the ZrO 2 single film by both test methods, which was explained by simple Keldysh's multiphoton ionization theory. The LIDT of multi-pulse was lower than that of single-pulse for both samples as a result of accumulative effect. (authors)

  19. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  20. Modification of oxide films by ion implantation: TiO2-films modified by Ti+ and O+ as example

    International Nuclear Information System (INIS)

    Schultze, J.W.; Elfenthal, L.; Leitner, K.; Meyer, O.

    1988-01-01

    Oxide films can be modified by ion implantation. Changes in the electrochemical properties of the films are due to the deposition profile of the implanted ion, ie doping and stoichiometric changes, as well as to the radiation damage. The latter is due to the formation of Frenkel defects and at high concentrations to a complete amorphization of the oxide film. TiOsub(x)-films with 1 + - and O + -ions into anodic oxide films on titanium. The electrode capacity shows always the behaviour of an n-type semiconductor with an almost constant flatband potential but a strong maximum donor concentration at about 3% Ti + concentration. Oxygen implantation, on the other hand, causes a small increase of donor concentration only at high concentration of O + . Electron transfer reactions show strong modifications of the electronic behaviour of the oxide film with a maximum again at 3% titanium. Photocurrent spectra prove the increasing amorphization and show interband states 2.6 eV above the VB or below the CB. During repassivation measurements at various potentials different defects formed by Ti + - and O + -implantation become mobile. A tentative model of the band structure is constructed which takes into account the interband states due to localised Ti + - and O + -ions. The modification of ion implanted oxide films is compared with the effects of other preparation techniques. (author)

  1. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  2. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    Science.gov (United States)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  3. Structure, optical properties and thermal stability of HfErO films deposited by simultaneous RF and VHF magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang, H.Y.; He, H.J.; Zhang, Z.; Jin, C.G.; Yang, Y.; Wang, Y.Y.; Ye, C.; Zhuge, L.J.; Wu, X.M.

    2015-01-01

    HfErO films are deposited on Si substrates by simultaneous radio frequency (RF) and very high frequency (VHF) magnetron sputtering technique. The content of the doped ingredient of Er and the body composition of HfO x are, respectively, controlled through the VHF and RF powers. Low content of Er doping in the HfErO films can be achieved, because the VHF source of 27.12 MHz has higher ion energy and lower ion flux than the RF source resulting in low sputtering rate in the magnetron sputtering system. The structure, optical properties and thermal stability of the HfErO films are investigated in this work. Results show that the doped content of Er is independently controlled by the VHF power. The oxygen vacancies are created by the Er incorporation. The hafnium in the HfErO films forms mixed valence of Hf 2+ and Hf 4+ . The HfErO films are composed with the structures of HfO 2 , HfO and ErO x , which can be optimized through the VHF power. At high VHF power, the Hf-Er-O bonds are formed, which demonstrates that the Er atoms are doped into the lattice of HfO 2 in the HfErO films. The HfErO films have bad thermal stability as the crystallization temperature decreases from 900 to 800 C. After thermal annealing, cubic phase of HfO 2 are stabilized, which is ascribed to the oxygen vacancies creation by the Er incorporation. The optical properties such as the refractive index and the optical band gap of the HfErO films are optimized by the VHF power. (orig.)

  4. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2016-08-01

    Full Text Available The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  5. SnO{sub 2}/reduced graphene oxide composite films for electrochemical applications

    Energy Technology Data Exchange (ETDEWEB)

    Bondarenko, E.A. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Mazanik, A.V., E-mail: mazanikalexander@gmail.com [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Korolik, O.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus)

    2015-12-15

    Highlights: • SnO{sub 2}/GO composites with mass fraction of carbon phase 0.01% ≤ w{sub C} ≤ 80% have been formed. • 400 °C annealing was applied for GO reduction in the composites. • SnO{sub 2}/rGO composites demonstrate a high electrocatalytic activity in anodic processes. • Exchange current density grows linearly with carbon phase concentration at w{sub C} ≤ 10%. - Abstract: SnO{sub 2}/GO (GO is graphene oxide) composite films with GO mass fraction w{sub C} ranging from 0.01 to 80% have been prepared using colloidal solutions. Heat treatment of SnO{sub 2}/GO films in Ar atmosphere at 400 °C leads to GO reduction accompanied by partial exfoliation and decreasing of the particle thickness. SnO{sub 2}/rGO (rGO is reduced GO) film electrodes demonstrate a high electrocatalytic activity in the anodic oxidation of inorganic (iodide-, chloride-, sulfite-anions) and organic (ascorbic acid) substances. The increase of the anodic current in these reactions is characterized by overpotential inherent to the individual rGO films and exchange current density grows linearly with rGO concentration at w{sub C} ≤ 10% indicating that the rGO particles in composites act as sites of electrochemical process. The SnO{sub 2}/rGO composite films, in which the chemically stable oxide matrix encapsulates the rGO inclusions, can be considered as a promising material for applied electrochemistry.

  6. Temporal and voltage stress stability of high performance indium-zinc-oxide thin film transistors

    Science.gov (United States)

    Song, Yang; Katsman, Alexander; Butcher, Amy L.; Paine, David C.; Zaslavsky, Alexander

    2017-10-01

    Thin film transistors (TFTs) based on transparent oxide semiconductors, such as indium zinc oxide (IZO), are of interest due to their improved characteristics compared to traditional a-Si TFTs. Previously, we reported on top-gated IZO TFTs with an in-situ formed HfO2 gate insulator and IZO active channel, showing high performance: on/off ratio of ∼107, threshold voltage VT near zero, extracted low-field mobility μ0 = 95 cm2/V·s, and near-perfect subthreshold slope at 62 mV/decade. Since device stability is essential for technological applications, in this paper we report on the temporal and voltage stress stability of IZO TFTs. Our devices exhibit a small negative VT shift as they age, consistent with an increasing carrier density resulting from an increasing oxygen vacancy concentration in the channel. Under gate bias stress, freshly annealed TFTs show a negative VT shift during negative VG gate bias stress, while aged (>1 week) TFTs show a positive VT shift during negative VG stress. This indicates two competing mechanisms, which we identify as the field-enhanced generation of oxygen vacancies and the field-assisted migration of oxygen vacancies, respectively. A simplified kinetic model of the vacancy concentration evolution in the IZO channel under electrical stress is provided.

  7. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin filmsOxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  8. Improved optical response and photocatalysis for N-doped titanium oxide (TiO2) films prepared by oxidation of TiN

    International Nuclear Information System (INIS)

    Wan, L.; Li, J.F.; Feng, J.Y.; Sun, W.; Mao, Z.Q.

    2007-01-01

    In order to improve the photocatalytic activity, N-doped titanium oxide (TiO 2 ) films were obtained by thermal oxidation of TiN films, which were prepared on Ti substrates by ion beam assisted deposition (IBAD). The dominating rutile TiO 2 phase was found in films after thermal oxidation. According to the results of X-ray photoelectron spectroscopy (XPS), the residual N atoms occupied O-atom sites in TiO 2 lattice to form Ti-O-N bonds. UV-vis spectra revealed the N-doped TiO 2 film had a red shift of absorption edge. The maximum red shift was assigned to the sample annealed at 750 deg. C, with an onset wavelength at 600 nm. The onset wavelength corresponded to the photon energy of 2.05 eV, which was nearly 1.0 eV below the band gap of pure rutile TiO 2 . The effect of nitrogen was responsible for the enhancement of photoactivity of N-doped TiO 2 films in the range of visible light

  9. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  10. Oxide ultrathin films science and technology

    CERN Document Server

    Pacchioni, Gianfranco

    2012-01-01

    A wealth of information in one accessible book. Written by international experts from multidisciplinary fields, this in-depth exploration of oxide ultrathin films covers all aspects of these systems, starting with preparation and characterization, and going on to geometrical and electronic structure, as well as applications in current and future systems and devices. From the Contents: Synthesis and Preparation of Oxide Ultrathin Films Characterization Tools of Oxide Ultrathin Films Ordered Oxide Nanostructures on Metal Surfaces Unusual Properties of Oxides and Other Insulators in the Ultrathin Limit Silica and High-K Dielectrics Thin Films in Microelectronics Oxide Passive Films and Corrosion Protection Oxide Films as Catalytic Materials and as Models of Real Catalysts Oxide Films in Spintronics Oxide Ultrathin Films in Solid Oxide Fuel Cells Transparent Conducting and Chromogenic Oxide Films as Solar Energy Materials Oxide Ultrathin Films in Sensor Applications Ferroelectricity in Ultrathin Film Capacitors T...

  11. High temperature X-ray diffraction studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Ananthasivan, K.; Joseph, M.

    2016-01-01

    High temperature X-ray diffraction (HTXRD) technique is an important experimental tool for measuring thermal expansion of materials of interest. A series of solid solutions containing GdO 1.5 in HfO 2 ,Hf 1-y Gd y )O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out by using room temperature X-ray diffraction measurements. The room temperature lattice parameter estimated for (Hf 1-y Gd y )O 2 (y=0.15, 0.2, 0.3, 0.41 and 0.505) are 0.51714 nm, 0.51929 nm, 0.52359nm, 0.52789nm and 0.53241 nm, respectively. Thermal expansion coefficients and percentage linear thermal expansion of the HfO 2 -Gd 2 O 3 solid solutions containing 20 and 41 mol% GdO 1.5 were determined using HTXRD in the temperature range 298 to 1673K. The mean linear thermal expansion coefficients of the solid solutions containing 20 and 41 mol. %Gd are 11.65 x 10 -6 K -1 and 12.07 x 10 -6 K -1 , respectively. (author)

  12. Atomic layer deposition of HfO2 on graphene through controlled ion beam treatment

    International Nuclear Information System (INIS)

    Kim, Ki Seok; Oh, Il-Kwon; Jung, Hanearl; Kim, Hyungjun; Yeom, Geun Young; Kim, Kyong Nam

    2016-01-01

    The polymer residue generated during the graphene transfer process to the substrate tends to cause problems (e.g., a decrease in electron mobility, unwanted doping, and non-uniform deposition of the dielectric material). In this study, by using a controllable low-energy Ar + ion beam, we cleaned the polymer residue without damaging the graphene network. HfO 2 grown by atomic layer deposition on graphene cleaned using an Ar + ion beam showed a dense uniform structure, whereas that grown on the transferred graphene (before Ar + ion cleaning) showed a non-uniform structure. A graphene–HfO 2 –metal capacitor fabricated by growing 20-nm thick HfO 2 on graphene exhibited a very low leakage current (<10 −11 A/cm 2 ) for Ar + ion-cleaned graphene, whereas a similar capacitor grown using the transferred graphene showed high leakage current.

  13. Simultaneous Oxidation and Sequestration of As(III) from Water by Using Redox Polymer-Based Fe(III) Oxide Nanocomposite.

    Science.gov (United States)

    Zhang, Xiaolin; Wu, Mengfei; Dong, Hao; Li, Hongchao; Pan, Bingcai

    2017-06-06

    Water decontamination from As(III) is an urgent but still challenging task. Herein, we fabricated a bifunctional nanocomposite HFO@PS-Cl for highly efficient removal of As(III), with active chlorine covalently binding spherical polystyrene host for in situ oxidation of As(III) to As(V), and Fe(III) hydroxide (HFO) nanoparticles (NPs) embedded inside for specific As(V) removal. HFO@PS-Cl could work effectively in a wide pH range (5-9), and other substances like sulfate, chloride, bicarbonate, silicate, and humic acid exert insignificant effect on As(III) removal. As(III) sequestration is realized via two pathways, that is, oxidation to As(V) by the active chlorine followed by specific As(V) adsorption onto HFO NPs, and As(III) adsorption onto HFO NPs followed by oxidation to As(V). The exhausted HFO@PS-Cl could be refreshed for cyclic runs with insignificant capacity loss by the combined regeneration strategy, that is, alkaline solution to rinse the adsorbed As(V) and NaClO solution to renew the host oxidation capability. In addition, fixed-bed experiments demonstrated that the HFO@PS-Cl column could generate >1760 bed volume (BV) effluent from a synthetic As(III)-containing groundwater to meet the drinking water standard (nanocomposites, HFO@PS-N and HFO@D201 could only generate 450 and 600 BV effluents under otherwise identical conditions.

  14. Influence of the oxygen/argon ratio on the properties of sputtered hafnium oxide

    International Nuclear Information System (INIS)

    Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.

    2005-01-01

    In this work we have focused our attention on the role of the gas mixture (O 2 /Ar) used during HfO 2 thin film processing by r.f. magnetron sputtering, to produce dielectrics with suitable characteristics to be used as gate dielectric. Increasing the O 2 /Ar ratio from 0 to 0.2, the films properties (optical gap, permittivity, resistivity and compactness) are improved. At these conditions, films with a band gap around 5 eV were produced, indicating a good stoichiometry. Also the flat band voltage has a reduction of almost three times indicating also a reduction of the same order on the fixed charge density at the semiconductor-insulator interface. The dielectric constant is around 16 which is very good, since the surface of the silicon where the HfO 2 films were deposited contains a SiO 2 layer of about 3 nm that gives an effective dielectric constant above 20, close to the HfO 2 stoichiometric value (∼25). Further increase on the O 2 /Ar ratio does not produce significant improvements

  15. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  16. Laser patterning of superconducting oxide films

    International Nuclear Information System (INIS)

    Gupta, A.; Hussey, B.W.; Koren, G.; Cooper, E.I.; Jagannathan, R.

    1988-01-01

    The focused output of an argon ion laser (514.5 nm) has been used for wiring superconducting lines of Y/sub 1/Ba/sub 2/CU/sub 3/O/sub 7-δ/ using films prepared from nitrate and trifluoroacetate solution precursors. A stoichiometric solution of the precursors is sprayed or spun on to the substrate to form a film. The film is patterned by irradiating in selected areas to convert the irradiated layers to an intermediate oxide or fluoride state, the nonirradiated areas being unchanged. The nonirradiated areas are then dissolved away, leaving a pattern of the oxide or fluoride material. This patterned layer is converted to the superconducting 1-2-3 oxide in a subsequent annealing step. Maskless patterning of superconducting films has also been demonstrated by laser-assisted etching of the films in aqueous KOH solution. Although superconductivity is destroyed when the films are placed in solution, it can be restored after a brief anneal in oxygen

  17. Graphene Oxide-TiO2 Nanocomposite Films for Electron Transport Applications

    Science.gov (United States)

    Saleem, Abida; Ullah, Naveed; Khursheed, Kamran; Iqbal, Tahir; Shah, Saqlain A.; Asjad, Muhammad; Sarwar, Nazim; Saleem, Murtaza; Arshad, Muhammad

    2018-03-01

    Graphene oxide-titanium dioxide (GO-TiO2) nanocomposite thin films were prepared for application as the window layer of perovskite solar cells. Graphene oxide (GO) was prepared by a modified Hummer's method, and titanium dioxide (TiO2) nanoparticles were synthesized by hydrothermal solution method. Thin films of GO-TiO2 nanocomposite were prepared with different wt.% of GO by spin coating on indium tin oxide (ITO) substrate followed by annealing at 150°C. X-ray diffraction analysis revealed rutile phase of TiO2 nanostructures. The bandgap of the pure TiO2 thin film was found to be 3.5 eV, reducing to 2.9 eV for the GO-TiO2 nanocomposites with a red-shift towards higher wavelength. Furthermore, thermal postannealing at 400°C improved the transparency in the visible region and decreased the sheet resistance. Morphological and elemental analysis was performed by scanning electron microscopy and energy-dispersive x-ray spectroscopy, respectively. The current-voltage characteristic of the GO-TiO2 nanocomposites indicated Ohmic contact with the ITO substrate. The chemical composition of the as-synthesized GO-TiO2 nanocomposites was investigated by x-ray photoelectron spectroscopy (XPS). The results presented herein demonstrate a new, low-temperature solution-processing approach to obtain rGO-TiO2 composite material for use as the electron transport layer of perovskite solar cells.

  18. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  19. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  20. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  1. Modeling on oxide dependent 2DEG sheet charge density and threshold voltage in AlGaN/GaN MOSHEMT

    Science.gov (United States)

    Panda, J.; Jena, K.; Swain, R.; Lenka, T. R.

    2016-04-01

    We have developed a physics based analytical model for the calculation of threshold voltage, two dimensional electron gas (2DEG) density and surface potential for AlGaN/GaN metal oxide semiconductor high electron mobility transistors (MOSHEMT). The developed model includes important parameters like polarization charge density at oxide/AlGaN and AlGaN/GaN interfaces, interfacial defect oxide charges and donor charges at the surface of the AlGaN barrier. The effects of two different gate oxides (Al2O3 and HfO2) are compared for the performance evaluation of the proposed MOSHEMT. The MOSHEMTs with Al2O3 dielectric have an advantage of significant increase in 2DEG up to 1.2 × 1013 cm-2 with an increase in oxide thickness up to 10 nm as compared to HfO2 dielectric MOSHEMT. The surface potential for HfO2 based device decreases from 2 to -1.6 eV within 10 nm of oxide thickness whereas for the Al2O3 based device a sharp transition of surface potential occurs from 2.8 to -8.3 eV. The variation in oxide thickness and gate metal work function of the proposed MOSHEMT shifts the threshold voltage from negative to positive realizing the enhanced mode operation. Further to validate the model, the device is simulated in Silvaco Technology Computer Aided Design (TCAD) showing good agreement with the proposed model results. The accuracy of the developed calculations of the proposed model can be used to develop a complete physics based 2DEG sheet charge density and threshold voltage model for GaN MOSHEMT devices for performance analysis.

  2. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  3. CdO Doped Indium Oxide Thick Film as a Low Temperature H2S Gas Sensor

    Directory of Open Access Journals (Sweden)

    D. N. CHAVAN

    2011-06-01

    Full Text Available The thick films of AR grade In2O3 were prepared by standard screen-printing technique. The gas sensing performance of thick film was tested for various gases. It showed maximum gas response to ethanol vapor at 350 oC for 80 ppm. To improve the gas response and selectivity of the film towards a particular gas, In2O3 thick films were modified by dipping them in an aqueous solution of 0.1 M CdCl2 for different intervals of time. The surface modified (10 min In2O3 thick film showed maximum response to H2S gas (10 ppm than pure In2O3 thick film at 150 oC. Cadmium oxide on the surface of the film shifts the gas response from ethanol vapor to H2S gas. A systematic study of sensing performance of the thick films indicates the key role played by cadmium oxide on the surface of thick films. The selectivity, gas response and recovery time of the thick films were measured and presented.

  4. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  5. Oxidation films morphology

    International Nuclear Information System (INIS)

    Paidassi, J.

    1960-01-01

    After studying the oxidation of several pure polyvalent metals (Fe, Cu, Mn, Ni, U) and of their oxides at high temperature and atmospheric pressure, the author suggests how to modify the usual representation of the oxide film (a piling of different oxide layers, homogeneous on a micrographic scale with a equi-axial crystallisation, free of mechanical tensions, with flat boundary surfaces) to have it nearer to reality. In this first part, the author exposes the study of the real micrographic structure of the oxidation film and gives examples of precipitation in the oxides during the cooling of the oxidised sample. (author) [fr

  6. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    Science.gov (United States)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  7. Chitosan/graphene oxide biocomposite film from pencil rod

    Science.gov (United States)

    Gea, S.; Sari, J. N.; Bulan, R.; Piliang, A.; Amaturrahim, S. A.; Hutapea, Y. A.

    2018-03-01

    Graphene Oxide (GO) has been succesfully synthesized using Hummber method from graphite powder of pencil rod. The excellent solubility of graphene oxide (GO)in water imparts its feasibilty as new filler for reinforcement hydrophilic biopolymers. In this research, the biocomposite film was fabricated from chitosan/graphene oxide. The characteristics of graphene oxide were investigated using Fourier Transform Infrared (FT-IR) and X-ray Diffraction (XRD). The results of the XRD showed graphene structur in 2θ, appeared at 9.0715°with interlayer spacing was about 9.74063Å. Preparation films with several variations of chitosan/graphene oxide was done by casting method and characterized by mechanical and morphological analysis. The mechanical properties of the tensile test in the film show that the film CS/GO (85: 15)% has the optimum Young’s modulus size of 2.9 GPa compared to other variations of CS / GO film. Morphological analysis film CS/GO (85:15)% by Scanning Electron Microscopy (SEM), the obtained biocomposites film showed fine dispersion of GO in the CS matrix and could mix each other homogeneously.

  8. Physical and electrical properties of thermal oxidized Sm{sub 2}O{sub 3} gate oxide thin film on Si substrate: Influence of oxidation durations

    Energy Technology Data Exchange (ETDEWEB)

    Goh, Kian Heng; Haseeb, A.S.M.A.; Wong, Yew Hoong, E-mail: yhwong@um.edu.my

    2016-05-01

    Growth of 150 nm Sm{sub 2}O{sub 3} films by sputtered pure samarium metal film on silicon substrates and followed by thermal oxidation process in oxygen ambient at 700 °C through various oxidation durations (5 min, 10 min, 15 min and 20 min) has been carried out. The crystallinity of Sm{sub 2}O{sub 3} film and existence of interfacial layer have been evaluated by X-ray diffraction, Fourier transform infrared and Raman analysis. Crystallite size and microstrain of Sm{sub 2}O{sub 3} were estimated by Williamson–Hall plot analysis. Calculated crystallite size of Sm{sub 2}O{sub 3} from Scherrer equation has similar trend with the value from Williamson–Hall plot. The presence of interfacial layer is supported by composition line scan by energy dispersive X-ray spectroscopy analysis. The surface roughness and surface topography of Sm{sub 2}O{sub 3} film were examined by atomic force microscopy analysis. The electrical characterization revealed that 15 min of oxidation durations with smoothest surface has highest breakdown voltage, lowest leakage current density and highest barrier height value. - Highlights: • Thermal oxidation of sputtered pure metallic Sm in oxygen ambient • Formation of polycrystalline Sm{sub 2}O{sub 3} and semi-polycrystalline interfacial layers • Optimization of oxidation duration of pure metallic Sm in oxygen ambient • Enhanced electrical performance with smooth surface and increased barrier height.

  9. The effect of a HfO2 insulator on the improvement of breakdown voltage in field-plated GaN-based HEMT

    International Nuclear Information System (INIS)

    Mao Wei; Hao Yue; Ma Xiao-Hua; Wang Chong; Zhang Jin-Cheng; Liu Hong-Xia; Bi Zhi-Wei; Xu Sheng-Rui; Yang Lin-An; Yang Ling; Zhang Kai; Zhang Nai-Qian; Pei Yi; Yang Cui

    2011-01-01

    A GaN/Al 0.3 Ga 0.7 N/AlN/GaN high-electron mobility transistor utilizing a field plate (with a 0.3 μm overhang towards the drain and a 0.2 μm overhang towards the source) over a 165-nm sputtered HfO 2 insulator (HfO 2 -FP-HEMT) is fabricated on a sapphire substrate. Compared with the conventional field-plated HEMT, which has the same geometric structure but uses a 60-nm SiN insulator beneath the field plate (SiN-FP-HEMT), the HfO 2 -FP-HEMT exhibits a significant improvement of the breakdown voltage (up to 181 V) as well as a record field-plate efficiency (up to 276 V/μm). This is because the HfO 2 insulator can further improve the modulation of the field plate on the electric field distribution in the device channel, which is proved by the numerical simulation results. Based on the simulation results, a novel approach named the proportional design is proposed to predict the optimal dielectric thickness beneath the field plate. It can simplify the field-plated HEMT design significantly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  10. Solid-phase photocatalytic degradation of polyethylene film with manganese oxide OMS-2

    Science.gov (United States)

    Liu, Guanglong; Liao, Shuijiao; Zhu, Duanwei; Cui, Jingzhen; Zhou, Wenbing

    2011-01-01

    Solid-phase photocatalytic degradation of polyethylene (PE) film with cryptomelane-type manganese oxide (OMS-2) as photocatalyst was investigated in the ambient air under ultraviolet and visible light irradiation. The properties of the composite films were compared with those of the pure PE film through performing weight loss monitoring, IR spectroscopy, scanning electron microscopic (SEM) and X-ray photoelectron spectroscopy (XPS). The photoinduced degradation of PE-OMS-2 composite films was higher than that of the pure films, while there has been little change under the visible light irradiation. The weight loss of PE-OMS-2 (1.0 wt%) composite films steadily decreased and reached 16.5% in 288 h under UV light irradiation. Through SEM observation there were some cavities on the surface of composite films, but few change except some surface chalking phenomenon occurred in pure PE film. The degradation rate with ultraviolet irradiation is controllable by adjusting the content of OMS-2 particles in PE plastic. Finally, the mechanism of photocatalytic degradation of the composite films was briefly discussed.

  11. Oxidation behavior of TiC, ZrC, and HfC dispersed in oxide matrices

    International Nuclear Information System (INIS)

    Arun, R.; Subramanian, M.; Mehrotra, G.M.

    1990-01-01

    The oxidation behavior of hot pressed TiC-Al 2 O 3 , TiC-ZrO 2 , ZrC-ZrO 2 , and HfC-HfO 2 composites has been investigated at 1273 K. The oxidation of TiC, ZrC, and HfC in hot-pressed composites containing ZrO 2 and HfO 2 has been found to be extremely rapid. The kinetics of oxidation of TiC and a 90 wt% TiC-Al 2 O 3 composite appear to be faster compared to that of pure TiC. X-ray diffraction results for hot-pressed ZrC-HfO 2 and HfC-HfO 2 composites indicate partial stabilization of tetragonal ZrO 2 and HfO 2 phases in these composites

  12. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  13. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  14. Thermoluminescence in HfO_2:Eu"3"+ powders irradiated in UV

    International Nuclear Information System (INIS)

    Ceron R, P. V.; Montes R, E.; Rivera M, T.; Diaz G, J. A. I.; Guzman M, J.

    2016-10-01

    Various inorganic compounds synthesized for photo luminescent applications have also presented a thermoluminescent (Tl) response, for example the metal oxides doped with rare earths. This property extends the use of these materials to the radiation dosimetry. For this reason, in this work the Tl response is presented in HfO_2:Eu"3"+ powders synthesized by the hydrothermal path, exposed to ultraviolet (UV) radiation of 254 nm. The kinetic parameters of its brightness curve were also calculated using the Chen expressions and the analysis method based on the shape of the curve. For the powders irradiated for 10 min the highest Tl response corresponds to the sample with 5% of the impurity, which is 6.5 times higher than the signal corresponding to the intrinsic sample. Its bright curve shows a main peak with a maximum in 148 degrees Celsius and a second order kinetics. Another test with the same material shows the Tl response against the exposure time, with a maximum in the 3 minutes. These calculations and tests constitute a first approach for the study of these powders as Tl dosimeter for UV radiation. (Author)

  15. Simulation study of HEMT structures with HfO2 cap layer for mitigating inverse piezoelectric effect related device failures

    Directory of Open Access Journals (Sweden)

    Deepthi Nagulapally

    2015-01-01

    Full Text Available The Inverse Piezoelectric Effect (IPE is thought to contribute to possible device failure of GaN High Electron Mobility Transistors (HEMTs. Here we focus on a simulation study to probe the possible mitigation of the IPE by reducing the internal electric fields and related elastic energy through the use of high-k materials. Inclusion of a HfO2 “cap layer” above the AlGaN barrier particularly with a partial mesa structure is shown to have potential advantages. Simulations reveal even greater reductions in the internal electric fields by using “field plates” in concert with high-k oxides.

  16. A photoelectrochemical (PEC) study on graphene oxide based hematite thin films heterojunction (R-GO/Fe2O3)

    Science.gov (United States)

    Sharma, Poonam; Zachariah, Michael; Ehrman, Sheryl; Shrivastava, Rohit; Dass, Sahab; Satsangi, Vibha; Michael Zachariah, Sheryl Ehrman Collaboration; Rohit Shrivastava, Sahab Dass Collaboration; Vibha R Satsangi, Poonam Sharma Team

    2013-03-01

    Graphene has an excellent electronic conductivity, a high theoretical surface area of 2630 m2/g and excellent mechanical properties and, thus, is a promising component for high-performance electrode materials. Following this, GO has been used to modify the PEC response of photoactive material hematite thin films in PEC cell. A reduced graphene oxide/iron oxide (R-GO/Fe2O3) thin film structure has been successfully prepared on ITO by directly growing iron oxide particles on the thermally reduced graphene oxide sheets prepared from suspension of exfoliated graphene oxide. R-GO/Fe2O3 thin films were tested in PEC cell and offered ten times higher photocurrent density than pristine Fe2O3 thin film sample. XRD, SEM, EDS, UV-Vis, Mott-Schottky and Raman studies were carried out to study spectro-electrochemical properties. Enhanced PEC performance of these photoelectrodes was attributed to its porous morphology, improved conductivity upon favorable carrier transfer across the oxides interface.

  17. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  18. Formation and disruption of conductive filaments in a HfO2/TiN structure

    International Nuclear Information System (INIS)

    Brivio, S; Tallarida, G; Cianci, E; Spiga, S

    2014-01-01

    The process of the formation and disruption of nanometric conductive filaments in a HfO 2 /TiN structure is investigated by conductive atomic force microscopy. The preforming state evidences nonhomogeneous conduction at high fields through conductive paths, which are associated with pre-existing defects and develop into conductive filaments with a forming procedure. The disruption of the same filaments is demonstrated as well, according to a bipolar operation. In addition, the conductive tip of the microscopy is exploited to perform electrical operations on single conductive spots, which evidences that neighboring conductive filaments are not electrically independent. We propose a picture that describes the evolution of the shape of the conductive filaments in the processes of their formation and disruption, which involves the development of conductive branches from a common root; this root resides in the pre-existing defects that lay at the HfO 2 /TiN interface. (paper)

  19. Effect of hydrogen on stresses in anodic oxide film on titanium

    International Nuclear Information System (INIS)

    Kim, Joong-Do; Pyun, Su-Il; Seo, Masahiro

    2003-01-01

    Stresses in anodic oxide film on titanium thin film/glass electrode in pH 8.4 borate solution were investigated by a bending beam method. The increases in compressive stress observed with cathodic potential sweeps after formation of anodic oxide film were attributed to the volume expansion due to the compositional change of anodic oxide film from TiO 2 to TiO 2-x (OH) x . The instantaneous responses of changes in stress, Δσ, in the anodic oxide film to potential steps demonstrated the reversible characteristic of the TiO 2-x (OH) x formation reaction. In contrast, the transient feature of Δσ for the titanium without anodic oxide film represented the irreversible formation of TiH x at the metal/oxide interphase. The large difference in stress between with and without the oxide film, has suggested that most of stresses generated during the hydrogen absorption/desorption reside in the anodic oxide film. A linear relationship between changes in stress, Δ(Δσ) des , and electric charge, ΔQ des , during hydrogen desorption was found from the current and stress transients, manifesting that the stress changes were crucially determined by the amount of hydrogen desorbed from the oxide film. The increasing tendency of -Δ(Δσ) des with increasing number of potential steps and film formation potential were discussed in connection with the increase in desorption amount of hydrogen in the oxide film with increasing absorption/desorption cycles and oxide film thickness

  20. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  1. Role of Ti and Pt electrodes on resistance switching variability of HfO2-based Resistive Random Access Memory

    International Nuclear Information System (INIS)

    Cabout, T.; Buckley, J.; Cagli, C.; Jousseaume, V.; Nodin, J.-F.; Salvo, B. de; Bocquet, M.; Muller, Ch.

    2013-01-01

    This paper deals with the role of platinum or titanium–titanium nitride electrodes on variability of resistive switching characteristics and electrical performances of HfO 2 -based memory elements. Capacitor-like Pt/HfO 2 (10 nm)/Pt and Ti/HfO 2 (10 nm)/TiN structures were fabricated on top of a tungsten pillar bottom electrode and integrated in-between two interconnect metal lines. First, quasi-static measurements were performed to apprehend the role of electrodes on electroforming, set and reset operations and their corresponding switching parameters. Memory elements with Pt as top and bottom electrodes exhibited a non-polar behavior with sharp decrease of current during reset operation while Ti/HfO 2 /TiN capacitors showed a bipolar switching behavior, with a gradual reset. In a second step, statistical distributions of switching parameters (voltage and resistance) were extracted from data obtained on few hundreds of capacitors. Even if the resistance in low resistive state and reset voltage was found to be comparable for both types of electrodes, the progressive reset operation observed on samples with Ti/TiN electrodes led to a lower variability of resistance in high resistive state and concomitantly of set voltage. In addition Ti–TiN electrodes enabled gaining: (i) lower forming and set voltages with significantly narrower capacitor-to-capacitor distributions; (ii) a better data retention capability (10 years at 65 °C instead of 10 years at 50 °C for Pt electrodes); (iii) satisfactory dynamic performances with lower set and reset voltages for ramp speed ranging from 10 −2 to 10 7 V/s. The significant improvement of switching behavior with Ti–TiN electrodes is mainly attributed to the formation of a native interface layer between HfO 2 oxide and Ti top electrode. - Highlights: ► HfO2 based capacitor-like structures were fabricated with Pt and Ti based electrodes. ► Influence of electrode materials on switching parameter variability is assessed.

  2. Enhanced Al and Zn removal from coal-mine drainage during rapid oxidation and precipitation of Fe oxides at near-neutral pH

    Science.gov (United States)

    Burrows, Jill E.; Cravotta, Charles A.; Peters, Stephen C.

    2017-01-01

    Net-alkaline, anoxic coal-mine drainage containing ∼20 mg/L FeII and ∼0.05 mg/L Al and Zn was subjected to parallel batch experiments: control, aeration (Aer 1 12.6 mL/s; Aer 2 16.8 mL/s; Aer 3 25.0 mL/s), and hydrogen peroxide (H2O2) to test the hypothesis that aeration increases pH, FeII oxidation, hydrous FeIII oxide (HFO) formation, and trace-metal removal through adsorption and coprecipitation with HFO. During 5.5-hr field experiments, pH increased from 6.4 to 6.7, 7.1, 7.6, and 8.1 for the control, Aer 1, Aer 2, and Aer 3, respectively, but decreased to 6.3 for the H2O2 treatment. Aeration accelerated removal of dissolved CO2, Fe, Al, and Zn. In Aer 3, dissolved Al was completely removed within 1 h, but increased to ∼20% of the initial concentration after 2.5 h when pH exceeded 7.5. H2O2 promoted rapid removal of all dissolved Fe and Al, and 13% of dissolved Zn.Kinetic modeling with PHREEQC simulated effects of aeration on pH, CO2, Fe, Zn, and Al. Aeration enhanced Zn adsorption by increasing pH and HFO formation while decreasing aqueous CO2 available to form ZnCO30 and Zn(CO3)22− at high pH. Al concentrations were inconsistent with solubility control by Al minerals or Al-containing HFO, but could be simulated by adsorption on HFO at pH oxidation with pH adjustment to ∼7.5 could be effective for treating high-Fe and moderate-Zn concentrations, whereas chemical oxidation without pH adjustment may be effective for treating high-Fe and moderate-Al concentrations.

  3. Raman and XPS characterization of vanadium oxide thin films with temperature

    Energy Technology Data Exchange (ETDEWEB)

    Ureña-Begara, Ferran, E-mail: ferran.urena@uclouvain.be [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium); Crunteanu, Aurelian [XLIM Research Institute, UMR 7252, CNRS/Université de Limoges, Limoges (France); Raskin, Jean-Pierre [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium)

    2017-05-01

    Highlights: • Comprehensive study of the oxidation of VO{sub 2} thin films from R.T. up to 550 °C. • Phase changes and mixed-valence vanadium oxides formed during the oxidation process. • Reported Raman and XPS signatures for each vanadium oxide. • Monitoring of the current and resistance evolution at the surface of the films. • Oxidation model describing the evolution of the vanadium oxides and phase changes. - Abstract: The oxidation mechanisms and the numerous phase transitions undergone by VO{sub 2} thin films deposited on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates when heated from room temperature (R.T.) up to 550 °C in air are investigated by Raman and X-ray photoelectron spectroscopy. The results show that the films undergo several intermediate phase transitions between the initial VO{sub 2} monoclinic phase at R.T. and the final V{sub 2}O{sub 5} phase at 550 °C. The information about these intermediate phase transitions is scarce and their identification is important since they are often found during the synthesis of vanadium dioxide films. Significant changes in the film conductivity have also been observed to occur associated to the phase transitions. In this work, current and resistance measurements performed on the surface of the films are implemented in parallel with the Raman measurements to correlate the different phases with the conductivity of the films. A model to explain the oxidation mechanisms and phenomena occurring during the oxidation of the films is proposed. Peak frequencies, full-width half-maxima, binding energies and oxidation states from the Raman and X-ray photoelectron spectroscopy experiments are reported and analyzed for all the phases encountered in VO{sub 2} films prepared on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates.

  4. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  5. Chronological change of electrical resistance in GeCu2Te3 amorphous film induced by surface oxidation

    International Nuclear Information System (INIS)

    Saito, Yuta; Shindo, Satoshi; Sutou, Yuji; Koike, Junichi

    2014-01-01

    Unusual chronological electrical resistance change behavior was investigated for amorphous GeCu 2 Te 3 phase change material. More than a 1 order decrease of electrical resistance was observed in the air even at room temperature. The resistance of the amorphous film gradually increased with increasing temperature and then showed a drop upon crystallization. Such unusual behavior was attributed to the oxidation of the amorphous GeCu 2 Te 3 film. From the compositional depth profile measurement, the GeCu 2 Te 3 film without any capping layer was oxidized in air at room temperature and the formed oxide was mainly composed of germanium oxide. Consequently, a highly-conductive Cu-rich layer was formed in the vicinity of the surface of the film, which reduced the total resistance of the film. The present results could provide insight into the chronological change of electrical resistance in amorphous chalcogenide materials, indicating that not only relaxation of the amorphous, but also a large atomic diffusion contributes to the chronological resistance change. (paper)

  6. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  7. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  8. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  9. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  10. A thorough investigation of the progressive reset dynamics in HfO2-based resistive switching structures

    International Nuclear Information System (INIS)

    Lorenzi, P.; Rao, R.; Irrera, F.; Suñé, J.; Miranda, E.

    2015-01-01

    According to previous reports, filamentary electron transport in resistive switching HfO 2 -based metal-insulator-metal structures can be modeled using a diode-like conduction mechanism with a series resistance. Taking the appropriate limits, the model allows simulating the high (HRS) and low (LRS) resistance states of the devices in terms of exponential and linear current-voltage relationships, respectively. In this letter, we show that this simple equivalent circuit approach can be extended to represent the progressive reset transition between the LRS and HRS if a generalized logistic growth model for the pre-exponential diode current factor is considered. In this regard, it is demonstrated here that a Verhulst logistic model does not provide accurate results. The reset dynamics is interpreted as the sequential deactivation of multiple conduction channels spanning the dielectric film. Fitting results for the current-voltage characteristics indicate that the voltage sweep rate only affects the deactivation rate of the filaments without altering the main features of the switching dynamics

  11. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  12. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    Directory of Open Access Journals (Sweden)

    Gabriel González

    2015-01-01

    Full Text Available In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers.

  13. Importance of controlling the Tl-oxide partial pressure throughout the processing of TlBa2CaCu2O7 thin films

    International Nuclear Information System (INIS)

    Siegal, M.P.; Venturini, E.L.; Newcomer, P.P.; Overmyer, D.L.; Dominguez, F.; Dunn, R.

    1995-01-01

    TlBa 2 CaCu 2 O 7 (Tl-1212) superconducting films 5000--6000 A thick have been grown on LaAlO 3 (100) substrates using oxide precursors in a closed two-zone thallination furnace. Tl-1212 films can be grown with transition temperatures ∼100 K, and critical current densities measured by magnetization of J cm (5 K)>10 7 A/cm 2 and J cm (77 K)>10 5 A/cm 2 . Processing conditions, substrate temperatures and Tl-oxide source temperatures are found which result in smooth, nearly phase-pure Tl-1212 films. Variations in the respective temperature ramps of the Tl-oxide zone and the substrate zone can greatly influence resulting film properties such as microstructure, morphology, superconducting transition temperature, and critical current density. copyright 1995 American Institute of Physics

  14. Zero and low coefficient of thermal expansion polycrystalline oxides

    International Nuclear Information System (INIS)

    Skaggs, S.R.

    1977-09-01

    Polycrystalline oxide systems with zero to low coefficient of thermal expansion (CTE) investigated by the author include hafnia-titania and hafnia. The CTE for 30 to 40 mol% TiO 2 in HfO 2 is less than or equal to 1 x 10 -6 / 0 C, while for other compositions in the range 25 to 60 mol% it is approximately 4 x 10 -6 / 0 C. An investigation of the CTE of 99.999% HfO 2 yielded a value of 4.6 x 10 -6 / 0 C from room temperature to 1000 0 C. Correlation with data on HfO 2 by other investigators shows a definite relationship between the CTE and the amount of ZrO 2 present. Data are listed for comparison of the CTE of several other polycrystalline oxides investigated by Holcombe at Oak Ridge

  15. Zero and low coefficient of thermal expansion polycrystalline oxides

    International Nuclear Information System (INIS)

    Skaggs, S.R.

    1977-01-01

    Polycrystalline oxide systems with zero to low coefficient of thermal expansion (CTE) investigated by the author include hafnia-titania and hafnia. The CTE for 30 to 40 mol percent TiO 2 in HfO 2 is less than or equal to 1 x 10 -6 / 0 C, while for other compositions in the range 25 to 60 mol percent approximately 4 x 10 -6 / 0 C. An investigation of the CTE of 99.999 percent HfO 2 yielded a value of 4.6 x 10 -6 / 0 C from room temperature to 1000 0 C. Correlation with data on HfO 2 by other investigators shows a definite relationship between the CTE and the amount of ZrO 2 present. Data are listed for comparison of the CTE of several other polycrystalline oxides investigated by Holcombe at Oak Ridge

  16. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  17. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  18. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  19. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  20. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  1. Lithium insertion in sputtered vanadium oxide film

    DEFF Research Database (Denmark)

    West, K.; Zachau-Christiansen, B.; Skaarup, S.V.

    1992-01-01

    were oxygen deficient compared to V2O5. Films prepared in pure argon were reduced to V(4) or lower. The vanadium oxide films were tested in solid-state lithium cells. Films sputtered in oxygen showed electrochemical properties similar to crystalline V2O5. The main differences are a decreased capacity...

  2. Surface and sub-surface thermal oxidation of thin ruthenium films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Kokke, S.; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low

  3. Spectroscopic and luminescent properties of Co2+ doped tin oxide thin films by spray pyrolysis

    Directory of Open Access Journals (Sweden)

    K. Durga Venkata Prasad

    2016-07-01

    Full Text Available The wide variety of electronic and chemical properties of metal oxides makes them exciting materials for basic research and for technological applications alike. Oxides span a wide range of electrical properties from wide band-gap insulators to metallic and superconducting. Tin oxide belongs to a class of materials called Transparent Conducting Oxides (TCO which constitutes an important component for optoelectronic applications. Co2+ doped tin oxide thin films were prepared by chemical spray pyrolysis synthesis and characterized by powder X-ray diffraction, SEM, TEM, FT-IR, optical, EPR and PL techniques to collect the information about the crystal structure, coordination/local site symmetry of doped Co2+ ions in the host lattice and the luminescent properties of the prepared sample. Powder XRD data revealed that the crystal structure belongs to tetragonal rutile phase and its lattice cell parameters are evaluated. The average crystallite size was estimated to be 26 nm. The morphology of prepared sample was analyzed by using SEM and TEM studies. Functional groups of the prepared sample were observed in the FT-IR spectrum. Optical absorption and EPR studies have shown that on doping, Co2+ ions enter in the host lattice as octahedral site symmetry. PL studies of Co2+ doped SnO2 thin films exhibit blue and yellow emission bands. CIE chromaticity coordinates were also calculated from emission spectrum of Co2+ doped SnO2 thin films.

  4. Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles

    Science.gov (United States)

    Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh

    2017-07-01

    In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.

  5. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  6. Nuclear microanalysis of oxide films on structural steel

    International Nuclear Information System (INIS)

    Istomin, I.V.; Karabash, V.A.; Maisyukov, V.D.; Sosnin, A.N.; Shorin, V.S.

    1989-01-01

    Studies of the behavior of structural materials in nuclear power plants have indicated the important role of oxide films on metals, especially metals of the iron group. The films may be formed as a result of the corrosion of the metal in an aggressive coolant. At the same time, some oxide films have anticorrosive properties and can be produced specially by the introduction of inhibitor-passivators, e.g., molecular oxygen, into the aggressive medium. Experimental data on the film growth rate make it possible to determine the kinetics of the oxidation process, the nature of the diffusion of the main components through the film, and the role of the phase transitions (crystal-chemical transformations) and point defects during the migration of oxygen and metal ions through the oxide. In this study nuclear microanalysis is used to measure the parameters of oxide films formed on 10Cr2Mo and 1Cr18Ni10Ti steels in steam in the temperature range 320-620C. In this method the film parameters in the general analysis of the energy spectra of deuterons back-scattered from iron nuclei and protons in the case of the 16 O(d,p 1 ) 17 O nuclear reaction. With this approach and an initial deuteron energy E o = 0.9 MeV the range of the measurable thickness t of the films is 0.001-1.5 mg/cm 2 . The data obtained not only confirm the high sensitivity of the nuclear microanalysis method but also demonstrate that it can be used for nondestructive quality control of the surface

  7. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  8. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  9. Formation of corrosion-resistant oxide film on uranium

    International Nuclear Information System (INIS)

    Petit, G.S.

    1976-01-01

    A vacuum heat-treatment method was developed for coating metallic uranium with an adherent protective film of uranium oxide. The film is prepared by vacuum heat-treating the metallic uranium at 625 0 C for 1 h while controlling the amount of oxygen being metered into the furnace. Uranium coupons with the protective film were exposed for several hundred hours in a corrosion test bath at 95 0 C and 100 percent RH without corroding. Film thicknesses ranging from 5 to 25 μm (0.0002 to 0.001 in.) were prepared and corrosion tested; the film thickness can be controlled to less than +-2.5 μm (+-0.0001 in.). The oxide film is hard, nonwetting, and very adherent. The resulting surface finish of the metal is equivalent to that of the original finish. The advantages of the oxide films over other protective coatings are given. 12 fig

  10. Thermal transport properties of polycrystalline tin-doped indium oxide films

    International Nuclear Information System (INIS)

    Ashida, Toru; Miyamura, Amica; Oka, Nobuto; Sato, Yasushi; Shigesato, Yuzo; Yagi, Takashi; Taketoshi, Naoyuki; Baba, Tetsuya

    2009-01-01

    Thermal diffusivity of polycrystalline tin-doped indium oxide (ITO) films with a thickness of 200 nm has been characterized quantitatively by subnanosecond laser pulse irradiation and thermoreflectance measurement. ITO films sandwiched by molybdenum (Mo) films were prepared on a fused silica substrate by dc magnetron sputtering using an oxide ceramic ITO target (90 wt %In 2 O 3 and 10 wt %SnO 2 ). The resistivity and carrier density of the ITO films ranged from 2.9x10 -4 to 3.2x10 -3 Ω cm and from 1.9x10 20 to 1.2x10 21 cm -3 , respectively. The thermal diffusivity of the ITO films was (1.5-2.2)x10 -6 m 2 /s, depending on the electrical conductivity. The thermal conductivity carried by free electrons was estimated using the Wiedemann-Franz law. The phonon contribution to the heat transfer in ITO films with various resistivities was found to be almost constant (λ ph =3.95 W/m K), which was about twice that for amorphous indium zinc oxide films

  11. Surface morphology study on chromium oxide growth on Cr films by Nd-YAG laser oxidation process

    International Nuclear Information System (INIS)

    Dong Qizhi; Hu Jiandong; Guo Zuoxing; Lian Jianshe; Chen Jiwei; Chen Bo

    2002-01-01

    Grain sized (60-100 nm) Cr 2 O 3 thin films were prepared on Cr thin film surfaces by Nd-YAG laser photothermal oxidation process. Surface morphology study showed crack-free short plateau-like oxide films formed. Increase of dislocation density after pulsed laser irradiation was found. Thin film external surfaces, grain boundaries and dislocations are main paths of laser surface oxidation. Pinning and sealing of grain boundary was the reason that deeper oxidation did not produce. Grain growth and agglomeration of Cr sub-layer yielded tensile stress on the surface Cr 2 O 3 thin film. It was the reason that short plateau-like surface morphology formed and cracks appeared sometimes. In oxygen annealing at 700 deg. C, grain boundaries were considered not to be pinned at the surface, mixture diffusion was main mechanism in growth of oxide. Compression stress development in whole film led to extrusion of grains that was the reason that multiple appearances such as pyramid-like and nutshell-like morphology formed

  12. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  13. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  14. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  15. Stabilized chromium oxide film

    Science.gov (United States)

    Garwin, Edward L.; Nyaiesh, Ali R.

    1988-01-01

    Stabilized air-oxidized chromium films deposited on high-power klystron ceramic windows and sleeves having a thickness between 20 and 150.ANG. are useful in lowering secondary electron emission yield and in avoiding multipactoring and window failure due to overheating. The ceramic substrate for the film is chosen from alumina, sapphire or beryllium oxide.

  16. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  17. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  18. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  19. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, Chintalapalle V.; Atuchin, Victor V.; Kesler, V. G.; Kochubey, V. A.; Pokrovsky, L. D.; Shutthanandan, V.; Becker, U.; Ewing, Rodney C.

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of argon-oxygen gas mixture under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of Ts and pO2 on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 *C under 62.3% O2 pressure were stoichiometric and polycrystalline MoO3. Films grown at lower pO2 were nonstoichiometric MoOx films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO3 films.

  20. Preparation of Zinc Oxide (ZnO) Thin Film as Transparent Conductive Oxide (TCO) from Zinc Complex Compound on Thin Film Solar Cells: A Study of O2 Effect on Annealing Process

    Science.gov (United States)

    Muslih, E. Y.; Kim, K. H.

    2017-07-01

    Zinc oxide (ZnO) thin film as a transparent conductive oxide (TCO) for thin film solar cell application was successfully prepared through two step preparations which consisted of deposition by spin coating at 2000 rpm for 10 second and followed by annealing at 500 °C for 2 hours under O2 and ambient atmosphere. Zinc acetate dehydrate was used as a precursor which dissolved in ethanol and acetone (1:1 mol) mixture in order to make a zinc complex compound. In this work, we reported the O2 effect, reaction mechanism, structure, morphology, optical and electrical properties. ZnO thin film in this work shows a single phase of wurtzite, with n-type semiconductor and has band gap, carrier concentration, mobility, and resistivity as 3.18 eV, 1.21 × 10-19cm3, 11 cm2/Vs, 2.35 × 10-3 Ωcm respectively which is suitable for TCO at thin film solar cell.

  1. A flexible, high-performance magnetoelectric heterostructure of (001) oriented Pb(Zr0.52Ti0.48)O3 film grown on Ni foil

    Science.gov (United States)

    Palneedi, Haribabu; Yeo, Hong Goo; Hwang, Geon-Tae; Annapureddy, Venkateswarlu; Kim, Jong-Woo; Choi, Jong-Jin; Trolier-McKinstry, Susan; Ryu, Jungho

    2017-09-01

    In this study, a flexible magnetoelectric (ME) heterostructure of PZT/Ni was fabricated by depositing a (001) oriented Pb(Zr0.52Ti0.48)O3 (PZT) film on a thin, flexible Ni foil buffered with LaNiO3/HfO2. Excellent ferroelectric properties and large ME voltage coefficient of 3.2 V/cmṡOe were realized from the PZT/Ni heterostructure. The PZT/Ni composite's high performance was attributed to strong texturing of the PZT film, coupled with the compressive stress in the piezoelectric film. Besides, reduced substrate clamping in the PZT film due to the film on the foil structure and strong interfacial bonding in the PZT/LaNiO3/HfO2/Ni heterostructure could also have contributed to the high ME performance of PZT/Ni.

  2. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  3. Coexistence of different charge states in Ta-doped monoclinic HfO2: Theoretical and experimental approaches

    DEFF Research Database (Denmark)

    Taylor, M.A.; Alonso, R.E.; Errico, L.A.

    2010-01-01

    A combination of experiments and ab initio quantum-mechanical calculations has been applied to examine hyperfine interactions in Ta-doped hafnium dioxide. Although the properties of monoclinic HfO2 have been the subject of several earlier studies, some aspects remain open. In particular, time dif...

  4. Thin film characterization by total reflection x-ray fluorescence

    International Nuclear Information System (INIS)

    Danel, Adrien; Nolot, Emmanuel; Veillerot, Marc; Olivier, Segolene; Decorps, Tifenn; Calvo-Munoz, Maria-Luisa; Hartmann, Jean-Michel; Lhostis, Sandrine; Kohno, Hiroshi; Yamagami, Motoyuki; Geoffroy, Charles

    2008-01-01

    Sensitive and accurate characterization of films thinner than a few nm used in nanoelectronics represents a challenge for many conventional production metrology tools. With capabilities in the 10 10 at/cm 2 , methods usually dedicated to contamination analysis appear promising, especially Total-reflection X-Ray Fluorescence (TXRF). This study shows that under usual configuration for contamination analysis, with incident angle smaller than the critical angle of the substrate, TXRF signal saturation occurs very rapidly for dense films (below 0.5 nm for HfO 2 films on Si wafers using a 9.67 keV excitation at 0.5 deg.). Increasing the incident angle, the range of linear results can be extended, but on the other hand, the TXRF sensitivity is degraded because of a strong increase of the measurement dead time. On HfO 2 films grown on Si wafers, an incident angle of 0.32 deg. corresponding to a dead time of 95% was used to achieve linear analysis up to 2 nm. Composition analysis by TXRF, and especially the detection of minor elements into thin films, requires the use of a specific incident angle to optimize sensitivity. Although quantitative analyses might require specific calibration, this work shows on Co-based films that the ratio between minor elements (W, P, Mo) and Co taking into account their relative sensitivity factors is a good direct reading of the composition

  5. Photoconductivity of oxidized nanostructured PbTe(In) films

    International Nuclear Information System (INIS)

    Dobrovolsky, A A; Ryabova, L I; Khokhlov, D R; Dashevsky, Z M; Kasiyan, V A

    2009-01-01

    Photoconductivity of as-grown and oxidized nanocrystalline PbTe(In) films has been studied in the dc and ac modes at temperatures 4.2–300 K. The electric transport in the films is defined by two mechanisms: conductivity through barriers at grain boundaries and transport along inversion channels at the grain surface. Modification of the transport mechanisms induced by oxidation is considered. Relatively weak oxidation results in an increase in the contribution of grain barriers to conductivity followed by an enhancement of the photoconductivity amplitude. Instead, this contribution drops in the case of deep oxidation resulting in a photoresponse reduction. It is shown that the main mechanism of charge transport in deeply oxidized films at low temperatures is hopping along inversion channels at the grain surface. It is demonstrated that the photoconductive response of nanocrystalline materials may be optimized by variation of the oxidation level, measurement frequency and temperature

  6. Optical and structural properties of FeSe2 thin films obtained by selenization of sprayed amorphous iron oxide films

    International Nuclear Information System (INIS)

    Ouertani, B.; Ouerfelli, J.; Saadoun, M.; Zribi, M.; Rabha, M.Ben; Bessais, B.; Ezzaouia, H.

    2006-01-01

    We report in this work the optical and structural properties of iron diselenide films (FeSe 2 ) obtained by selenization under vacuum of amorphous iron oxide films predeposited by spray pyrolysis. The structure of the FeSe 2 films was investigated by scanning electron microscopy (SEM), microprobe analyses, atomic force microscopy (AFM) and X-ray diffraction (XRD). XRD and micro-probe analyses showed that FeSe 2 as well as FeSe 2-x phases begin to appear at a selenization temperature of 500 deg. C. As the selenization temperature rises, the iron diselenide films become more stoichiometric with a dominance of the FeSe 2 phase. At 550 deg. C, a single FeSe 2 phase having good crystallinity was obtained. At 600 deg. C, two phases were detected: the major one corresponds to Fe 3 O 4 , and the minor one to FeSe 2 . SEM surface views show that FeSe 2 films have granular structure with small spherical crystallites. However, layered and clustered FeSe 2 films were found, respectively, at 550 deg. C and 600 deg. C. Absorption measurements show that iron diselenide films have a direct and an indirect gaps of about 1.03 eV and 0.3 eV, which were suggested to be due to the stoichiometric FeSe 2 phase and to a Fe-rich non-stoichiometric phase, respectively

  7. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  8. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  9. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  10. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, C.V. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)]. E-mail: ramanacv@umich.edu; Atuchin, V.V. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Technical Centre, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kochubey, V.A. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Pokrovsky, L.D. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Shutthanandan, V. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Becker, U. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States); Ewing, R.C. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of an argon-oxygen gas mixture under varying conditions of substrate temperature (T {sub s}) and oxygen partial pressure (pO{sub 2}). The effect of T {sub s} and pO{sub 2} on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy-dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of T {sub s} and pO{sub 2} on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 deg. C under 62.3% O{sub 2} pressure were stoichiometric and polycrystalline MoO{sub 3}. Films grown at lower pO{sub 2} were non-stoichiometric MoO {sub x} films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO{sub 3} films.

  11. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  12. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    Science.gov (United States)

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Preparation, characterization and electrocatalytic behavior of zinc oxide/zinchexacyanoferrate and ruthenium oxide hexacyanoferrate hybrid film-modified electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Chu, H.-W.; Thangamuthu, R. [Department of Chemical Engineering and Biotechnology, National Taipei University of Technology, No. 1, Section 3, Chung-Hsiao East Road, Taipei 106, Taiwan (China); Chen, S.-M. [Department of Chemical Engineering and Biotechnology, National Taipei University of Technology, No. 1, Section 3, Chung-Hsiao East Road, Taipei 106, Taiwan (China)], E-mail: smchen78@ms15.hinet.net

    2008-02-15

    Polynuclear mixed-valent hybrid films of zinc oxide/zinchexacyanoferrate and ruthenium oxide hexacyanoferrate (ZnO/ZnHCF-RuOHCF) have been deposited on electrode surfaces from H{sub 2}SO{sub 4} solution containing Zn(NO{sub 3}){sub 2}, RuCl{sub 3} and K{sub 3}[Fe(CN){sub 6}] by potentiodynamic cycling method. Simultaneous cyclic voltammetry and electrochemical quartz crystal microbalance (EQCM) measurements demonstrate the steady growth of hybrid film. Surface morphology of hybrid film was investigated using scanning electron microscopy (SEM). Energy dispersive spectrometer (EDS) data confirm existence of zinc oxide and ruthenium oxide hexacyanoferrate (RuOHCF) in the hybrid film. The effect of type of monovalent cations on the redox behavior of hybrid film was investigated. In pure supporting electrolyte, electrochemical responses of Ru{sup II/III} redox transition occurring at negative potential region resemble with that of a surface immobilized redox couple. The electrocatalytic activity of ZnO/ZnHCF-RuOHCF hybrid film was investigated towards oxidation of epinephrine, dopamine and L-cysteine, and reduction of S{sub 2}O{sub 8}{sup 2-} and SO{sub 5}{sup 2-} as well as IO{sub 3}{sup -} using cyclic voltammetry and rotating ring disc electrode (RRDE) techniques.

  14. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  15. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    International Nuclear Information System (INIS)

    Panky, Sreedevi; Thandavan, Kavitha; Sivalingam, Durgajanani; Sethuraman, Swaminathan; Krishnan, Uma Maheswari; Jeyaprakash, Beri Gopalakrishnan; Rayappan, John Bosco Balaguru

    2013-01-01

    Nanostructured cerium oxide (CeO 2 ) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO 3 ) 3 ·6H 2 O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO 2 and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film to form the lipase/nano-CeO 2 /TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO 2 /TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO 2 /TCO film and hence the lipase/nano-CeO 2 /TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33–1.98 mM) with a lowest detection limit of 2 μM with sharp response time of 5 s and a shelf life of about 6

  16. The growth and evolution of thin oxide films on delta-plutonium surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Garcia Flores, Harry G [Los Alamos National Laboratory; Pugmire, David L [Los Alamos National Laboratory

    2009-01-01

    The common oxides of plutonium are the dioxide (PuO{sub 2}) and the sesquioxide (Pu{sub 2}O{sub 3}). The structure of an oxide on plutonium metal under air at room temperature is typically described as a thick PuO{sub 2} film at the gas-oxide interface with a thinner PuO{sub 2} film near the oxide-metal substrate interface. In a reducing environment, such as ultra high vacuum, the dioxide (Pu{sup 4+}; O/Pu = 2.0) readily converts to the sesquioxide (Pu{sup 3+}; O/Pu = 1.5) with time. In this work, the growth and evolution of thin plutonium oxide films is studied with x-ray photoelectron spectroscopy (XPS) under varying conditions. The results indicate that, like the dioxide, the sesquioxide is not stable on a very clean metal substrate under reducing conditions, resulting in substoichiometric films (Pu{sub 2}O{sub 3-y}). The Pu{sub 2}O{sub 3-y} films prepared exhibit a variety of stoichiometries (y = 0.2-1) as a function of preparation conditions, highlighting the fact that caution must be exercised when studying plutonium oxide surfaces under these conditions and interpreting resulting data.

  17. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Science.gov (United States)

    Horak, P.; Bejsovec, V.; Vacik, J.; Lavrentiev, V.; Vrnata, M.; Kormunda, M.; Danis, S.

    2016-12-01

    Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C-600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C-600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu2O phase was identified. However, the oxidation at 200 °C led to a more complicated composition - in the depth Cu2O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH)2. A limited amount of Cu2O was also found in samples annealed at 600 °C. The sheet resistance RS of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing RS was measured in the range 2.64 MΩ/□-2.45 GΩ/□. The highest RS values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the 16O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed an increased response to hydrogen at 300 °C, while Au-covered films were more sensitive to methanol vapours at 350 °C.

  18. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  19. Advantages of using amorphous indium zinc oxide films for window layer in Cu(In,Ga)Se{sub 2} solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Warasawa, Moe [Department of Electrical Engineering, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Kaijo, Akira [Idemitsu Kosan Co., Ltd., 1280 Kami-izumi, Sodegaura, 229-0293 (Japan); Sugiyama, Mutsumi, E-mail: mutsumi@rs.noda.tus.ac.jp [Department of Electrical Engineering, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan)

    2012-01-01

    The advantages of using indium zinc oxide (IZO) films instead of conventional Ga-doped zinc oxide (ZnO:Ga) films for Cu(In,Ga)Se{sub 2} (CIGS) solar cells are described. The electrical properties of IZO are independent of film thickness. IZO films have higher mobility (30-40 cm{sup 2}/Vs) and lower resistivity (4-5 Multiplication-Sign 10{sup -4} {Omega} cm) compared to ZnO:Ga films deposited without intentional heating, because the number of grain boundaries in amorphous IZO films is small. The properties of a CIGS solar cell using IZO at the window layer were better than those obtained using a conventional ZnO:Ga at the window layer; moreover, the properties tended to be independent of thickness. These results indicate that use of IZO as a transparent conducting oxide layer is expected to increase the efficiency of CIGS solar cells.

  20. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  1. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  2. Chitosan-graphene oxide films and CO2-dried porous aerogel microspheres: Interfacial interplay and stability.

    Science.gov (United States)

    Frindy, Sana; Primo, Ana; Ennajih, Hamid; El Kacem Qaiss, Abou; Bouhfid, Rachid; Lahcini, Mohamed; Essassi, El Mokhtar; Garcia, Hermenegildo; El Kadib, Abdelkrim

    2017-07-01

    The intimate interplay of chitosan (CS) and graphene oxide (GO) in aqueous acidic solution has been explored to design upon casting, nanostructured "brick-and-mortar" films (CS-GO-f) and by acidic-to-basic pH inversion, porous CO 2 -dried aerogel microspheres (CS-GO-m). Owing to the presence of oxygenated functional groups in GO, good-quality crack-free hybrid films were obtained. Mechanical properties were improved independently of the GO content and it was found that a 20wt% loading affords hybrid film characterized with a Young modulus three times superior to that reached with the same loading of layered clay. The presence of graphene oxide was found to be detrimental for the thermal stability of the polysaccharide at T <350°C, a fact attributed to the well-established decomposition of the oxygenated functional groups of the graphene sheets. Irrespective to the graphene oxide loading, chitosan-graphene oxide mixture preserves the gelation memory of the polysaccharide. Supercritical drying of the resulting soft hydrogels provides macroporous network with surface areas ranging from 226m 2 g -1 to 554m 2 g -1 . XPS and RAMAN analyses evidenced the selective reduction of GO sheets inside of these microspheres, affording the hitherto unknown macroporous chitosan-entangled-reduced graphene oxide (CS-rGO-m) aerogels. Improvement in both hydrothermal stability (under water reflux) and chemical stability (under acidic conditions) have been noticed for chitosan-graphene oxide microspheres with respect to non-modified chitosan and chitosan-clay bio-hybrids, a result rooted in the substantial hydrophobic character imparted by the addition of graphenic material to the polysaccharide skeleton. In essence, this contribution demonstrates that graphene oxide loading do not disturb neither the filmogenicity of chitosan nor its gelation ability and constitutes a promising route for novel chitosan-based functional hybrid materials. Copyright © 2017 Elsevier Ltd. All rights

  3. Electrodeposited porous and amorphous copper oxide film for application in supercapacitor

    Energy Technology Data Exchange (ETDEWEB)

    Patake, V.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Joshi, S.S. [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of); Lokhande, C.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: l_chandrakant@yahoo.com; Joo, Oh-Shim [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: joocat@kist.rre.kr

    2009-03-15

    In present study, the porous amorphous copper oxide thin films have been deposited from alkaline sulphate bath. The cathodic electrodeposition method was employed to deposit copper oxide film at room temperature on stainless steel substrate. Their structural and surface morphological properties were investigated by means of X-ray diffraction (XRD) and scanning electron micrograph (SEM), respectively. To propose this as a new material for possible application in the supercapacitor, its electrochemical properties have been studied in aqueous 1 M Na{sub 2}SO{sub 4} electrolyte using cyclic voltammetry. The structural analysis from XRD pattern showed the formation of amorphous copper oxide film on the substrate. The surface morphological studies from scanning electron micrographs revealed the formation of porous cauliflower-like copper oxide film. The cyclic voltammetric curves showed symmetric nature and increase in capacitance with increase in film thickness. The maximum specific capacitance of 36 F g{sup -1} was exhibited for the 0.6959 mg cm{sup -2} film thickness. This shows that low-cost copper oxide electrode will be a potential application in supercapacitor.

  4. Physical property improvement of IZTO thin films using a hafnia buffer layer

    Science.gov (United States)

    Park, Jong-Chan; Kang, Seong-Jun; Choi, Byeong-Gyun; Yoon, Yung-Sup

    2018-01-01

    Hafnia (HfO2) has excellent mechanical and chemical stability, good transmittance, high dielectric constant, and radiation resistance property; thus, it can prevent impurities from permeating into the depositing films. So, we deposited hafnia films with various thicknesses in the range of 0-60 nm on polyethylene naphthalate (PEN) substrates before depositing indium-zinc-tin oxide (IZTO) thin films on them using RF magnetron sputtering, and their structural, morphological, optical, and electrical properties were evaluated. All IZTO thin films were successfully deposited without cracks or pinholes and had amorphous structures. As the thickness of the hafnia film increased to 30 nm, the overall properties improved; a surface roughness of 2.216 nm, transmittance of 82.59% at 550 nm, resistivity of 5.66 × 10-4 Ω cm, sheet resistance of 23.60 Ω/sq, and figure of merit of 6.26 × 10-3 Ω-1 were realized. These results indicate that the structure and materials studied in this research are suitable for application in flexible transparent electronic devices such as organic light emitting diodes, liquid crystal displays, touch panels, and solar cells.

  5. Electrosprayed Metal Oxide Semiconductor Films for Sensitive and Selective Detection of Hydrogen Sulfide

    Directory of Open Access Journals (Sweden)

    Maryam Siadat

    2009-11-01

    Full Text Available Semiconductor metal oxide films of copper-doped tin oxide (Cu-SnO2, tungsten oxide (WO3 and indium oxide (In2O3 were deposited on a platinum coated alumina substrate employing the electrostatic spray deposition technique (ESD. The morphology studied with scanning electron microscopy (SEM and atomic force microscopy (AFM shows porous homogeneous films comprising uniformly distributed aggregates of nano particles. The X-ray diffraction technique (XRD proves the formation of crystalline phases with no impurities. Besides, the Raman cartographies provided information about the structural homogeneity. Some of the films are highly sensitive to low concentrations of H2S (10 ppm at low operating temperatures (100 and 200 °C and the best response in terms of Rair/Rgas is given by Cu-SnO2 films (2500 followed by WO3 (1200 and In2O3 (75. Moreover, all the films exhibit no cross-sensitivity to other reducing (SO2 or oxidizing (NO2 gases.

  6. Lipase immobilized on nanostructured cerium oxide thin film coated on transparent conducting oxide electrode for butyrin sensing

    Energy Technology Data Exchange (ETDEWEB)

    Panky, Sreedevi; Thandavan, Kavitha [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sivalingam, Durgajanani [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Sethuraman, Swaminathan; Krishnan, Uma Maheswari [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Chemical and Biotechnology, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Jeyaprakash, Beri Gopalakrishnan [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India); Rayappan, John Bosco Balaguru, E-mail: rjbosco@ece.sastra.edu [Centre for Nanotechnology and Advanced Biomaterials (CeNTAB), SASTRA University, Thanjavur 613 401, Tamil Nadu (India); School of Electrical and Electronics Engineering, SASTRA University, Thanjavur 613 401, Tamil Nadu (India)

    2013-01-15

    Nanostructured cerium oxide (CeO{sub 2}) thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique with cerium nitrate salt, Ce(NO{sub 3}){sub 3}{center_dot}6H{sub 2}O as precursor. Fluorine doped cadmium oxide (CdO:F) thin film prepared using spray pyrolysis technique acts as the TCO film and hence the bare electrode. The structural, morphological and elemental characterizations of the films were carried out using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM) and energy dispersive X-ray analysis (EDX) respectively. The diffraction peak positions in XRD confirmed the formation of highly crystalline ceria with cubic structure and FE-SEM images showed uniform adherent films with granular morphology. The band gaps of CeO{sub 2} and TCO were found to be 3.2 eV and 2.6 eV respectively. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film to form the lipase/nano-CeO{sub 2}/TCO bioelectrode. Sensing studies were carried out using cyclic voltammetry and amperometry, with lipase/nano-CeO{sub 2}/TCO as working electrode and tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp response time of 5 s and a shelf life of about 6 weeks. -- Graphical abstract: Nanostructured cerium oxide thin films were deposited on transparent conducting oxide (TCO) substrate using spray pyrolysis technique. Fluorine doped cadmium oxide (CdO:F) thin film acts as the TCO film and hence the working electrode. Lipase enzyme was physisorbed on the surface of CeO{sub 2}/TCO film and hence the lipase/nano-CeO{sub 2}/TCO bioelectrode has been fabricated. Sensing studies were carried out using cyclic voltammetry and amperometry with tributyrin as substrate. The mediator-free biosensor with nanointerface exhibited excellent linearity (0.33-1.98 mM) with a lowest detection limit of 2 {mu}M with sharp

  7. Micro-length anodic porous niobium oxide for lithium-ion thin film battery applications

    International Nuclear Information System (INIS)

    Yoo, Jeong Eun; Park, Jiyoung; Cha, Gihoon; Choi, Jinsub

    2013-01-01

    The anodization of niobium in an aqueous mixture of H 3 PO 4 and HF in the potential range from 2.5 to 30 V for 2 h at 5 °C was performed, demonstrating that anodic porous niobium oxide film with a thickness of up to 2000 nm, including a surface dissolution layer, can be obtained by controlling the applied potential and composition of the electrolytes. Specifically, surface dissolution-free porous niobium oxide film with a thickness of 800 nm can be prepared in a low electrolyte concentration. The surface dissolution is observed when the concentration ratio of HF (wt.%):H 3 PO 4 (M) was more than 2:1. The discontinuous layers in the niobium oxide film were observed when the thickness was higher than 500 nm, which was ascribed to the large volume expansion of the niobium oxide grown from the niobium metal. The anodic porous niobium oxide film was used as the cathode for lithium-ion batteries in the potential range from 1.2 to 3.0 V at a current density of 7.28 × 10 − 6 A cm −2 . The first discharge capacity of ca. 53 μA h cm − 2 was obtained in 800 nm thick niobium oxide without a surface dissolution layer. - Highlights: ► Anodic porous niobium oxide film with a thickness of 2000 nm was obtained. ► Surface dissolution-free porous niobium oxide film was prepared. ► The niobium oxide film was used as the cathode for lithium-ion batteries

  8. Influences of the main anodic electroplating parameters on cerium oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Yang; Yang, Yumeng; Du, Xiaoqing; Chen, Yu [Department of Chemistry, Zhejiang University, Hangzhou 310027, Zhejiang (China); Zhang, Zhao, E-mail: eaglezzy@zjuem.zju.edu.cn [Department of Chemistry, Zhejiang University, Hangzhou 310027, Zhejiang (China); Zhang, Jianqing [Department of Chemistry, Zhejiang University, Hangzhou 310027, Zhejiang (China); State Key Laboratory for Corrosion and Protection of Metals, Shenyang 110016 (China)

    2014-06-01

    Cerium oxide thin films were fabricated onto 316 L stainless steel via a potentiostatically anodic electrodeposition approach in the solutions containing cerium(III) nitrate (0.05 M), ammonia acetate (0.1 M) and ethanol (10% V/V). The electrochemical behaviors and deposition parameters (applied potential, bath temperature, dissolving O{sub 2} and bath pH) have been investigated. Results show that, the electrochemical oxidation of Ce{sup 3+} goes through one electrochemical step, which is under charge transfer control. The optimum applied potential for film deposition is 0.8 V. Bath temperature plays a significant effect on the deposition rate, composition (different colors of the film) and surface morphology of the deposits. Due to the hydrolysis of Ce{sup 3+}, cerous hydroxide is facility to form when the bath temperature is higher than 60 °C. The electroplating bath pH is another key role for the anodic deposition of cerium oxide thin films, and the best bath pH is around 6.20. N{sub 2} or O{sub 2} purged into the bath will result in film porosities and O{sub 2} favors cerium oxide particles and film generation.

  9. Optimum deposition, structure, and properties of tantalum oxide films

    International Nuclear Information System (INIS)

    Lin, Y.C.

    1985-01-01

    Amorphous, ductile, and uniform Ta 2 O 5 films that acted as diffusion barriers were developed by sputter depositing Ta metal on Al single crystals (99.99%) and subsequently anodizing these thin films. The morphology, microstructure, composition and properties were characterized by scanning and transmission electron microscopy, surface and Fourier transform infrared spectroscopy, X-ray diffraction, and fluorescence. Superior corrosion resistance in a water saturated Cl 2 atmosphere was provided by Ta 2 O 5 coating on Al single crystal substrates but not on Al alloys. The strong Ta-O bond, the non-porous nature of the film and good adhesion to the substrate are attributed to the outstanding corrosion resistance of these oxide coatings. Al alloy surfaces are not protected, since the anodic film formed over grain boundaries, processing lines and emergent precipitates is poorly adherent, thus providing loci for corrosion. These problems were eliminated by casting a 400 A layer of tantalum oxyhydroxide polymer from ethanol solution onto Al substrate and curing to a Ta 2 O 5 layer that effectively resisted attack by wet Cl 2 . The mechanical properties of Ta 2 O 5 films on Al alloys were studied at various pH's by in-situ fatigue loading coupled with electrochemical measurements of corrosion potential and corrosion current. These results indicate the fatigue resistance of this oxide film effectively protects the underlying metal from strong HCl solution attack. The very unusual ductility and high corrosion resistance of Ta 2 O 5 films could be related to the graphite-like structure that exists in the amorphous state of this oxide

  10. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  11. Ultraviolet-visible electroluminescence from metal-oxide-semiconductor devices with CeO2 films on silicon

    International Nuclear Information System (INIS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2015-01-01

    We report on ultraviolet-visible (UV-Vis) electroluminescence (EL) from metal-oxide-semiconductor (MOS) devices with the CeO 2 films annealed at low temperatures. At the same injection current, the UV-Vis EL from the MOS device with the 550 °C-annealed CeO 2 film is much stronger than that from the counterpart with the 450 °C-annealed CeO 2 film. This is due to that the 550 °C-annealed CeO 2 film contains more Ce 3+ ions and oxygen vacancies. It is tentatively proposed that the recombination of the electrons in multiple oxygen-vacancy–related energy levels with the holes in Ce 4f 1 energy band pertaining to Ce 3+ ions leads to the UV-Vis EL

  12. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  13. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO{sub 2} nanogranular films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Chao, Jin Yu; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2014-12-15

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO{sub 2} nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics.

  14. Structural and optical properties of electrodeposited molybdenum oxide thin films

    International Nuclear Information System (INIS)

    Patil, R.S.; Uplane, M.D.; Patil, P.S.

    2006-01-01

    Electrosynthesis of Mo(IV) oxide thin films on F-doped SnO 2 conducting glass (10-20/Ω/□) substrates were carried from aqueous alkaline solution of ammonium molybdate at room temperature. The physical characterization of as-deposited films carried by thermogravimetric/differential thermogravimetric analysis (TGA/DTA), infrared spectroscopy and X-ray diffraction (XRD) showed the formation of hydrous and amorphous MoO 2 . Scanning electron microscopy (SEM) revealed a smooth but cracked surface with multi-layered growth. Annealing of these films in dry argon at 450 deg. C for 1 h resulted into polycrystalline MoO 2 with crystallites aligned perpendicular to the substrate. Optical absorption study indicated a direct band gap of 2.83 eV. The band gap variation consistent with Moss rule and band gap narrowing upon crystallization was observed. Structure tailoring of as-deposited thin films by thermal oxidation in ambient air to obtain electrochromic Mo(VI) oxide thin films was exploited for the first time by this novel route. The results of this study will be reported elsewhere

  15. Crystallization and electrical resistivity of Cu2O and CuO obtained by thermal oxidation of Cu thin films on SiO2/Si substrates

    International Nuclear Information System (INIS)

    De Los Santos Valladares, L.; Salinas, D. Hurtado; Dominguez, A. Bustamante; Najarro, D. Acosta; Khondaker, S.I.; Mitrelias, T.; Barnes, C.H.W.; Aguiar, J. Albino; Majima, Y.

    2012-01-01

    In this work, we study the crystallization and electrical resistivity of the formed oxides in a Cu/SiO 2 /Si thin film after thermal oxidation by ex-situ annealing at different temperatures up to 1000 °C. Upon increasing the annealing temperature, from the X ray diffractogram the phase evolution Cu → Cu + Cu 2 O → Cu 2 O → Cu 2 O + CuO → CuO was detected. Pure Cu 2 O films are obtained at 200 °C, whereas uniform CuO films without structural surface defects such as terraces, kinks, porosity or cracks are obtained in the temperature range 300–550 °C. In both oxides, crystallization improves with annealing temperature. A resistivity phase diagram, which is obtained from the current–voltage response, is presented here. The resistivity was expected to increase linearly as a function of the annealing temperature due to evolution of oxides. However, anomalous decreases are observed at different temperatures ranges, this may be related to the improvement of the crystallization and crystallite size when the temperature increases. - Highlights: ► The crystallization and electrical resistivity of oxides in a Cu films are studied. ► In annealing Cu films, the phase evolution Cu + Cu 2 O → Cu 2 O → Cu 2 O + CuO → CuO occurs. ► A resistivity phase diagram, obtained from the current–voltage response, is presented. ► Some decreases in the resistivity may be related to the crystallization.

  16. UV photodissociation spectroscopy of oxidized undecylenic acid films.

    Science.gov (United States)

    Gomez, Anthony L; Park, Jiho; Walser, Maggie L; Lin, Ao; Nizkorodov, Sergey A

    2006-03-16

    Oxidation of thin multilayered films of undecylenic (10-undecenoic) acid by gaseous ozone was investigated using a combination of spectroscopic and mass spectrometric techniques. The UV absorption spectrum of the oxidized undecylenic acid film is significantly red-shifted compared to that of the initial film. Photolysis of the oxidized film in the tropospheric actinic region (lambda > 295 nm) readily produces formaldehyde and formic acid as gas-phase products. Photodissociation action spectra of the oxidized film suggest that organic peroxides are responsible for the observed photochemical activity. The presence of peroxides is confirmed by mass-spectrometric analysis of the oxidized sample and an iodometric test. Significant polymerization resulting from secondary reactions of Criegee radicals during ozonolysis of the film is observed. The data strongly imply the importance of photochemistry in aging of atmospheric organic aerosol particles.

  17. The role of polymer films on the oxidation of magnetite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Letti, C.J. [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil); Paterno, L.G. [Universidade de Brasilia, Instituto de Quimica, 70910-000 Brasilia, DF (Brazil); Pereira-da-Silva, M.A. [Instituto de Fisica de São Carlos, USP, 13560-9700 São Carlos, SP (Brazil); Centro Universitario Central Paulista – UNICEP, 13563-470 São Carlos, SP (Brazil); Morais, P.C. [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil); Soler, M.A.G., E-mail: soler@unb.br [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil)

    2017-02-15

    A detailed investigation about the role of polymer films on the oxidation process of magnetite nanoparticles (∼7 nm diameter), under laser irradiation is performed employing micro Raman spectroscopy. To support this investigation, Fe{sub 3}O{sub 4}-np are synthesized by the co-precipitation method and assembled layer-by-layer with sodium sulfonated polystyrene (PSS). Polymer films (Fe{sub 3}O{sub 4}-np/PSS){sub n} with n=2,3,5,7,10 and 25 bilayers are employed as a model system to study the oxidation process under laser irradiation. Raman data are further processed by principal component analysis. Our findings suggest that PSS protects Fe{sub 3}O{sub 4}-np from oxidation when compared to powder samples, even for the sample with the greater number of bilayers. Further, the oxidation of magnetite to maghemite occurs preferably for thinner films up to 7 bilayers, while the onset for the formation of the hematite phase depends on the laser intensity for thicker films. Water takes part on the oxidation processes of magnetite, the oxidation/phase transformation of Fe{sub 3}O{sub 4}-np is intensified in films with more bilayers, since more water is included in those films. Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films showed to be very efficient to avoid the oxidation process in nanosized magnetite. - Graphical abstract: Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films avoids the oxidation and phase transformation of nanosized magnetite. - Highlights: • (Fe{sub 3}O{sub 4}-np/PSS){sub n} nanofilms, with n=2 up to 25, where layer-by-layer assembled. • The influence of film architecture on the Fe{sub 3}O{sub 4}-np oxidation was investigated through Raman spectroscopy. • Encapsulation of Fe{sub 3}O{sub 4}-np by PSS showed to be very efficient to avoid the Fe{sub 3}O{sub 4}-np oxidation.

  18. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  19. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  20. Nanostructured tin oxide films: Physical synthesis, characterization, and gas sensing properties.

    Science.gov (United States)

    Ingole, S M; Navale, S T; Navale, Y H; Bandgar, D K; Stadler, F J; Mane, R S; Ramgir, N S; Gupta, S K; Aswal, D K; Patil, V B

    2017-05-01

    Nanostructured tin oxide (SnO 2 ) films are synthesized using physical method i.e. thermal evaporation and are further characterized with X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, and atomic force microscopy measurement techniques for confirming its structure and morphology. The chemiresistive properties of SnO 2 films are studied towards different oxidizing and reducing gases where these films have demonstrated considerable selectivity towards oxidizing nitrogen dioxide (NO 2 ) gas with a maximum response of 403% to 100ppm @200°C, and fast response and recovery times of 4s and 210s, respectively, than other test gases. In addition, SnO 2 films are enabling to detect as low as 1ppm NO 2 gas concentration @200°C with 23% response enhancement. Chemiresistive performances of SnO 2 films are carried out in the range of 1-100ppm and reported. Finally, plausible adsorption and desorption reaction mechanism of NO 2 gas molecules with SnO 2 film surface has been thoroughly discussed by means of an impedance spectroscopy analysis. Copyright © 2017 Elsevier Inc. All rights reserved.

  1. Synthesis and luminescent properties of PEO/lanthanide oxide nanoparticle hybrid films

    International Nuclear Information System (INIS)

    Goubard, F.; Vidal, F.; Bazzi, R.; Tillement, O.; Chevrot, C.; Teyssie, D.

    2007-01-01

    In this study, we investigate the optical properties of lanthanide oxide nanoparticles dispersed in poly(ethylene oxide) (PEO) network as thermally stable polymeric films. The aim of this work is both to keep a good optical transparency in the visible domain and to obtain luminescent materials after incorporation of nanoparticles. For this purpose, we develop luminescent nanocrystals of oxides containing terbium ion as a doping element in Gd 2 O 3 . These sub-5-nm lanthanide oxides nanoparticles have been prepared by direct oxide precipitation in high-boiling polyalcohol solutions and characterized by luminescence spectroscopy. PEO/lanthanide oxide nanohybrid films are prepared by radical polymerization of poly(ethylene glycol) methacrylate after introduction of lanthanide oxide particles. As a first result; the obtained films present interesting luminescence properties with a very low lanthanide oxide content (up to 0.29 wt%). Furthermore, these films are still transparent and keep their original mechanical properties. Prior to describe the specific applications to optical use, we report here the dynamic mechanical analysis (DMA), X-ray diffraction (XRD) analysis, transmission electron microscopy (TEM), and luminescent properties of. nanohybrid films

  2. Native oxidation of ultra high purity Cu bulk and thin films

    International Nuclear Information System (INIS)

    Iijima, J.; Lim, J.-W.; Hong, S.-H.; Suzuki, S.; Mimura, K.; Isshiki, M.

    2006-01-01

    The effect of microstructure and purity on the native oxidation of Cu was studied by using angle-resolved X-ray photoelectron spectroscopy (AR-XPS) and spectroscopic ellipsometry (SE). A high quality copper film prepared by ion beam deposition under a substrate bias voltage of -50 V (IBD Cu film at V s = -50 V) showed an oxidation resistance as high as an ultra high purity copper (UHP Cu) bulk, whereas a Cu film deposited without substrate bias voltage (IBD Cu film at V s = 0 V) showed lower oxidation resistance. The growth of Cu 2 O layer on the UHP Cu bulk and both types of the films obeyed in principle a logarithmic rate law. However, the growth of oxide layer on the IBD Cu films at V s = 0 and -50 V deviated upward from the logarithmic rate law after the exposure time of 320 and 800 h, respectively. The deviation from the logarithmic law is due to the formation of CuO on the Cu 2 O layer after a critical time

  3. Electrical and optical properties of zinc oxide: thin films

    International Nuclear Information System (INIS)

    Zuhairusnizam Md Darus; Abdul Jalil Yeop Majlis; Anis Faridah Md Nor; Burhanuddin Kamaluddin

    1992-01-01

    Zinc oxide films have been prepared by high temperature oxidation of thermally evaporated zinc films on glass substrates. The resulting films are characterized using X-ray diffraction, optical absorption and electrical conductivity measurements. These zinc oxide films are very transparent and photoconductive

  4. Effect of O2 plasma immersion on electrical properties and transistor performance of indium gallium zinc oxide thin films

    International Nuclear Information System (INIS)

    Liu, P.; Chen, T.P.; Liu, Z.; Tan, C.S.; Leong, K.C.

    2013-01-01

    Evolution of electrical properties and thin-film transistor characteristics of amorphous indium gallium zinc oxide (IGZO) thin films synthesized by RF sputtering with O 2 plasma immersion has been examined. O 2 plasma immersion results in an enhancement in the Hall mobility and a decrease in the electron concentration; and the transistor performance can be greatly improved by the O 2 plasma immersion. X-ray photoelectron spectroscopy analysis indicates that the effect of O 2 plasma immersion on the electrical properties and the transistor performance can be attributed to the reduction of the oxygen-related defects in the IGZO thin films. - Highlights: • Oxygen plasma immersion effect on indium gallium zinc oxide thin film properties • Oxygen-related defect reduces in the InGaZnO thin film with oxygen plasma immersion. • Increasing oxygen plasma immersion duration on device will decrease the off current. • Oxygen plasma immersion enhances the performance of device

  5. Oxide films in laser additive manufactured Inconel 718

    International Nuclear Information System (INIS)

    Zhang, Y.N.; Cao, X.; Wanjara, P.; Medraj, M.

    2013-01-01

    A continuous-wave 5 kW fiber laser welding system was used in conduction mode to deposit Inconel® alloy 718 (IN718) by employing filler wire on as-serviced IN718 parent material (PM) substrates. The direct laser deposited (DLD) coupons and as-serviced IN718 PM were then evaluated through tensile testing. To understand the failure mechanisms, the tensile fracture surfaces of the as-serviced IN718 PM, DLD and DLD-PM samples were analyzed using scanning electron microscopy. The fracture surfaces revealed the presence of both Al 2 O 3 and Cr 2 O 3 films, although the latter was reasoned to be the main oxide in IN718. Both the experimental observations and thermodynamic analysis indicated that oxidation of some alloying elements in IN718 cannot be completely avoided during manufacturing, whether in the liquid state under vacuum (for casting, the electron beam melting, welding and/or deposition) or with inert gas protection (for welding or laser deposition). The exposed surface of the oxide film on the fracture surface has poor wetting with the metal and thus can constitute a lack of bonding or a crack with either the metal and/or another non-wetted side of the oxide film. On the other hand, the wetted face of the oxide film has good atom-to-atom contact with the metal and may nucleate some intermetallic compounds, such as Laves, Ni 3 Nb-δ, Nb-rich MC and γ′ compounds. The potential of their nucleation on Cr 2 O 3 was assessed using planar disregistry. Coherent planes were found between these intermetallics and Cr 2 O 3

  6. Spark counting technique with an aluminium oxide film

    International Nuclear Information System (INIS)

    Kawai, H.; Koga, T.; Morishima, H.; Niwa, T.; Nishiwaki, Y.

    1980-01-01

    Automatic spark counting of etch-pits on a polycarbonate film produced by nuclear fission fragments is now used for neutron monitoring in several countries. A method was developed using an aluminium oxide film instead of a polycarbonate as the neutron detector. Aluminium oxide films were prepared as follows: A cleaned aluminium plate as an anode and a nickel plate as a cathode were immersed in dilute sulfuric acid solution and electric current flowed between the electrodes at 12degC for 10-30 minutes. Electric current density was about 10 mA/cm 2 . The aluminium plate was then kept in boiling water for 10-30 minutes for sealing. The thickness of the aluminium oxide layer formed was about 1μm. The aluminium plate attached to a plate of suitable fissionable material, such as uranium or thorium, was irradiated with neutrons and set in a usual spark counter for fission track counting. One electrode was the aluminium plate and the other was an aluminized polyester sheet. Sparked pulses were counted with a usual scaler. The advantage of using spark counting with an aluminium oxide film for neutron monitoring is rapid measurement of neutron exposure, since chemical etching which is indispensable for spark counting with a polycarbonate detector film, is not needed. (H.K.)

  7. One-pot hydrothermal synthesis of reduced graphene oxide/Ni(OH)2 films on nickel foam for high performance supercapacitors

    International Nuclear Information System (INIS)

    Min, Shudi; Zhao, Chongjun; Chen, Guorong; Qian, Xiuzhen

    2014-01-01

    Reduced graphene oxide (RGO) on nickel hydroxide (Ni(OH) 2 ) film was synthesized via a green and facile hydrothermal approach. In this process, graphene oxide (GO) was reduced by nickel foam (NF) while the nickel metal was oxidized to Ni(OH) 2 film simultaneously, which resulted in RGO on Ni(OH) 2 structure. The RGO/Ni(OH) 2 composite film was characterized using by X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and field-emission scanning electron microscope (FESEM). The electrochemical performances of the supercapacitor with the as-synthesized RGO/Ni(OH) 2 composite films as electrodes were evaluated using cyclic voltammetry (CV), galvanostatic charge–discharge (GCD), electrochemical impedance spectrometry (EIS) in 1 M KOH aqueous solution. Results indicated that the RGO/Ni(OH) 2 /NF composite electrodes exhibited superior capacitive performance with high capability (2500 mF cm −2 at a current density of 5 mA cm −2 , or 1667 F g −1 at 3.3 A g −1 ), compared with pure Ni(OH) 2 /NF (450 mF cm −2 at 5 mA cm −2 , 409 F g −1 at 3.3 A g −1 ) prepared under the identical conditions. Our study highlights the importance of anchoring RGO films on Ni(OH) 2 surface for maximizing the optimized utilization of electrochemically active Ni(OH) 2 and graphene for energy storage application in supercapacitors

  8. Elucidation of the electrochromic mechanism of nanostructured iron oxides films

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Lobato, M.A.; Martinez, Arturo I.; Castro-Roman, M. [Center for Research and Advanced Studies of the National Polytechnic Institute, Cinvestav Campus Saltillo, Carr. Saltillo-Monterrey Km. 13, Ramos Arizpe, Coah. 25900 (Mexico); Perry, Dale L. [Mail Stop 70A1150, Lawrence Berkeley National Laboratory, University of California, Berkeley, CA 94720 (United States); Zarate, R.A. [Departamento de Fisica, Facultad de Ciencias, Universidad Catolica del Norte, Casilla 1280, Antofagasta (Chile); Escobar-Alarcon, L. (Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico)

    2011-02-15

    Nanostructured hematite thin films were electrochemically cycled in an aqueous solution of LiOH. Through optical, structural, morphological, and magnetic measurements, the coloration mechanism of electrochromic iron oxide thin films was elucidated. The conditions for double or single electrochromic behavior are given in this work. During the electrochemical cycling, it was found that topotactic transformations of hexagonal crystal structures are favored; i.e. {alpha}-Fe{sub 2}O{sub 3} to Fe(OH){sub 2} and subsequently to {delta}-FeOOH. These topotactic redox reactions are responsible for color changes of iron oxide films. (author)

  9. Microstructure and protection characteristics of the naturally formed oxide films on Mg–xZn alloys

    International Nuclear Information System (INIS)

    Song, Yingwei; Han, En-Hou; Dong, Kaihui; Shan, Dayong; Yim, Chang Dong; You, Bong Sun

    2013-01-01

    Highlights: •The oxide films on Mg–xZn alloys consist of similar chemical composition. •The higher Zn content results in the thicker but higher defect of the oxide films. •The oxide films exhibit different protection performance under various potentials. -- Abstract: The naturally formed oxide films on Mg–2Zn and Mg–5Zn alloys were investigated by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and electrochemical measurements. The oxide films on the both alloys present a similar chemical composition, consisting of surface layer of basic magnesium carbonate and MgO following with MgO and ZnO, but the oxide film on Mg–5Zn is thicker and contains more defects. The protection performance of the oxide film on Mg–5Zn is worse under open circuit potential but better in a suitable anodic potential scope compared with that on Mg–2Zn alloy

  10. The Preparation and Property of Graphene /Tin Oxide Transparent Conductive Film

    Directory of Open Access Journals (Sweden)

    SUN Tao

    2017-02-01

    Full Text Available Graphene doped tin oxide composites were prepared with SnCIZ·2HZ 0 and graphene oxide as raw materials with sol-gel method and then spincoated on the quartz glass to manufacture a new transparent conductive film. The composite film was characterized with X-ray diffraction(XRDand scanning electron microscopy(SEM analysis. XRD results show that the graphene oxide was successfully prepared with Hummers method. The graphene layers and particulate SnOZ can be clearly observed in SEM photos. The transmittance and conductivity of the thin films were tested with ultraviolet visible spectrophotometer and Hall effect measurement. The results show that the transmittivity of composite film in visible region is more than 90% and surface square resistance is 41 S2/口.The graphene/ SnOZ film exhibits a higher performance in transparence and conductivity than commercial FTO glass.

  11. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    International Nuclear Information System (INIS)

    Predoi, D.; Ciobanu, C.S.; Radu, M.; Costache, M.; Dinischiotu, A.; Popescu, C.; Axente, E.; Mihailescu, I.N.; Gyorgy, E.

    2012-01-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: ► Hybrid, dextran-iron oxide nanoparticles and thin films. ► Laser immobilization. ► Biocompatibility of dextran-iron oxide nanoparticles.

  12. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  13. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    Science.gov (United States)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  14. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  15. Probing anodic oxidation kinetics and nanoscale heterogeneity within TiO2 films by Conductive Atomic Force Microscopy and combined techniques

    International Nuclear Information System (INIS)

    Diamanti, M.V.; Souier, T.; Stefancich, M.; Chiesa, M.; Pedeferri, M.P.

    2014-01-01

    Graphical abstract: - Highlights: • Nanoscale anodic titanium oxides were investigated with multidisciplinary approach. • Oxide thickness was estimated via spectrophotometry and coulometry. • C-AFM identified nanometric conductivity heterogeneities, ascribed to oxide structure. • High conductivity areas exhibited local memristive behavior. - Abstract: Anodic oxidation of titanium in acid electrolytes allows to obtain a thin, compact oxide layer with thickness, structure, color, and electrical properties that vary with process parameters imposed, among which cell voltage has a key effect. Although oxidation kinetics have been investigated in several research works, a broader vision of oxide properties–including thickness and structure–still has to be achieved, especially in the case of very thin oxide films, few tens of nanometers thick. This is vital for engineered applications of nanostructured TiO 2 films, as in the field of memristive devices, where a precise control of oxide thickness, composition and structure is required to tune its electrical response. In this work, oxide films were produced on titanium with thickness ranging from few nanometers to 200 nm. Oxide thickness was estimated by coulometry and spectrophotometry. These techniques were then combined with C-AFM, which provided a deeper understanding of oxide thickness and uniformity of the metal surface and probed the presence of crystalline nano-domains within the amorphous oxide phase affecting the overall film electrical and optical properties

  16. Optical properties of CeO 2 thin films

    Indian Academy of Sciences (India)

    Cerium oxide (CeO2) thin films have been prepared by electron beam evaporation technique onto glass substrate at a pressure of about 6 × 10-6 Torr. The thickness of CeO2 films ranges from 140–180 nm. The optical properties of cerium oxide films are studied in the wavelength range of 200–850 nm. The film is highly ...

  17. Spark counting technique of alpha tracks on an aluminium oxide film

    International Nuclear Information System (INIS)

    Morishima, Hiroshige; Koga, Taeko; Niwa, Takeo; Kawai, Hiroshi

    1984-01-01

    We have tried to use aluminium oxide film as a neutron detector film with a spark counter for neutron monitoring in the mixed field of neutron and gamma-rays near a reactor. The merits of this method are that (1) aluminium oxide is good electric insulator, (2) any desired thickness of the film can be prepared, (3) chemical etching of the thin film can be dispensed with. The relation between spark counts and numbers of alpha-particles which entered the aluminium oxide film 1 μm thick was linear in the range of 10 5 -10 7 alpha-particles. The sensitivity(ratio of the spark counts to irradiated numbers of alpha-particles) was approximately 10 -3 . (author)

  18. Copper oxide thin films anchored on glass substrate by sol gel spin coating technique

    Science.gov (United States)

    Krishnaprabha, M.; Venu, M. Parvathy; Pattabi, Manjunatha

    2018-05-01

    Owing to the excellent optical, thermal, electrical and photocatalytic properties, copper oxide nanoparticles/films have found applications in optoelectronic devices like solar/photovoltaic cells, lithium ion batteries, gas sensors, catalysts, magnetic storage media etc. Copper oxide is a p-type semiconductor material having a band gap energy varying from 1.2 eV-2.1 eV. Syzygium Samarangense fruit extract was used as reducing agent to synthesize copper oxide nanostructures at room temperature from 10 mM copper sulphate pentahydrate solution. The synthesized nanostructures are deposited onto glass substrate by spin coating followed by annealing the film at 200 °C. Both the copper oxide colloid and films are characterized using UV-Vis spectroscopy, field emission scanning electron microscopy (FESEM) and energy dispersive spectroscopy (EDS) techniques. Presence of 2 peaks at 500 nm and a broad peak centered around 800 nm in the UV-Vis absorbance spectra of copper oxide colloid/films is indicative of the formation of anisotropic copper oxide nanostructures is confirmed by the FESEM images which showed the presence of triangular shaped and rod shaped particles. The rod shaped particles inside island like structures were found in unannealed films whereas the annealed films contained different shaped particles with reduced sizes. The elemental analysis using EDS spectra of copper oxide nanoparticles/films showed the presence of both copper and oxygen. Electrical properties of copper oxide nanoparticles are affected due to quantum size effect. The electrical studies carried out on both unannealed and annealed copper oxide films revealed an increase in resistivity with annealing of the films.

  19. Synthesis and luminescent properties of PEO/lanthanide oxide nanoparticle hybrid films

    Energy Technology Data Exchange (ETDEWEB)

    Goubard, F. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France)]. E-mail: fabrice.goubard@u-cergy.fr; Vidal, F. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France); Bazzi, R. [LPCML, Universite Lyon 1, 43 Bd. du 11 Novembre 1918, 69622 Villeurbanne (France); Tillement, O. [LPCML, Universite Lyon 1, 43 Bd. du 11 Novembre 1918, 69622 Villeurbanne (France); Nano-H, 23 rue Royal, 69001 Lyon (France); Chevrot, C. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France); Teyssie, D. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France)

    2007-10-15

    In this study, we investigate the optical properties of lanthanide oxide nanoparticles dispersed in poly(ethylene oxide) (PEO) network as thermally stable polymeric films. The aim of this work is both to keep a good optical transparency in the visible domain and to obtain luminescent materials after incorporation of nanoparticles. For this purpose, we develop luminescent nanocrystals of oxides containing terbium ion as a doping element in Gd{sub 2}O{sub 3}. These sub-5-nm lanthanide oxides nanoparticles have been prepared by direct oxide precipitation in high-boiling polyalcohol solutions and characterized by luminescence spectroscopy. PEO/lanthanide oxide nanohybrid films are prepared by radical polymerization of poly(ethylene glycol) methacrylate after introduction of lanthanide oxide particles. As a first result; the obtained films present interesting luminescence properties with a very low lanthanide oxide content (up to 0.29 wt%). Furthermore, these films are still transparent and keep their original mechanical properties. Prior to describe the specific applications to optical use, we report here the dynamic mechanical analysis (DMA), X-ray diffraction (XRD) analysis, transmission electron microscopy (TEM), and luminescent properties of. nanohybrid films.

  20. Photocatalytic oxidation of organic compounds via waveguide-supported titanium dioxide films

    Science.gov (United States)

    Miller, Lawrence W.

    A photochemical reactor based on titanium dioxide (TiO2)-coated silica optical fibers was constructed to explore the use of waveguide-supported TiO2 films for photocatalytic oxidation of organic compounds. The reactor was used for the photocatalytic oxidation of 4-chlorophenol in water. It was confirmed that TiO2 films could be securely attached to silica optical fibers. The 4-chlorophenol (100 mumol/L in water) was successfully oxidized on the TiO2 surface when UV light (310 nm--380 nm) was propagated through the fibers to the films. Rates of 4-chlorophenol oxidation and UV light flux to the fibers were measured. The quantum efficiency of 4-chlorophenol oxidation [defined as the change in 4-chlorophenol concentration divided by the UV light absorbed by the catalyst] was determined as a function of TiO2 catalyst film thickness and internal incident angle of propagating UV light. A maximum quantum efficiency of 2.8% was measured when TiO2 film thickness was ca. 80 nm and the maximum internal incident angle of propagating light was 84°. Quantum efficiency increased with increasing internal angle of incidence of propagating light and decreased with TiO2 film thickness. UV-Visible internal reflection spectroscopy was used to determine whether UV light propagated through TiO2-coated silica waveguides in an ATR mode. Propagation of UV light in an ATR mode was confirmed by the similarities between internal reflection spectra of phenolphthalein obtained with uncoated and TiO2-coated silica crystals. Planar silica waveguides coated with TiO2 were employed in a photocatalytic reactor for the oxidation of formic acid (833 mumol/L in water). It was shown that the quantum yield of formic acid oxidation [defined as the moles of formic acid oxidized divided by the moles of UV photons absorbed by the catalyst] on the waveguide-supported TiO2 surface is enhanced when UV light propagates through the waveguides in an ATR mode. A maximum quantum yield of 3.9% was found for formic

  1. Opto-electronic properties of bismuth oxide films presenting different crystallographic phases

    Energy Technology Data Exchange (ETDEWEB)

    Gomez, Celia L. [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Posgrado en Ciencia e Ingeniería de Materiales, UNAM, Unidad de Posgrado, Edificio C, Piso 1, Zona Cultural de CU, México, D.F. 04510 (Mexico); Depablos-Rivera, Osmary, E-mail: osmarydep@yahoo.com [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Posgrado en Ciencia e Ingeniería de Materiales, UNAM, Unidad de Posgrado, Edificio C, Piso 1, Zona Cultural de CU, México, D.F. 04510 (Mexico); Silva-Bermudez, Phaedra [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Instituto Nacional de Rehabilitación, Calz. México Xochimilco No. 289 Col. Arenal de Guadalupe, C.P.14389, Ciudad de México, D.F. (Mexico); Muhl, Stephen [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Zeinert, Andreas; Lejeune, Michael; Charvet, Stephane; Barroy, Pierre [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, 33 rue Saint Leu, 80039 Amiens Cedex 1 (France); Camps, Enrique [Instituto Nacional de Investigaciones Nucleares, Carretera México-Toluca S/N, kilómetro 36.5. La Marquesa, Municipio de Ocoyoacac, CP 52750, Estado de México (Mexico); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico)

    2015-03-02

    The optical, electrical and structural properties of bismuth oxide thin films deposited by radio frequency reactive magnetron sputtering were studied. The Bi{sub 2}O{sub 3} thin films were grown on Si and glass substrates under different power and substrate temperatures in an oxygen-enriched plasma leading to films with different crystalline phase as evidenced by X-ray diffraction and Raman spectroscopy. The optical properties of the films were measured using ellipsometric spectroscopy and optical transmission spectra. In order to parameterize the optical dispersion functions (n, k) of the films, the Tauc–Lorentz dispersion model was used. The optical bandgap was then assessed by different methods and the results are compared to the thermal variations of the electrical resistivity of the films. It was found that the refractive index, extinction coefficient and optical gap strongly depend on the deposition conditions and the crystalline phase; the fluorite defect cubic δ-Bi{sub 2}O{sub 3} phase showed the lowest optical gap and lower resistivity. - Highlights: • Different bismuth oxide phases were obtained by sputtering. • The power and substrate temperature were the two key parameters. • Room temperature delta-Bi{sub 2}O{sub 3} thin films were obtained. • The optical bandgap was around 1.5 and 2.2 eV, depending on the phase. • The bismuth oxide films presented activation energies around 1 eV.

  2. Opto-electronic properties of bismuth oxide films presenting different crystallographic phases

    International Nuclear Information System (INIS)

    Gomez, Celia L.; Depablos-Rivera, Osmary; Silva-Bermudez, Phaedra; Muhl, Stephen; Zeinert, Andreas; Lejeune, Michael; Charvet, Stephane; Barroy, Pierre; Camps, Enrique; Rodil, Sandra E.

    2015-01-01

    The optical, electrical and structural properties of bismuth oxide thin films deposited by radio frequency reactive magnetron sputtering were studied. The Bi 2 O 3 thin films were grown on Si and glass substrates under different power and substrate temperatures in an oxygen-enriched plasma leading to films with different crystalline phase as evidenced by X-ray diffraction and Raman spectroscopy. The optical properties of the films were measured using ellipsometric spectroscopy and optical transmission spectra. In order to parameterize the optical dispersion functions (n, k) of the films, the Tauc–Lorentz dispersion model was used. The optical bandgap was then assessed by different methods and the results are compared to the thermal variations of the electrical resistivity of the films. It was found that the refractive index, extinction coefficient and optical gap strongly depend on the deposition conditions and the crystalline phase; the fluorite defect cubic δ-Bi 2 O 3 phase showed the lowest optical gap and lower resistivity. - Highlights: • Different bismuth oxide phases were obtained by sputtering. • The power and substrate temperature were the two key parameters. • Room temperature delta-Bi 2 O 3 thin films were obtained. • The optical bandgap was around 1.5 and 2.2 eV, depending on the phase. • The bismuth oxide films presented activation energies around 1 eV

  3. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R., E-mail: r.colomaribera@utwente.nl; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-08-07

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO{sub 2} films were found to show Arrhenius behaviour. However, a gradual decrease in diffusion rates was observed with oxide growth, with the activation energy increasing from about 2.1 to 2.4 eV. Further exploration of the Arrhenius pre-exponential factor for diffusion process revealed that oxidation of polycrystalline ruthenium joins the class of materials that obey the Meyer-Neldel rule.

  4. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  5. The role of polymer films on the oxidation of magnetite nanoparticles

    Science.gov (United States)

    Letti, C. J.; Paterno, L. G.; Pereira-da-Silva, M. A.; Morais, P. C.; Soler, M. A. G.

    2017-02-01

    A detailed investigation about the role of polymer films on the oxidation process of magnetite nanoparticles (∼7 nm diameter), under laser irradiation is performed employing micro Raman spectroscopy. To support this investigation, Fe3O4-np are synthesized by the co-precipitation method and assembled layer-by-layer with sodium sulfonated polystyrene (PSS). Polymer films (Fe3O4-np/PSS)n with n=2,3,5,7,10 and 25 bilayers are employed as a model system to study the oxidation process under laser irradiation. Raman data are further processed by principal component analysis. Our findings suggest that PSS protects Fe3O4-np from oxidation when compared to powder samples, even for the sample with the greater number of bilayers. Further, the oxidation of magnetite to maghemite occurs preferably for thinner films up to 7 bilayers, while the onset for the formation of the hematite phase depends on the laser intensity for thicker films. Water takes part on the oxidation processes of magnetite, the oxidation/phase transformation of Fe3O4-np is intensified in films with more bilayers, since more water is included in those films. Encapsulation of Fe3O4-np by PSS in layer-by-layer films showed to be very efficient to avoid the oxidation process in nanosized magnetite.

  6. Ferromagnetic resonance spectroscopy of CoFeZr-Al{sub 2}O{sub 3} granular films containing “FeCo core – oxide shell” nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Kołtunowicz, Tomasz N., E-mail: t.koltunowicz@pollub.pl [Department of Electrical Devices and High Voltage Technology, Lublin University of Technology, Nadbystrzycka 38a, 20-618 Lublin (Poland); Zukowski, Pawel [Department of Electrical Devices and High Voltage Technology, Lublin University of Technology, Nadbystrzycka 38a, 20-618 Lublin (Poland); Sidorenko, Julia [Department of Semiconductors Physics and Nanoelectronics, Belarusian State University, Independence Av. 4, 220030 Minsk (Belarus); Bayev, Vadim; Fedotova, Julia A. [Institute for Nuclear Problems, Belarusian State University, Bobrujskaya Str. 11, 220030 Minsk (Belarus); Opielak, Marek [Institute of Transport, Combustion Engines and Ecology, Lublin University of Technology, Nadbystrzycka 36, 20-618 Lublin (Poland); Marczuk, Andrzej [Department of Transporting and Agricultural Machinery, University of Life Sciences in Lublin, Głeboka 28, 20-612 Lublin (Poland)

    2017-01-01

    Ferromagnetic resonance (FMR) spectroscopy is applied for comparative analysis of granular (CoFeZ){sub x}(Al{sub 2}O{sub 3}){sub 100−x}, (31 at%≤x≤47 at%) films containing pure FeCo-based nanoparticles (NPs) or “FeCo-based core – oxide shell” NPs inside Al{sub 2}O{sub 3} matrix when deposited in oxygen-free or oxygen-containing atmosphere, correspondingly. It is established that g-factor extracted from the FMR spectra of films with core–shell NPs decreases with x below the value g =2.0023 for free electron that is untypical for metallic NPs. This effect is associated with the formation of the interface between ferromagnetic core and antiferromagnetic (ferrimagnetic) oxide shell of NPs. - Highlights: • CoFeZr-Al{sub 2}O{sub 3} granular films containing “FeCo core – oxide shell” nanoparticles. • magnetic anisotropy of (CoFeZr){sub x}(Al{sub 2}O{sub 3}){sub 100−x} films is of an easy plane type. • essential difference in dependence of g-factor on metal content in non- and oxidized film. • non-oxidized samples indicates the reduction of the value of films magnetization.

  7. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  8. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  9. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  10. Rf reactive sputtering of indium-tin-oxide films

    International Nuclear Information System (INIS)

    Tvarozek, V.; Novotny, I.; Harman, R.; Kovac, J.

    1986-01-01

    Films of indium-tin-oxide (ITO) have been deposited by rf reactive diode sputtering of metallic InSn alloy targets, or ceramic ITO targets, in an Ar and Ar+0 2 atmosphere. Electrical as well as optical properties of ITO films were controlled by varying sputtering parameters and by post-deposition heat-treatment in Ar, H 2 , N 2 , H 2 +N 2 ambients. The ITO films exhibited low resistivity approx. 2 x 10 -4 Ω cm, high transmittance approx. 90% in the visible spectral region and high reflectance approx. 80% in the near infra-red region. (author)

  11. Hybrid dextran-iron oxide thin films deposited by laser techniques for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Predoi, D.; Ciobanu, C.S. [National Institute for Physics of Materials, P.O. Box MG 07, Bucharest, Magurele (Romania); Radu, M.; Costache, M.; Dinischiotu, A. [Molecular Biology Center, University of Bucharest, 91-95 Splaiul Independentei, 76201, Bucharest 5 (Romania); Popescu, C.; Axente, E.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Gyorgy, E., E-mail: egyorgy@cin2.es [National Institute for Lasers, Plasma and Radiations Physics, P. O. Box MG 36, 77125 Bucharest (Romania); Consejo Superior de Investigaciones Cientificas, Centre d' Investigacions en Nanociencia i Nanotecnologia (CSIC-CIN2), Campus UAB, 08193 Bellaterra (Spain)

    2012-02-01

    Iron oxide nanoparticles were prepared by chemical co-precipitation method. The nanoparticles were mixed with dextran in distilled water. The obtained solutions were frozen in liquid nitrogen and used as targets during matrix assisted pulsed laser evaporation for the growth of hybrid, iron oxide nanoparticles-dextran thin films. Fourier Transform Infrared Spectroscopy and X-ray diffraction investigations revealed that the obtained films preserve the structure and composition of the initial, non-irradiated iron oxide-dextran composite material. The biocompatibility of the iron oxide-dextran thin films was demonstrated by 3-(4.5 dimethylthiazol-2yl)-2.5-diphenyltetrazolium bromide-based colorimetric assay, using human liver hepatocellular carcinoma cells. - Highlights: Black-Right-Pointing-Pointer Hybrid, dextran-iron oxide nanoparticles and thin films. Black-Right-Pointing-Pointer Laser immobilization. Black-Right-Pointing-Pointer Biocompatibility of dextran-iron oxide nanoparticles.

  12. Impedance measurements on oxide films on aluminium obtained by pulsed tensions

    Energy Technology Data Exchange (ETDEWEB)

    Belmokre, K. [Lab. of Applied Chemical, Dept. of Chemie, Skikda University, BP 26 - 21000 Skikda (Algeria); Azzouz, N. [Dept. of Industrial Chemie, Jijel University Center, 18000 Jijel (Algeria); Hannani, A. [Lab. Electrochem. Corros. Institute of Chemical USTHB Alger (Algeria); Pagetti, J. [Lab. LCMI, Franche-Comte - University UFR Sciences and Technical 16, Gray street - 25030 Besancon Cedex (France)

    2003-01-01

    We have performed this study on oxide films sealed or not in boiling water. The films are first obtained on type 1050 A aluminium substrate by pulsed tensions anodizing technique, in a sulfuric acid solution. Afterwards the, Electrochemical Impedance Spectroscopy (EIS) is employed to appreciate the films behaviour in a neutral solution of 3.5% K{sub 2}SO{sub 4}, in which the interface processes interest only the ageing phenomenon of the oxide films and not their corrosion. We have also attempted a correlation between pulse parameters of anodization and the electrical parameters characterizing these films. The sealing influence on ageing has been studied as well. For all films, ageing is appreciated using impedance diagrams evolution versus time. The results show: - the existence of two capacitive loops confirming the presence of two oxide layers characteristic of oxide films obtained in a sulfuric acid medium. The first loop, at high frequencies, is related to the external porous layer and the second one, at lower frequencies, is related to the internal barrier layer. - the thickness of the barrier layer varies between 25 and 40 nm in relation with the electrical pulse parameters. - the sealing acts favorably against anodic oxide films ageing. (Abstract Copyright [2003], Wiley Periodicals, Inc.)

  13. F2-laser patterning of indium tin oxide (ITO) thin film on glass substrate

    International Nuclear Information System (INIS)

    Xu, M.Y.; Li, J.; Herman, P.R.; Lilge, L.D.

    2006-01-01

    This paper reports the controlled micromachining of 100 nm thick indium tin oxide (ITO) thin films on glass substrates with a vacuum-ultraviolet 157 nm F 2 laser. Partial to complete film removal was observed over a wide fluence window from 0.49 J/cm 2 to an optimized single pulse fluence of 4.5 J/cm 2 for complete film removal. Optical microscopy, atomic force microscopy, and energy dispersive X-ray analysis show little substrate or collateral damage by the laser pulse which conserved the stoichiometry, optical transparency and electrical conductivity of ITO coating adjacent to the trenches. At higher fluence, a parallel micron sized channel can be etched in the glass substrate. The high photon energy and top-hat beam homogenized optical system of the F 2 laser opens new means for direct structuring of electrodes and microchannels in biological microfluidic systems or in optoelectronics. (orig.)

  14. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  15. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  16. A nanogravimmetric investigation of the charging processes on ruthenium oxide thin films and their effect on methanol oxidation

    International Nuclear Information System (INIS)

    Santos, M.C.; Cogo, L.; Tanimoto, S.T.; Calegaro, M.L.; Bulhoes, L.O.S

    2006-01-01

    The charging processes and methanol oxidation that occur during the oxidation-reduction cycles in a ruthenium oxide thin film electrode (deposited by the sol-gel method on Pt covered quartz crystals) were investigated by using cyclic voltammetry, chronoamperometry and electrochemical quartz crystal nanobalance techniques. The ruthenium oxide rutile phase structure was determined by X-ray diffraction analysis. The results obtained during the charging of rutile ruthenium oxide films indicate that in the anodic sweep the transition from Ru(II) to Ru(VI) occurs followed by proton de-intercalation. In the cathodic sweep, electron injection occurs followed by proton intercalation, leading to Ru(II). The proton intercalation/de-intercalation processes can be inferred from the mass/charge relationship which gives a slope close to 1 g mol -1 (multiplied by the Faraday constant) corresponding to the molar mass of hydrogen. From the chronoamperometric measurements, charge and mass saturation of the RuO 2 thin films was observed (440 ng cm -2 ) during the charging processes, which is related to the total number of active sites in these films. Using the electrochemical quartz crystal nanobalance technique to study the methanol oxidation reaction at these films was possible to demonstrate that bulk oxidation occurs without the formation of strongly adsorbed intermediates such as CO ads , demonstrating that Pt electrodes modified by ruthenium oxide particles can be promising catalysts for the methanol oxidation as already shown in the literature

  17. Electrodeposition of Manganese-Nickel Oxide Films on a Graphite Sheet for Electrochemical Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Hae-Min Lee

    2014-01-01

    Full Text Available Manganese-nickel (Mn-Ni oxide films were electrodeposited on a graphite sheet in a bath consisting of manganese acetate and nickel chloride, and the structural, morphological, and electrochemical properties of these films were investigated. The electrodeposited Mn-Ni oxide films had porous structures covered with nanofibers. The X-ray diffractometer pattern revealed the presence of separate manganese oxide (g-MnO2 and nickel oxide (NiO in the films. The electrodeposited Mn-Ni oxide electrode exhibited a specific capacitance of 424 F/g in Na2SO4 electrolyte. This electrode maintained 86% of its initial specific capacitance over 2000 cycles of the charge-discharge operation, showing good cycling stability.

  18. Electrochemistry of hydrous oxide films

    International Nuclear Information System (INIS)

    Burke, L.D.; Lyons, M.E.G.

    1986-01-01

    The formation, acid-base properties, structural aspects, and transport processes of hydrous oxide films are discussed. Classical and nonclassical theoretical models of the oxide-solution interface are compared. Monolayer oxidation, behavior, and crystal growth of oxides on platinum, palladium, gold, iridium, rhodium, ruthenium, and some non-noble metals, including tungsten, are reviewed and compared

  19. Intrinsic stress evolution during amorphous oxide film growth on Al surfaces

    International Nuclear Information System (INIS)

    Flötotto, D.; Wang, Z. M.; Jeurgens, L. P. H.; Mittemeijer, E. J.

    2014-01-01

    The intrinsic stress evolution during formation of ultrathin amorphous oxide films on Al(111) and Al(100) surfaces by thermal oxidation at room temperature was investigated in real-time by in-situ substrate curvature measurements and detailed atomic-scale microstructural analyses. During thickening of the oxide a considerable amount of growth stresses is generated in, remarkably even amorphous, ultrathin Al 2 O 3 films. The surface orientation-dependent stress evolutions during O adsorption on the bare Al surfaces and during subsequent oxide-film growth can be interpreted as a result of (i) adsorption-induced surface stress changes and (ii) competing processes of free volume generation and structural relaxation, respectively

  20. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.

    2014-11-11

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films as the lower layer we built a matrix of bottom-gate Cu2O/SnO bilayer thin-film transistors of different thickness. We found that the thickness of the Cu2O layer is of major importance in oxidation of the SnO layer underneath. The thicker the Cu2O layer, the more the underlying SnO layer is oxidized, and, hence, the more transistor mobility is enhanced at a specific temperature. Both device performance and the annealing temperature required could be adjusted by controlling the thickness of each layer of Cu2O/SnO bilayer thin-film transistors.

  1. Photoconductivity of reduced graphene oxide and graphene oxide composite films

    International Nuclear Information System (INIS)

    Liang, Haifeng; Ren, Wen; Su, Junhong; Cai, Changlong

    2012-01-01

    A photoconductive device was fabricated by patterning magnetron sputtered Pt/Ti electrode and Reduced Graphene Oxide (RGO)/Graphene Oxide (GO) composite films with a sensitive area of 10 × 20 mm 2 . The surface morphology of as-deposited GO films was observed by scanning electronic microscopy, optical microscopy and atomic force microscopy, respectively. The absorption properties and chemical structure of RGO/GO composite films were obtained using a spectrophotometer and an X-ray photoelectron spectroscopy. The photoconductive properties of the system were characterized under white light irradiation with varied output power and biased voltage. The results show that the resistance decreased from 210 kΩ to 11.5 kΩ as the irradiation power increased from 0.0008 mW to 625 mW. The calculated responsiveness of white light reached 0.53 × 10 −3 A/W. Furthermore, the device presents a high photo-conductivity response and displays a photovoltaic response with an open circuit voltage from 0.017 V to 0.014 V with irradiation power. The sources of charge are attributed to efficient excitation dissociation at the interface of the RGO/GO composite film, coupled with cross-surface charge percolation.

  2. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  3. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  4. Sequential sputtered Co-HfO{sub 2} granular films

    Energy Technology Data Exchange (ETDEWEB)

    Chadha, M.; Ng, V.

    2017-03-15

    A systematic study of magnetic, magneto-transport and micro-structural properties of Co-HfO{sub 2} granular films fabricated by sequential sputtering is presented. We demonstrate reduction in ferromagnetic-oxide formation by using HfO{sub 2} as the insulting matrix. Microstructure evaluation of the films showed that the film structure consisted of discrete hcp-Co grains embedded in HfO{sub 2} matrix. Films with varying compositions were prepared and their macroscopic properties were studied. We correlate the variation in these properties to the variation in film microstructure. Our study shows that Co-HfO{sub 2} films with reduced cobalt oxide and varying properties can be prepared using sequential sputtering technique. - Highlights: • Co-HfO{sub 2} granular films were prepared using sequential sputtering. • A reduction in ferromagnetic-oxide formation is observed. • Co-HfO{sub 2} films display superparamagnetism and tunnelling magneto-resistance. • Varying macroscopic properties were achieved by changing film composition. • Applications can be found in moderate MR sensors and high –frequency RF devices.

  5. Prediction of iodide adsorption on oxides by surface complexation modeling with spectroscopic confirmation.

    Science.gov (United States)

    Nagata, Takahiro; Fukushi, Keisuke; Takahashi, Yoshio

    2009-04-15

    A deficiency in environmental iodine can cause a number of health problems. Understanding how iodine is sequestered by materials is helpful for evaluating and developing methods for minimizing human health effects related to iodine. In addition, (129)I is considered to be strategically important for safety assessment of underground radioactive waste disposal. To assess the long-term stability of disposed radioactive waste, an understanding of (129)I adsorption on geologic materials is essential. Therefore, the adsorption of I(-) on naturally occurring oxides is of environmental concern. The surface charges of hydrous ferric oxide (HFO) in NaI electrolyte solutions were measured by potentiometric acid-base titration. The surface charge data were analyzed by means of an extended triple-layer model (ETLM) for surface complexation modeling to obtain the I(-) adsorption reaction and its equilibrium constant. The adsorption of I(-) was determined to be an outer-sphere process from ETLM analysis, which was consistent with independent X-ray absorption near-edge structure (XANES) observation of I(-) adsorbed on HFO. The adsorption equilibrium constants for I(-) on beta-TiO(2) and gamma-Al(2)O(3) were also evaluated by analyzing the surface charge data of these oxides in NaI solution as reported in the literature. Comparison of these adsorption equilibrium constants for HFO, beta-TiO(2), and gamma-Al(2)O(3) based on site-occupancy standard states permitted prediction of I(-) adsorption equilibrium constants for all oxides by means of the Born solvation theory. The batch adsorption data for I(-) on HFO and amorphous aluminum oxide were reasonably reproduced by ETLM with the predicted equilibrium constants, confirming the validity of the present approach. Using the predicted adsorption equilibrium constants, we calculated distribution coefficient (K(d)) values for I(-) adsorption on common soil minerals as a function of pH and ionic strength.

  6. Room temperature inorganic polycondensation of oxide (Cu2O and ZnO) nanoparticles and thin films preparation by the dip-coating technique

    International Nuclear Information System (INIS)

    Salek, G.; Tenailleau, C.; Dufour, P.; Guillemet-Fritsch, S.

    2015-01-01

    Oxide thin solid films were prepared by dip-coating into colloidal dispersions of oxide nanoparticles stabilized at room temperature without the use of chelating or complex organic dispersing agents. Crystalline oxide nanoparticles were obtained by inorganic polycondensation and characterized by X-ray diffraction and field emission gun scanning electron microscopy. Water and ethanol synthesis and solution stabilization of oxide nanoparticle method was optimized to prepare two different structural and compositional materials, namely Cu 2 O and ZnO. The influence of hydrodynamic parameters over the particle shape and size is discussed. Spherical and rod shape nanoparticles were formed for Cu 2 O and ZnO, respectively. Isoelectric point values of 7.5 and 8.2 were determined for cuprous and zinc oxides, respectively, after zeta potential measurements. A shear thinning and thixotropic behavior was observed in both colloidal sols after peptization at pH ~ 6 with dilute nitric acid. Every colloidal dispersion stabilized in a low cost and environmentally friendly azeotrope solution composed of 96 vol.% of ethanol with water was used for the thin film preparation by the dip-coating technique. Optical properties of the light absorber cuprous oxide and transparent zinc oxide thin solid films were characterized by means of transmittance and reflectance measurements (300–1100 nm). - Highlights: • Room temperature inorganic polycondensation of crystalline oxides • Water and ethanol synthesis and solution stabilization of oxide nanoparticles • Low cost method for thin solid film preparation

  7. Hybrid manganese oxide films for supercapacitor application prepared by sol-gel technique

    International Nuclear Information System (INIS)

    Chen, Chin-Yi; Wang, Sheng-Chang; Tien, Yue-Han; Tsai, Wen-Ta; Lin, Chung-Kwei

    2009-01-01

    Hybrid films were prepared by adding various concentrations of meso-carbon microbeads (MCMB) during sol-gel processing of manganese oxide films. The heat-treated films were characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD). In addition, electrochemical performance of the MCMB-added Mn-oxide hybrid coatings was evaluated by cyclic voltammetry (CV) and compared with its unadded counterpart. Experimental results showed that Mn-oxide films exhibited a mixture of Mn 2 O 3 and Mn 3 O 4 phases. The higher the heat-treatment temperature, the more Mn 2 O 3 can be observed. The specific capacitance of the unadded Mn-oxide electrodes is 209 F/g. Because the MCMB particles provide more interfacial surface area for electrochemical reactions, a significant improvement can be noticed by adding MCMB in Mn-oxide coatings. The 300 o C heat-treated hybrid Mn-oxide coating with a Mn/MCMB ratio of 10/1 exhibits the highest value of 350 F/g, showing a ∼ 170% increase in specific capacitance.

  8. Critical Parameters and Critical-Region (p,ρ ,T) Data of trans-1,1,1,3-Tetrafluorobut-2-ene [HFO-1354mzy(E)

    Science.gov (United States)

    Kimura, Takeru; Kayukawa, Yohei; Miyamoto, Hiroyuki; Saito, Kiyoshi

    2017-08-01

    This study presents the experimental measurement of the pρ T properties and critical parameters of a low GWP type refrigerant, trans-1,1,1,3-Tetrafluorobut-2-ene (HFO-1354mzy(E)). The sample purity of the substance was 99 area %. p ρ T property measurements and visual observations of the meniscus of HFO-1354mzy(E) were carried out using a metal-bellows volumometer with an optical cell. The critical temperature was determined by observation of the critical opalescence. The critical pressure and critical density were determined as the inflection point of the isothermal p ρ T property data at the critical temperature. For more precise clarification of the thermodynamic surface in the vicinity of the critical point, additional p ρ T property measurements were carried out on three isotherms in the supercritical region. The expanded uncertainties (k = 2) in the temperature, pressure, and density measurements were estimated to be less than 3 mK, 1.2 kPa, and 0.32 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. The expanded uncertainties of the critical parameters were estimated to be less than 13 mK, 1.4 kPa, and 2.3 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. These values are the first reported for HFO-1354mzy(E) and are necessary for the development of its equation of state in the near future.

  9. Investigation of structural, morphological and electrical properties of APCVD vanadium oxide thin films

    International Nuclear Information System (INIS)

    Papadimitropoulos, Georgios; Trantalidis, Stelios; Tsiatouras, Athanasios; Vasilopoulou, Maria; Davazoglou, Dimitrios; Kostis, Ioannis

    2015-01-01

    Vanadium oxide films were chemically vapor deposited (CVD) on oxidized Si substrates covered with CVD tungsten (W) thin films and on glass substrates covered with indium tin oxide (ITO) films, using vanadium(V) oxy-tri-isopropoxide (C 9 H 21 O 4 V) vapors. X-ray diffraction (XRD) measurements showed that the deposited films were composed of a mixture of vanadium oxides; the composition was determined mainly by the deposition temperature and less by the precursor temperature. At temperatures up to 450 C the films were mostly composed by monoclinic VO 2 . Other peaks corresponding to various vanadium oxides were also observed. X-ray microanalysis confirmed the composition of the films. The surface morphology was studied with atomic force microscopy (AFM) and scanning electron microscopy (SEM). These measurements revealed that the morphology strongly depends on the used substrate and the deposition conditions. The well-known metal-insulator transition was observed near 75 C for films mostly composed by monoclinic VO 2 . Films deposited at 450 C exhibited two transitions one near 50 C and the other near 60 C possibly related to the presence of other vanadium phases or of important stresses in them. Finally, the vanadium oxide thin films exhibited significant sensory capabilities decreasing their resistance in the presence of hydrogen gas with response times in the order of a few seconds and working temperature at 40 C. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. The effect of hydrogen peroxide on uranium oxide films on 316L stainless steel

    Science.gov (United States)

    Wilbraham, Richard J.; Boxall, Colin; Goddard, David T.; Taylor, Robin J.; Woodbury, Simon E.

    2015-09-01

    For the first time the effect of hydrogen peroxide on the dissolution of electrodeposited uranium oxide films on 316L stainless steel planchets (acting as simulant uranium-contaminated metal surfaces) has been studied. Analysis of the H2O2-mediated film dissolution processes via open circuit potentiometry, alpha counting and SEM/EDX imaging has shown that in near-neutral solutions of pH 6.1 and at [H2O2] ⩽ 100 μmol dm-3 the electrodeposited uranium oxide layer is freely dissolving, the associated rate of film dissolution being significantly increased over leaching of similar films in pH 6.1 peroxide-free water. At H2O2 concentrations between 1 mmol dm-3 and 0.1 mol dm-3, formation of an insoluble studtite product layer occurs at the surface of the uranium oxide film. In analogy to corrosion processes on common metal substrates such as steel, the studtite layer effectively passivates the underlying uranium oxide layer against subsequent dissolution. Finally, at [H2O2] > 0.1 mol dm-3 the uranium oxide film, again in analogy to common corrosion processes, behaves as if in a transpassive state and begins to dissolve. This transition from passive to transpassive behaviour in the effect of peroxide concentration on UO2 films has not hitherto been observed or explored, either in terms of corrosion processes or otherwise. Through consideration of thermodynamic solubility product and complex formation constant data, we attribute the transition to the formation of soluble uranyl-peroxide complexes under mildly alkaline, high [H2O2] conditions - a conclusion that has implications for the design of both acid minimal, metal ion oxidant-free decontamination strategies with low secondary waste arisings, and single step processes for spent nuclear fuel dissolution such as the Carbonate-based Oxidative Leaching (COL) process.

  11. Anodic luminescence, structural, photoluminescent, and photocatalytic properties of anodic oxide films grown on niobium in phosphoric acid

    Energy Technology Data Exchange (ETDEWEB)

    Stojadinović, Stevan, E-mail: sstevan@ff.bg.ac.rs [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia); Tadić, Nenad [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia); Radić, Nenad [University of Belgrade, Institute of Chemistry, Technology and Metallurgy, Department of Catalysis and Chemical Engineering, Njegoševa 12, 11000 Belgrade (Serbia); Stefanov, Plamen [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Block 11, 1113 Sofia (Bulgaria); Grbić, Boško [University of Belgrade, Institute of Chemistry, Technology and Metallurgy, Department of Catalysis and Chemical Engineering, Njegoševa 12, 11000 Belgrade (Serbia); Vasilić, Rastko [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia)

    2015-11-15

    Graphical abstract: - Highlights: • Anodic luminescence is correlated to the existence of morphological defects in the oxide. • Spectrum under spark discharging reveals only oxygen and hydrogen lines. • Oxide films formed under spark discharging are crystallized and composed of Nb{sub 2}O{sub 5}. • Photocatalytic activity and photoluminescence of Nb{sub 2}O{sub 5} films increase with time. - Abstract: This article reports on properties of oxide films obtained by anodization of niobium in phosphoric acid before and after the dielectric breakdown. Weak anodic luminescence of barrier oxide films formed during the anodization of niobium is correlated to the existence of morphological defects in the oxide layer. Small sized sparks generated by dielectric breakdown of formed oxide film cause rapid increase of luminescence intensity. The luminescence spectrum of obtained films on niobium under spark discharging is composed of continuum radiation and spectral lines caused by electronic spark discharging transitions in oxygen and hydrogen atoms. Oxide films formed before the breakdown are amorphous, while after the breakdown oxide films are partly crystalline and mainly composed of Nb{sub 2}O{sub 5} hexagonal phase. The photocatalytic activity of obtained oxide films after the breakdown was investigated by monitoring the degradation of methyl orange. Increase of the photocatalytic activity with time is related to an increase of oxygen vacancy defects in oxide films formed during the process. Also, higher concentration of oxygen vacancy defects in oxide films results in higher photoluminescence intensity.

  12. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  13. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  14. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  15. Preparation of Porous F-WO3/TiO2 Films with Visible-Light Photocatalytic Activity by Microarc Oxidation

    OpenAIRE

    Yeh, Chung-Wei; Wu, Kee-Rong; Hung, Chung-Hsuang; Chang, Hao-Cheng; Hsu, Chuan-Jen

    2012-01-01

    Porous F-WO3/TiO2 (mTiO2) films are prepared on titanium sheet substrates using microarc oxidation (MAO) technique. The X-ray diffraction patterns show that visible-light (Vis) enabling mTiO2 films with a very high content of anatase TiO2 and high loading of WO3 are successfully synthesized at a low applied voltage of 300 V using electrolyte contenting NaF and Na2WO4 without subsequent heat treatment. The cross-sectional transmission electron microscopy micrograph reveals that the mTiO2 films...

  16. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  17. Oxidation phase growth diagram of vanadium oxides film fabricated by rapid thermal annealing

    Institute of Scientific and Technical Information of China (English)

    Tamura KOZO; Zheng-cao LI; Yu-quan WANG; Jie NI; Yin HU; Zheng-jun ZHANG

    2009-01-01

    Thermal evaporation deposited vanadium oxide films were annealed in air by rapid thermal annealing (RTP). By adjusting the annealing temperature and time, a series of vanadium oxide films with various oxidation phases and surface morphologies were fabricated, and an oxidation phase growth diagram was established. It was observed that different oxidation phases appear at a limited and continuous annealing condition range, and the morphologic changes are related to the oxidation process.

  18. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  19. The disclosed transformation of pre-sputtered Ti films into nanoparticles via controlled thermal oxidation

    Science.gov (United States)

    Awad, M. A.; Raaif, M.

    2018-05-01

    Nanoparticles of TiO2 were successfully prepared from pre-sputtered Ti films using the controlled thermal oxidation. The effect of oxidation temperature on structural, morphological and optical properties in addition to photocatalysis activity of the sputtered films was tested and explained. Analysis of XRD and EDAX elucidated the enhancement in crystallization and oxygen content with the increase of oxidation temperature. SEM depicted the formation of very fine nanoparticles with no specific border on the films oxidized at 550 and 600 °C, whilst crystallites with larger size of approximately from 16 to 23 nm have been observed for the film oxidized at 650 °C. Both optical transmission and refractive index were increased with increasing the oxidation temperature. A red shift in the absorption edge was obtained for the films oxidized at 650 °C compared to that oxidized at 600 °C. The photocatalysis tests demonstrated the priority of 600 °C nanoparticle films to decompose methyl orange (MO) more than 650 °C treated film.

  20. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  1. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  2. Electrical memory features of ferromagnetic CoFeAlSi nano-particles embedded in metal-oxide-semiconductor matrix

    International Nuclear Information System (INIS)

    Lee, Ja Bin; Kim, Ki Woong; Lee, Jun Seok; An, Gwang Guk; Hong, Jin Pyo

    2011-01-01

    Half-metallic Heusler material Co 2 FeAl 0.5 Si 0.5 (CFAS) nano-particles (NPs) embedded in metal-oxide-semiconductor (MOS) structures with thin HfO 2 tunneling and MgO control oxides were investigated. The CFAS NPs were prepared by rapid thermal annealing. The formation of well-controlled CFAS NPs on thin HfO 2 tunneling oxide was confirmed by atomic force microscopy (AFM). Memory characteristics of CFAS NPs in MOS devices exhibited a large memory window of 4.65 V, as well as good retention and endurance times of 10 5 cycles and 10 9 s, respectively, demonstrating the potential of CFAS NPs as promising candidates for use in charge storage.

  3. Selenization of mixed metal oxides for dense and ZnSe-free Cu{sub 2}ZnSnSe{sub 4} absorber films

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Yitao; Chen, Guilin; Pan, Bin; Li, JianMin; Jiang, Guoshun; Liu, Weifeng, E-mail: liuwf@ustc.edu.cn; Zhu, Changfei, E-mail: cfzhu@ustc.edu.cn

    2014-04-05

    Highlights: • ZnSe-free CZTSe films with large grains was prepared from mixed oxides nanopraticles. • Appearance of Zn{sub 2}SnO{sub 4} in mixed oxides precursors leads to the absence of ZnSe secondary phrase. • To obtain pure CZTSe phase, different treating temperature was used. -- Abstract: Cu{sub 2}ZnSnSe{sub 4} (CZTSe) films were prepared by direct selenization of Cu{sub 2}O, SnO{sub 2} and Zn{sub 2}SnO{sub 4} precursors. Oxides precursors were prepared by baking hydroxides precipitation. In order to obtain ZnSe-free CZTSe films, Zn{sub 2}SnO{sub 4} was used to replace separated ZnO and SnO{sub 2} as one of the precursors. Through X-ray diffraction (XRD), scanning electron microscopy (SEM), it was found that CZTSe films, with micron-sized dense grains, were obtained in our work. From Raman spectra, it was also found that the ZnSe secondary phase was absent after the selenization. An energy bandgap about 0.86 eV was obtained in our work, which confirmed the Stannite-CZTSe structure.

  4. SPH based modelling of oxide and oxide film formation in gravity die castings

    International Nuclear Information System (INIS)

    Ellingsen, K; M'Hamdi, M; Coudert, T

    2015-01-01

    Gravity die casting is an important casting process which has the capability of making complicated, high-integrity components for e.g. the automotive industry. Oxides and oxide films formed during filling affect the cast product quality. The Smoothed particle hydrodynamics (SPH) method is particularly suited to follow complex flows. The SPH method has been used to study filling of a gravity die including the formation and transport of oxides and oxide films for two different filling velocities. A low inlet velocity leads to a higher amount of oxides and oxide films in the casting. The study demonstrates the usefulness of the SPH method for an increased understanding of the effect of different filling procedures on the cast quality. (paper)

  5. In-Ga-Zn-oxide thin-film transistors with Sb2TeOx gate insulators fabricated by reactive sputtering using a metallic Sb2Te target

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok

    2011-01-01

    Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .

  6. Mechanical properties of bioplastics cassava starch film with Zinc Oxide nanofiller as reinforcement

    Science.gov (United States)

    Harunsyah; Yunus, M.; Fauzan, Reza

    2017-06-01

    This study focuses on investigating the influence of zinc oxide nanofiller on the mechanical properties of bioplastic cassava starch films. Bioplastic cassava starch film-based zinc oxide reinforced composite biopolymeric films were prepared by casting technique. The content of zinc oxide in the bioplastic films was varied from 0.2%, 0.4%, 0.6%, 0.8% and 1.0% (w/w) by weight of starch. Surface morphologies of the composites bioplastic films were examined by scanning electron microscope (SEM).The result showed that the Tensile strength (TS) was improved significantly with the additional of zinc oxide but the elongation at break (EB %) of the composites was decreased. The maximum tensile strength obtained was 22.30 kgf / mm on the additional of zinc oxide by 0.6% and plastilizer by 25%. Based on data of FTIR, the produced film plastic did not change the group function and it can be concluded that theinteraction in film plastic produced was only a physical interaction. Biodegradable plastic film based on cassava starch-zinc oxide and plasticizer glycerol showed that interesting mechanical properties being transparent, clear, homogeneous, flexible, and easily handled.

  7. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    Science.gov (United States)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  8. Crystallization and electrical resistivity of Cu{sub 2}O and CuO obtained by thermal oxidation of Cu thin films on SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    De Los Santos Valladares, L., E-mail: ld301@cam.ac.uk [Cavendish Laboratory, University of Cambridge, J.J Thomson Av., Cambridge CB3 0HE (United Kingdom); Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); Departamento de Fisica, Universidade Federal de Pernambuco, 50670-901, Recife-Pe (Brazil); Salinas, D. Hurtado [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); Laboratorio de Ceramicos y Nanomateriales, Facultad de Ciencias Fisicas, Universidad Nacional Mayor de San Marcos, Ap. Postal 14-0149, Lima (Peru); Dominguez, A. Bustamante [Laboratorio de Ceramicos y Nanomateriales, Facultad de Ciencias Fisicas, Universidad Nacional Mayor de San Marcos, Ap. Postal 14-0149, Lima (Peru); Najarro, D. Acosta [Instituto de Fisica, Departamento de Materia Condensada, Universidad Nacional Autonoma de Mexico, Ap. Postal 20-364, CP 01000 (Mexico); Khondaker, S.I. [NanoScience Technology Centre and Department of Physics, University of Central Florida, Orlando, FL 32826 (United States); Mitrelias, T.; Barnes, C.H.W. [Cavendish Laboratory, University of Cambridge, J.J Thomson Av., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Departamento de Fisica, Universidade Federal de Pernambuco, 50670-901, Recife-Pe (Brazil); Majima, Y. [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan); CREST, Japan Science and Technology Agency (JST), 4259 Nagatsuta-cho, Midori-ku, Yokohama 226-8503 (Japan)

    2012-08-01

    In this work, we study the crystallization and electrical resistivity of the formed oxides in a Cu/SiO{sub 2}/Si thin film after thermal oxidation by ex-situ annealing at different temperatures up to 1000 Degree-Sign C. Upon increasing the annealing temperature, from the X ray diffractogram the phase evolution Cu {yields} Cu + Cu{sub 2}O {yields} Cu{sub 2}O {yields} Cu{sub 2}O + CuO {yields} CuO was detected. Pure Cu{sub 2}O films are obtained at 200 Degree-Sign C, whereas uniform CuO films without structural surface defects such as terraces, kinks, porosity or cracks are obtained in the temperature range 300-550 Degree-Sign C. In both oxides, crystallization improves with annealing temperature. A resistivity phase diagram, which is obtained from the current-voltage response, is presented here. The resistivity was expected to increase linearly as a function of the annealing temperature due to evolution of oxides. However, anomalous decreases are observed at different temperatures ranges, this may be related to the improvement of the crystallization and crystallite size when the temperature increases. - Highlights: Black-Right-Pointing-Pointer The crystallization and electrical resistivity of oxides in a Cu films are studied. Black-Right-Pointing-Pointer In annealing Cu films, the phase evolution Cu + Cu{sub 2}O {yields} Cu{sub 2}O {yields} Cu{sub 2}O + CuO {yields} CuO occurs. Black-Right-Pointing-Pointer A resistivity phase diagram, obtained from the current-voltage response, is presented. Black-Right-Pointing-Pointer Some decreases in the resistivity may be related to the crystallization.

  9. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  10. DC sputter deposition of amorphous indium-gallium-zinc-oxide (a-IGZO) films with H2O introduction

    International Nuclear Information System (INIS)

    Aoi, Takafumi; Oka, Nobuto; Sato, Yasushi; Hayashi, Ryo; Kumomi, Hideya; Shigesato, Yuzo

    2010-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) films were deposited by dc magnetron sputtering with H 2 O introduction and how the H 2 O partial pressure (P H 2 O ) during the deposition affects the electrical properties of the films was investigated in detail. Resistivity of the a-IGZO films increased dramatically to over 2 x 10 5 Ωcm with increasing P H 2 O to 2.7 x 10 -2 Pa while the hydrogen concentration in the films increased to 2.0 x 10 21 cm -3 . TFTs using a-IGZO channels deposited under P H 2 O at 1.6-8.6 x 10 -2 Pa exhibited a field-effect mobility of 1.4-3.0 cm 2 /Vs, subthreshold swing of 1.0-1.6 V/decade and on-off current ratio of 3.9 x 10 7 -1.0 x 10 8 .

  11. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  12. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  13. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  14. Interface Engineering with MoS2 -Pd Nanoparticles Hybrid Structure for a Low Voltage Resistive Switching Memory.

    Science.gov (United States)

    Wang, Xue-Feng; Tian, He; Zhao, Hai-Ming; Zhang, Tian-Yu; Mao, Wei-Quan; Qiao, Yan-Cong; Pang, Yu; Li, Yu-Xing; Yang, Yi; Ren, Tian-Ling

    2018-01-01

    Metal oxide-based resistive random access memory (RRAM) has attracted a lot of attention for its scalability, temperature robustness, and potential to achieve machine learning. However, a thick oxide layer results in relatively high program voltage while a thin one causes large leakage current and a small window. Owing to these fundamental limitations, by optimizing the oxide layer itself a novel interface engineering idea is proposed to reduce the programming voltage, increase the uniformity and on/off ratio. According to this idea, a molybdenum disulfide (MoS 2 )-palladium nanoparticles hybrid structure is used to engineer the oxide/electrode interface of hafnium oxide (HfO x )-based RRAM. Through its interface engineering, the set voltage can be greatly lowered (from -3.5 to -0.8 V) with better uniformity under a relatively thick HfO x layer (≈15 nm), and a 30 times improvement of the memory window can be obtained. Moreover, due to the atomic thickness of MoS 2 film and high transmittance of ITO, the proposed RRAM exhibits high transparency in visible light. As the proposed interface-engineering RRAM exhibits good transparency, low SET voltage, and a large resistive switching window, it has huge potential in data storage in transparent circuits and wearable electronics with relatively low supply voltage. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  16. A Numerical Study of Spray Characteristics in Medium Speed Engine Fueled by Different HFO/n-Butanol Blends

    Directory of Open Access Journals (Sweden)

    Hashem Nowruzi

    2014-01-01

    Full Text Available In the present study, nonreacting and nonevaporating spray characteristics of heavy fuel oil (HFO/n-butanol blends are numerically investigated under two different high pressure injections in medium speed engines. An Eulerian-Lagrangian multiphase scheme is used to simulate blend of C14H30 as HFO and 0%, 10%, 15%, and 20% by volume of n-butanol. OpenFOAM CFD toolbox is modified and implemented to study the effect of different blends of HFO/n-butanol on the spray characteristics at 600 and 1000 bar. To validate the presented simulations, current numerical results are compared against existing experimental data and good compliance is achieved. Based on the numerical findings, addition of n-butanol to HFO increases the particles volume in parcels at 600 bar. It was also found that blend fuels increase the number of spray particles and the average velocity of spray compared to pure HFO. Moreover, under injection pressure of 1000 bar, HFO/n-butanol blends compared to pure HFO fuel decrease particles volume in parcels of spray. Another influence of HFO/n-butanol blends is the decrease in average of particles diameter in parcels. Meanwhile, the effect of HFO/n-butanol on spray length is proved to be negligible. Finally, it can be concluded that higher injection pressure improves the spray efficiency.

  17. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  18. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    Science.gov (United States)

    Georgieva, V.; Aleksandrova, M.; Stefanov, P.; Grechnikov, A.; Gadjanova, V.; Dilova, T.; Angelov, Ts

    2014-12-01

    A study of NO2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO2 concentrations. The QCM-ITO system becomes sensitive at NO2 concentration >= 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO2 concentration. When the NO2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO2 in the air at room temperature.

  19. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    International Nuclear Information System (INIS)

    Georgieva, V; Gadjanova, V; Angelov, Ts; Aleksandrova, M; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Stefanov, P; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Dilova, T; Grechnikov, A

    2014-01-01

    A study of NO 2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO 2 concentrations. The QCM-ITO system becomes sensitive at NO 2 concentration ≥ 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO 2 concentration. When the NO 2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO 2 in the air at room temperature

  20. In situ micro Raman spectroscopy for characterization of oxide film formed on the new surface and for measurements of the stress of oxide film formed on 304L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Kai, A.; Takegoshi, M.; Shoji, T. [Fracture Research Inst., Tohoku Univ., Sendai (Japan)

    2004-07-01

    Environmentally assisted cracking (EAC) consists of two distinct events viz., i) crack initiation and ii) crack propagation. On a smooth surface, the EAC initiates by the rupture or by the degradation of the surface film due to the combined action of stress and an electrochemical reaction of the materials with the environment. The mechanical properties of the surface oxide films are also important considerations when determining the susceptibility to EAC. In this research, Micro Raman Spectroscopy (MRS) was applied for in-situ oxides characterization and for in-situ measurements of the stress in oxide film formed on the surface of 304L stainless steel during the scratching electrode and the slow strain rate test (SSRT), respectively. The passive oxide film growth formed on the bare surface was continuously monitored by MRS as a function of time. For stress measurements, Cr{sub 2}O{sub 3} was focused on and raman shift at Cr{sub 2}O{sub 3} peak of Raman spectrum was measured continuously. The strain rate was 8.2 x 10{sup -7}/sec. In the initial stage of SSRT, the Raman shift of surface film decreased gradually with strain. At 5% strain, the Raman shift of surface film increased rapidly to around the initial value of Raman shift. It is considered that the surface film was ruptured at this time. At 5% strain, the shift value of Raman peak of Cr{sub 2}O{sub 3} reached to 5 cm{sup -1}. This value (5 cm{sup -1}) corresponds to 1.2 GPa which value of tensile stress is calculated from reference data. These characteristics of oxide film will be implemented into the theoretical formulation of EAC and their implication to EAC growth rate will be discussed. (orig.)

  1. Magneto-transport properties of oriented Mn{sub 2}CoAl films sputtered on thermally oxidized Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xu, G. Z.; Du, Y.; Zhang, X. M.; Liu, E. K.; Wang, W. H., E-mail: wenhong.wang@iphy.ac.cn; Wu, G. H. [State Key Laboratory for Magnetism, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Zhang, H. G. [College of Materials Science and Engineering, Beijing University of Technology, Beijing 100124 (China)

    2014-06-16

    Spin gapless semiconductors are interesting family of materials by embracing both magnetism and semiconducting due to their unique band structure. Its potential application in future spintronics requires realization in thin film form. In this Letter, we report fabrication and transport properties of spin gapless Mn{sub 2}CoAl films prepared on thermally oxidized Si substrates by magnetron sputtering deposition. The films deposited at 673 K are well oriented to (001) direction and display a uniform-crystalline surface. Magnetotransport measurements on the oriented films reveal a semiconducting-like resistivity, small anomalous Hall conductivity, and linear magnetoresistance representative of the transport signatures of spin gapless semiconductors. The magnetic properties of the films have also been investigated and compared to that of bulk Mn{sub 2}CoAl, showing small discrepancy induced by the composition deviation.

  2. HFO operation with CR injection

    Energy Technology Data Exchange (ETDEWEB)

    Poensgen, Christian [MAN-Diesel und Turbo SE, Augsburg (Germany)

    2011-07-01

    In 1996 MAN Diesel and Turbo SE started the development of a CR-system for medium speed engines for HFO operation up to fuel viscosity of 700 cSt. 2004 the first field test engine, a 7L 32/40 GenSet was put into service as a retrofit and collected up to now more than 20.000 running hours operated on HFO on a large container vessel. Meanwhile several L32/40 CR GenSets, L32/44 CR, V48/60 CR and L21/31 CR engines collected more than 100000 running hours in HFO operation before MAN Diesel started up the serial production of the new 32/44 CR and 48/60 CR engines. All of these engines are still in service. The paper will give an overview about the field experience and countermeasures which were necessary to develop a reliable product which fulfills the customers' demands concerning low fuel oil consumption, invisible smoke over the whole load range, low emission levels and maintenance costs. The experience was made in a wide range of applications such as GenSet, Cruise Vessel main propulsion and ferry main propulsion running 24h/day. The field test engines reached an availability of more than 90% per year. The paper also will point out the win/win situation for the the manufacturer and customer to participate in the development of the CR technology. For customers satisfaction MAN Diesel provides help for easy handling like online access per satellite connection, easy leakage detection and operator training at site or at the new built academies. The flexibility of the CR-system is the base frame for the future development of engines which fulfills IMO TIER II and IMO TIER III with high efficiency. The necessary reliability, a must, has been proven in the field under real conditions. (orig.)

  3. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  4. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  5. Study of film graphene/graphene oxide obtained by partial reduction chemical of oxide graphite

    International Nuclear Information System (INIS)

    Gascho, J.L.S.; Costa, S.F.; Hoepfner, J.C.; Pezzin, S.H.

    2014-01-01

    This study investigated the morphology of graphene/graphene oxide film obtained by partial chemical reduction of graphite oxide (OG) as well as its resistance to solvents. Films of graphene/graphene oxide are great candidates for replacement of indium oxide doped with tin (ITO) in photoelectric devices. The OG was obtained from natural graphite, by Hummer's method modified, and its reduction is made by using sodium borohydride. Infrared spectroscopy analysis of Fourier transform (FTIR), Xray diffraction (XRD) and scanning electron microscopy, high-resolution (SEM/FEG) for the characterization of graphene/graphene oxide film obtained were performed. This film proved to be resilient, not dispersing in any of the various tested solvents (such as ethanol, acetone and THF), even under tip sonication, this resistance being an important property for the applications. Furthermore, the film had a morphology similar to that obtained by other preparation methods.(author)

  6. Synthesis and electrical characterization of Graphene Oxide films

    International Nuclear Information System (INIS)

    Yasin, Muhammad; Tauqeer, T.; Zaidi, Syed M.H.; San, Sait E.; Mahmood, Asad; Köse, Muhammet E.; Canimkurbey, Betul; Okutan, Mustafa

    2015-01-01

    In this work, we have synthesized Graphene Oxide (GO) using modified Hummers method and investigated its electrical properties using parallel plate impedance spectroscopic technique. Graphene Oxide films were prepared using drop casting method on Indium Tin Oxide (ITO) coated glass substrate. Atomic force microscopy was used to characterize the films' microstructure and surface topography. Electrical characterization was carried out using LCR meter in frequency regime (100 Hz to 10 MHz) at different temperatures. AC conductivity σ ac of the films was observed to be varied with angular frequency, ω as ω S , with S < 1. The electrical properties of GO were found to be both frequency and temperature dependent. Analysis showed that GO film contains direct current (DC) and Correlated Barrier Hopping (CBH) conductivity mechanisms at low and high frequency ranges, respectively. Photon absorption and transmittance capability in the visible range and excellent electrical parameters of solution processed Graphene Oxide suggest its suitability for the realization of low cost flexible organic solar cells and organic Thin Film Transistors, respectively. - Highlights: • Synthesize and electrical characterization of Graphene Oxide (GO) Film was undertaken. • Temperature dependent impedance spectroscopy was used for electrical analysis. • AFM was used to characterize films' microstructure and surface topography. • Electrical parameters were found to vary with both temperature and frequency. • GO showed DC and CBH conductivity mechanisms at low and high frequency, respectively

  7. Stable organic thin-film transistors

    Science.gov (United States)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; Park, Youngrak; Kippelen, Bernard

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperature over time periods up to 5.9 × 105 s do not vary monotonically and remain below 0.2 V in microcrystalline OTFTs (μc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies. PMID:29340301

  8. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films.

    Science.gov (United States)

    Sun, Ke; Saadi, Fadl H; Lichterman, Michael F; Hale, William G; Wang, Hsin-Ping; Zhou, Xinghao; Plymale, Noah T; Omelchenko, Stefan T; He, Jr-Hau; Papadantonakis, Kimberly M; Brunschwig, Bruce S; Lewis, Nathan S

    2015-03-24

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g).

  9. Room temperature inorganic polycondensation of oxide (Cu{sub 2}O and ZnO) nanoparticles and thin films preparation by the dip-coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Salek, G.; Tenailleau, C., E-mail: tenailleau@chimie.ups-tlse.fr; Dufour, P.; Guillemet-Fritsch, S.

    2015-08-31

    Oxide thin solid films were prepared by dip-coating into colloidal dispersions of oxide nanoparticles stabilized at room temperature without the use of chelating or complex organic dispersing agents. Crystalline oxide nanoparticles were obtained by inorganic polycondensation and characterized by X-ray diffraction and field emission gun scanning electron microscopy. Water and ethanol synthesis and solution stabilization of oxide nanoparticle method was optimized to prepare two different structural and compositional materials, namely Cu{sub 2}O and ZnO. The influence of hydrodynamic parameters over the particle shape and size is discussed. Spherical and rod shape nanoparticles were formed for Cu{sub 2}O and ZnO, respectively. Isoelectric point values of 7.5 and 8.2 were determined for cuprous and zinc oxides, respectively, after zeta potential measurements. A shear thinning and thixotropic behavior was observed in both colloidal sols after peptization at pH ~ 6 with dilute nitric acid. Every colloidal dispersion stabilized in a low cost and environmentally friendly azeotrope solution composed of 96 vol.% of ethanol with water was used for the thin film preparation by the dip-coating technique. Optical properties of the light absorber cuprous oxide and transparent zinc oxide thin solid films were characterized by means of transmittance and reflectance measurements (300–1100 nm). - Highlights: • Room temperature inorganic polycondensation of crystalline oxides • Water and ethanol synthesis and solution stabilization of oxide nanoparticles • Low cost method for thin solid film preparation.

  10. Influence of annealing on texture properties of cerium oxide thin films

    International Nuclear Information System (INIS)

    Arunkumar, P.; Suresh Babu, K.; Ramaseshan, R.; Dash, S.

    2013-01-01

    Future power demand needs an energy source with higher efficiency, better power density, clean energy and fuel flexibility. Solid oxide fuel cell (SOFC) is one of the potential sources for future needs. Though the polymer and direct methanol based electrolyte are much suitable, for versatile applications (portable devices) they are having major challenges such as design, platinum based catalyst, lower power density and fuel flexibility (free from hydrocarbons). However, in SOFC the high operating temperature is the only major issue. Operating temperature of SOFC could be reduced by proper selection of electrolyte material which should have minimum ionic conductivity of 0.1 Scm -1 at reduced activation energy. This can be achieved by thin film based doped cerium oxide electrolyte for SOFC, leads to Intermediate Temperature Solid Oxide Fuel Cell (ITSOFC). In the present work, we focus on the synthesis of cerium oxide and 20 mol % samarium doped cerium oxide (SDC) nanoparticles by co-precipitation method and to synthesis thin films of the same. Pellets of those powders were heat treated at different temperatures and used as targets for e-beam evaporation to fabricate thin film based electrolyte. Stoichiometry of both powders and thin films were confirmed by XRF and EPMA. GIXRD profiles of ceria and SDC thin films are shown below and a preferred orientation effect is observed in SDC films. In SDC films the X-ray peaks have a shift towards lower angles, due to the difference in ionic radii of Ce 4+ and Sm 3+ . The band gap of CeO 2 (2.88 eV) from optical absorption technique indicates the presence of Ce 3+ with Ce 4+ , indirectly shows the concentration of oxygen vacancies which is required for the thin film electrolyte

  11. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  12. Properties of Co-deposited indium tin oxide and zinc oxide films using a bipolar pulse power supply and a dual magnetron sputter source

    International Nuclear Information System (INIS)

    Hwang, Man-Soo; Seob Jeong, Heui; Kim, Won Mok; Seo, Yong Woon

    2003-01-01

    Multilayer coatings consisting of metal layers sandwiched between transparent conducting oxide layers are widely used for flat panel display electrodes and electromagnetic shield coatings for plasma displays, due to their high electrical conductivity and light transmittance. The electrical and optical properties of these multilayer films depend largely on the surface characteristics of the transparent conducting oxide thin films. A smoother surface on the transparent conducting oxide thin films makes it easier for the metal layer to form a continuous film, thus resulting in a higher conductivity and visible light transmittance. Indium tin oxide (ITO) and zinc oxide (ZnO) films were co-deposited using a dual magnetron sputter and a bipolar pulse power supply to decrease the surface roughness of the transparent conducting oxide films. The symmetric pulse mode of the power supply was used to simultaneously sputter an In 2 O 3 (90 wt %) : SnO 2 (10 wt %) target and a ZnO target. We varied the duty of the pulses to control the ratio of ITO : ZnO in the thin films. The electrical and optical properties of the films were studied, and special attention was paid to the surface roughness and the crystallinity of the films. By co-depositing ITO and ZnO at a pulse duty ratio of ITO:ZnO=45:45 using a dual magnetron sputter and a bipolar pulse power supply, we were able to obtain amorphous transparent conducting oxide films with a very smooth surface which had a Zn-rich buffer layer under a In-rich surface layer. All of the films exhibited typical electrical and optical properties of transparent conducting oxide films deposited at room temperature

  13. On the mechanical effects of a nanocrystallisation treatment for ZrO2 oxide films growing on a zirconium alloy

    International Nuclear Information System (INIS)

    Panicaud, B.; Grosseau-Poussard, J.-L.; Retraint, D.; Guérain, M.; Li, L.

    2013-01-01

    Highlights: ► Raman spectroscopy is performed to determine the stress evolution in a Zr/ZrO 2 system. ► Analytical relations are used to determine material characteristics. ► A specific modelling of the mechanical fields within the oxide is done. ► Relaxation and growth parameters are identified from an inverse method. - Abstract: In the present work, mechanical features are investigated in the case of ZrO 2 thermal oxide films growing on a Zr alloy at the temperature of 550 °C. The effects of a nanocrystallisation treatment on high temperature oxidation of a zirconium alloy are specifically studied. High temperature oxidation is performed in order to show benefits of such a nanocrystallisation on corrosion resistance and its influence on the mechanical fields. Experimental results obtained by Raman spectroscopy give the growth stress evolution in ZrO 2 films. Using a modelling of the system, both asymptotic forms and an optimization procedure are developed to determine the mechanical characteristic parameters of the system.

  14. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  15. Dietary bioavailability of Cu adsorbed to colloidal hydrous ferric oxide

    Science.gov (United States)

    Cain, Daniel J.; Croteau, Marie-Noële; Fuller, Christopher C.

    2013-01-01

    The dietary bioavailability of copper (Cu) adsorbed to synthetic colloidal hydrous ferric oxide (HFO) was evaluated from the assimilation of 65Cu by two benthic grazers, a gastropod and a larval mayfly. HFO was synthesized, labeled with 65Cu to achieve a Cu/Fe ratio comparable to that determined in naturally formed HFO, and then aged. The labeled colloids were mixed with a food source (the diatom Nitzschia palea) to yield dietary 65Cu concentrations ranging from 211 to 2204 nmol/g (dry weight). Animals were pulse fed the contaminated diet and assimilation of 65Cu from HFO was determined following 1–3 days of depuration. Mass transfer of 65Cu from HFO to the diatom was less than 1%, indicating that HFO was the source of 65Cu to the grazers. Estimates of assimilation efficiency indicated that the majority of Cu ingested as HFO was assimilated (values >70%), implying that colloidal HFO potentially represents a source of dietary Cu to benthic grazers, especially where there is active formation and infiltration of these particles into benthic substrates.

  16. Properties of two-dimensional insulators: A DFT study of bimetallic oxide CrW{sub 2}O{sub 9} clusters adsorption on MgO ultrathin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jia, E-mail: jia_zhu@jxnu.edu.cn [College of Chemistry and Chemical Engineering, Jiangxi Normal University, Nanchang, Jiangxi 330022 (China); Zhang, Hui; Zhao, Ling; Xiong, Wei [College of Chemistry and Chemical Engineering, Jiangxi Normal University, Nanchang, Jiangxi 330022 (China); Huang, Xin; Wang, Bin [Department of Chemistry, Fuzhou University, Fuzhou, Fujian, 350108 (China); Zhang, Yongfan, E-mail: zhangyf@fzu.edu.cn [Department of Chemistry, Fuzhou University, Fuzhou, Fujian, 350108 (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou, Fujian, 350002 (China)

    2016-08-30

    Highlights: • Completely different properties of CrW{sub 2}O{sub 9} on films compared with that on surface. • The first example of CT by electron tunneling from film to bimetallic oxide cluster. • A progressive Lewis acid site, better catalytic activities for adsorbed CrW{sub 2}O{sub 9}. - Abstract: Periodic density functional theory calculations have been performed to study the electronic properties of bimetallic oxide CrW{sub 2}O{sub 9} clusters adsorbed on MgO/Ag(001) ultrathin films (<1 nm). Our results show that after deposition completely different structures, electronic properties and chemical reactivity of dispersed CrW{sub 2}O{sub 9} clusters on ultrathin films are observed compared with that on the thick MgO surface. On the thick MgO(001) surface, adsorbed CrW{sub 2}O{sub 9} clusters are distorted significantly and just a little electron transfer occurs from oxide surface to clusters, which originates from the formation of adsorption dative bonds at interface. Whereas on the MgO/Ag(001) ultrathin films, the resulting CrW{sub 2}O{sub 9} clusters keep the cyclic structures and the geometries are similar to that of gas-phase [CrW{sub 2}O{sub 9}]{sup −}. Interestingly, we predicted the occurrence of a net transfer of one electron by direct electron tunneling from the MgO/Ag(001) films to CrW{sub 2}O{sub 9} clusters through the thin MgO dielectric barrier. Furthermore, our work reveals a progressive Lewis acid site where spin density preferentially localizes around the Cr atom not the W atoms for CrW{sub 2}O{sub 9}/MgO/Ag(001) system, indicating a potentially good bimetallic oxide for better catalytic activities with respect to that of pure W{sub 3}O{sub 9} clusters. As a consequence, present results reveal that the adsorption of bimetallic oxide CrW{sub 2}O{sub 9} clusters on the MgO/Ag(001) ultrathin films provide a new perspective to tune and modify the properties and chemical reactivity of bimetallic oxide adsorbates as a function of the thickness

  17. Swelling of a mesostructured zirconium oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Henderson, M.J. [Research School of Chemistry, Australian National University, Canberra, ACT 0200 (Australia); Rennie, A.R. [Uppsala University, Studsvik Neutron Research Laboratory, S-611 82 Nykoeping (Sweden); Hawley, A.M. [Research School of Chemistry, Australian National University, Canberra, ACT 0200 (Australia); White, J.W. [Research School of Chemistry, Australian National University, Canberra, ACT 0200 (Australia)]. E-mail: jww@rsc.anu.edu.au

    2006-11-15

    The structural changes that cause the change in interlayer spacing of a surfactant-templated zirconium oxide film have been studied using neutron diffractometry. We report that the film after drying on a glass substrate swells slightly through the addition of benzene by up to 4 A on a lattice parameter of about 36 A. The (0 0 1) and (0 0 2) diffraction peak widths, positions and areas of a swollen film were monitored as a function of benzene desorption. Disorder of the lamellar mesophase is considered as a cause of the observed effects on the diffraction signals.

  18. Tungsten oxide thin films obtained by anodisation in low electrolyte concentration

    Energy Technology Data Exchange (ETDEWEB)

    Costa, Nadja B.D. da [Centro de Ciências Químicas, Farmacêuticas e de Alimentos, Universidade Federal de Pelotas, Campus Capão do Leão, s/n, Pelotas, RS (Brazil); Pazinato, Julia C.O. [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Sombrio, Guilherme; Pereira, Marcelo B.; Boudinov, Henri [Instituto de Física, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Gündel, André; Moreira, Eduardo C. [Universidade Federal do Pampa, Travessa 45, 1650 Bagé, RS (Brazil); Garcia, Irene T.S., E-mail: irene.garcia@ufrgs.br [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil)

    2015-03-02

    Tungsten oxide nanostructured films were grown on tungsten substrates by anodisation under a fixed voltage and with sodium fluoride as electrolyte. The effect of the anion chloride and the influence of the modifying agent disodium hydrogen phosphate in the tungsten oxide films were also investigated. The structural characterisation of the films was performed by scanning electron microscopy, atomic force microscopy and Raman spectroscopy. The band gap was determined through diffuse reflectance spectroscopy. The thin films were photoluminescent and emitted in the range of 300 to 630 nm when irradiated at 266 nm. The synthesised films efficiently degraded of methyl orange dye in the presence of hydrogen peroxide and 250 nm radiation. The modifying agent was responsible for the improvement of the photocatalytic activity. Films with similar photocatalytic performance were obtained when the system sodium fluoride and disodium hydrogen phosphate were replaced by sodium chloride. The porous structure and low band gap values were responsible for the photocatalytic behaviour. - Highlights: • Tungsten oxide thin films were obtained by anodisation of tungsten in aqueous media. • The performance of the NaCl, NaF and NaF/Na{sub 2}HPO{sub 4} as electrolytes was investigated. • The relation between structure and optical behaviour has been discussed. • Films obtained with NaCl and NaF/Na{sub 2}HPO{sub 4} present similar photocatalytic activity.

  19. Vanadium oxide thin films and fibers obtained by acetylacetonate sol–gel method

    Energy Technology Data Exchange (ETDEWEB)

    Berezina, O.; Kirienko, D. [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Pergament, A., E-mail: aperg@psu.karelia.ru [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Stefanovich, G.; Velichko, A. [Department of Physical Engineering, Petrozavodsk State University, 185910 Petrozavodsk (Russian Federation); Zlomanov, V. [Department of Chemistry, Moscow State University, 119991 Moscow (Russian Federation)

    2015-01-01

    Vanadium oxide films and fibers have been fabricated by the acetylacetonate sol–gel method followed by annealing in wet nitrogen. The samples are characterized by X-ray diffraction and electrical conductivity measurements. The effects of a sol aging, the precursor decomposition and the gas atmosphere composition on the annealing process, structure and properties of the films are discussed. The two-stage temperature regime of annealing of amorphous films in wet nitrogen for formation of the well crystallized VO{sub 2} phase is chosen: 1) 25–550 °C and 2) 550–600 °C. The obtained films demonstrate the metal–insulator transition and electrical switching. Also, the effect of the polyvinylpyrrolidone additive concentration and electrospinning parameters on qualitative (absence of defects and gel drops) and quantitative (length and diameter) characteristics of vanadium oxide fibers is studied. - Highlights: • Vanadium oxide thin films and fibers are synthesized by sol–gel method. • The effect of annealing, atmosphere, time and electrospinning parameters is studied. • Produced VO{sub 2} structures exhibit metal–insulator transition and electrical switching.

  20. Films based on oxidized starch and cellulose from barley.

    Science.gov (United States)

    El Halal, Shanise Lisie Mello; Colussi, Rosana; Deon, Vinícius Gonçalves; Pinto, Vânia Zanella; Villanova, Franciene Almeida; Carreño, Neftali Lenin Villarreal; Dias, Alvaro Renato Guerra; Zavareze, Elessandra da Rosa

    2015-11-20

    Starch and cellulose fibers were isolated from grains and the husk from barley, respectively. Biodegradable films of native starch or oxidized starches and glycerol with different concentrations of cellulose fibers (0%, 10% and 20%) were prepared. The films were characterized by morphological, mechanical, barrier, and thermal properties. Cellulose fibers isolated from the barley husk were obtained with 75% purity and high crystallinity. The morphology of the films of the oxidized starches, regardless of the fiber addition, was more homogeneous as compared to the film of the native starch. The addition of cellulose fibers in the films increased the tensile strength and decreased elongation. The water vapor permeability of the film of oxidized starch with 20% of cellulose fibers was lower than the without fibers. However the films with cellulose fibers had the highest decomposition with the initial temperature and thermal stability. The oxidized starch and cellulose fibers from barley have a good potential for use in packaging. The addition of cellulose fibers in starch films can contribute to the development of films more resistant that can be applied in food systems to maintain its integrity. Copyright © 2015 Elsevier Ltd. All rights reserved.

  1. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  2. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  3. Enhanced photovoltaic performance of inverted hybrid bulk-heterojunction solar cells using TiO2/reduced graphene oxide films as electron transport layers

    Science.gov (United States)

    Morais, Andreia; Alves, João Paulo C.; Lima, Francisco Anderson S.; Lira-Cantu, Monica; Nogueira, Ana Flavia

    2015-01-01

    In this study, we investigated inverted hybrid bulk-heterojunction solar cells with the following configuration: fluorine-doped tin oxide (FTO) |TiO2/RGO|P3HT:PC61BM|V2O5 or PEDOT:PSS|Ag. The TiO2/GO dispersions were prepared by sol-gel method, employing titanium isopropoxide and graphene oxide (GO) as starting materials. The GO concentration was varied from 0.1 to 4.0 wt%. The corresponding dispersions were spin-coated onto FTO substrates and a thermal treatment was performed to remove organic materials and to reduce GO to reduced graphene oxide (RGO). The TiO2/RGO films were characterized by x-ray diffraction, Raman spectroscopy, and microscopy techniques. Atomic force microscopy (AFM) images showed that the addition of RGO significantly changes the morphology of the TiO2 films, with loss of uniformity and increase in surface roughness. Independent of the use of V2O5 or PEDOT: PSS films as the hole transport layer, the incorporation of 2.0 wt% of RGO into TiO2 films was the optimal concentration for the best organic photovoltaic performance. The solar cells based on TiO2/RGO (2.0 wt%) electrode exhibited a ˜22.3% and ˜28.9% short circuit current density (Jsc) and a power conversion efficiency enhancement, respectively, if compared with the devices based on pure TiO2 films. Kelvin probe force microscopy images suggest that the incorporation of RGO into TiO2 films can promote the appearance of regions with different charge dissipation capacities.

  4. Monodispersed macroporous architecture of nickel-oxide film as an anode material for thin-film lithium-ion batteries

    International Nuclear Information System (INIS)

    Wu, Mao-Sung; Lin, Ya-Ping

    2011-01-01

    A nickel-oxide film with monodispersed open macropores was prepared on a stainless-steel substrate by electrophoretic deposition of a polystyrene-sphere monolayer followed by anodic electrodeposition of nickel oxy-hydroxide. The deposited films convert to cubic nickel oxide after annealing at 400 o C for 1 h. Galvanostatic charge and discharge results indicate that the nickel-oxide film with monodispersed open macropores is capable of delivering a higher capacity than the bare nickel-oxide film, especially in high-rate charge and discharge processes. The lithiation capacity of macroporous nickel oxide reaches 1620 mA h g -1 at 1 C current discharge and decreases to 990 mA h g -1 at 15 C current discharge. The presence of monodispersed open macropores in the nickel-oxide film might facilitate the electrolyte penetration, diffusion, and migration. Electrochemical reactions between nickel oxide and lithium ions are therefore markedly improved by this tailored film architecture.

  5. Modification of graphene oxide films by radiofrequency N2 plasma

    Science.gov (United States)

    Neustroev, E. P.; Burtseva, E. K.; Soloviev, B. D.; Prokopiev, A. R.; Popov, V. I.; Timofeev, V. B.

    2018-04-01

    The effect of treatment in nitrogen plasma on the properties of partially reduced graphene oxide (rGO) was studied. A comparison is made between two different sample locations in the reaction chamber. It is shown that in the case when rGO films were turned towards the inductor of the plasma system, the etching rate is much higher. Effective nitrogen functionalization of rGO was established in the second position, when the rGO films were turned in the opposite direction. In this case, the nitrogen content increases to 5 at% of the initial value. The change in the current-voltage characteristics is observed under illumination, which is independent of the wavelength. On and off daylight changes the resistance to 30% of the initial value. The magnitude of the photocurrent increases depending on the applied voltage. The effect is most noticeable for thin rGO films 10-15 nm in thickness.

  6. Functional doped metal oxide films. Zinc oxide (ZnO) as transparent conducting oxide (TCO) titanium dioxide (TiO{sub 2}) as thermographic phosphor and protective coating

    Energy Technology Data Exchange (ETDEWEB)

    Nebatti Ech-Chergui, Abdelkader

    2011-07-29

    spectra indicate that the red characteristic emission of TiO{sub 2}: Eu{sup 3+} due to electric dipole {sup 5}D{sub 0} {yields}{sup 7} F{sub 2} transition occurring after ultraviolet excitation is the strongest. The decay time of the phosphorescence after UV excitation with a Nd:YAG laser (355 nm, f=10Hz) is temperature dependent in the range from 200 C up to 400 C. Finally, it has been found that the lifetime show a significant dependency on europium concentration. The development of rutile phase of titanium dioxide films on stainless steel substrates as protective coatings were investigated. Generally the rutile phases of TiO{sub 2} thin films do not adhere well on stainless steel substrates. In order to improve the adhesion, stainless steel substrates were first coated with titanium films using cathodic vacuum arc deposition. Then these titanium coatings were partially transformed to the rutile phase of titanium dioxide by thermal oxidation. The presence of the rutile phase of titanium dioxide and metallic titanium were confirmed by XRD. Cavitation erosion was used for the first time to investigate the adhesion properties of these coatings. Cavitation erosion tests confirmed that rutile films with a Ti inter layer are well adherent to stainless steel substrates and protect the substrate from erosion. The total mass loss of the thermally oxidized samples of Ti coated stainless steel was found around 3.5 times lower than of the uncoated samples. (orig.)

  7. The effect of hydrogen peroxide on uranium oxide films on 316L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Wilbraham, Richard J., E-mail: r.wilbraham@lancaster.ac.uk [The Lloyd’s Register Foundation Centre for Nuclear Engineering, Engineering Department, Lancaster University, Bailrigg, Lancashire LA1 4YR (United Kingdom); Boxall, Colin, E-mail: c.boxall@lancaster.ac.uk [The Lloyd’s Register Foundation Centre for Nuclear Engineering, Engineering Department, Lancaster University, Bailrigg, Lancashire LA1 4YR (United Kingdom); Goddard, David T., E-mail: dave.t.goddard@nnl.co.uk [National Nuclear Laboratory, Preston Laboratory, Springfields, Preston, Lancashire PR4 0XJ (United Kingdom); Taylor, Robin J., E-mail: robin.j.taylor@nnl.co.uk [National Nuclear Laboratory, Central Laboratory, Seascale, Cumbria CA20 1PG (United Kingdom); Woodbury, Simon E., E-mail: simon.woodbury@nnl.co.uk [National Nuclear Laboratory, Central Laboratory, Seascale, Cumbria CA20 1PG (United Kingdom)

    2015-09-15

    Highlights: • The first report of the presence of both UO{sub 2} and polymeric UO{sub 2}{sup 2+} in the same electrodeposited U oxide sample. • The action of H{sub 2}O{sub 2} on electrodeposited U oxides is described using corrosion based concepts. • Electrodeposited U oxide freely dissolves at hydrogen peroxide concentrations <100 μmol dm{sup −3}. • At [H{sub 2}O{sub 2}] > 0.1 mmol dm{sup −3} dissolution is inhibited by formation of a studtite passivation layer. • At [H{sub 2}O{sub 2}] ⩾ 1 mol dm{sup −3} studtite formation competes with uranyl–peroxide complex formation. - Abstract: For the first time the effect of hydrogen peroxide on the dissolution of electrodeposited uranium oxide films on 316L stainless steel planchets (acting as simulant uranium-contaminated metal surfaces) has been studied. Analysis of the H{sub 2}O{sub 2}-mediated film dissolution processes via open circuit potentiometry, alpha counting and SEM/EDX imaging has shown that in near-neutral solutions of pH 6.1 and at [H{sub 2}O{sub 2}] ⩽ 100 μmol dm{sup −3} the electrodeposited uranium oxide layer is freely dissolving, the associated rate of film dissolution being significantly increased over leaching of similar films in pH 6.1 peroxide-free water. At H{sub 2}O{sub 2} concentrations between 1 mmol dm{sup −3} and 0.1 mol dm{sup −3}, formation of an insoluble studtite product layer occurs at the surface of the uranium oxide film. In analogy to corrosion processes on common metal substrates such as steel, the studtite layer effectively passivates the underlying uranium oxide layer against subsequent dissolution. Finally, at [H{sub 2}O{sub 2}] > 0.1 mol dm{sup −3} the uranium oxide film, again in analogy to common corrosion processes, behaves as if in a transpassive state and begins to dissolve. This transition from passive to transpassive behaviour in the effect of peroxide concentration on UO{sub 2} films has not hitherto been observed or explored, either in terms

  8. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  9. Synthesis and characterization of thermally oxidized ZnO films

    Indian Academy of Sciences (India)

    Administrator

    Synthesis and characterization of thermally oxidized ZnO films. A P RAMBU1,* and N IFTIMIE2 .... R. −. Δ. = = (1) where Ra is the sensor resistance in the air and Rg is the .... ple, Aida and coworkers (2006) reported that the total oxidation is ...

  10. Rapid formation of nanocrystalline HfO2 powders from amorphous hafnium hydroxide under ultrasonically assisted hydrothermal treatment

    International Nuclear Information System (INIS)

    Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.

    2007-01-01

    Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation

  11. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    KAUST Repository

    Sun, Ke

    2015-03-11

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g). © 2015, National Academy of Sciences. All rights reserved.

  12. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    KAUST Repository

    Sun, Ke; Saadi, Fadl H.; Lichterman, Michael F.; Hale, William G.; Wang, Hsinping; Zhou, Xinghao; Plymale, Noah T.; Omelchenko, Stefan T.; He, Jr-Hau; Papadantonakis, Kimberly M.; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide

  13. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  14. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    International Nuclear Information System (INIS)

    Li, Bao-jia; Huang, Li-jing; Ren, Nai-fei; Zhou, Ming

    2014-01-01

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO 2 )/FTO bilayer films. Large and densely arranged grains were observed on all TiO 2 /FTO bilayer films. The presence of TiO 2 tetragonal rutile phase in the TiO 2 /FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO 2 /FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10 −2 Ω −1 , higher than 1.78 × 10 −2 Ω −1 for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO 2 /FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10 −2 Ω −1 , indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  15. Control of lipid oxidation by nonmigratory active packaging films prepared by photoinitiated graft polymerization.

    Science.gov (United States)

    Tian, Fang; Decker, Eric A; Goddard, Julie M

    2012-08-08

    Transition metal-promoted oxidation impacts the quality, shelf life, and nutrition of many packaged foods. Metal-chelating active packaging therefore offers a means to protect foods against oxidation. Herein, we report the development and characterization of nonmigratory metal-chelating active packaging. To prepare the films, carboxylic acids were grafted onto the surfaces of polypropylene films by photoinitiated graft polymerization of acrylic acid. Attenuated total reflectance/Fourier transform infrared spectroscopy, contact angle, scanning electron microscopy, and iron-chelating assay were used to characterize film properties. Graft polymerization yielded a carboxylic acid density of 68.67 ± 9.99 nmol per cm(2) film, with ferrous iron-chelating activity of 71.07 ± 12.95 nmol per cm(2). The functionalized films extended the lag phase of lipid oxidation in a soybean oil-in-water emulsion system from 2 to 9 days. The application of such nonmigratory active packaging films represents a promising approach to reduce additive use while maintaining food quality.

  16. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  17. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  18. Fabrication of SnO2-Reduced Graphite Oxide Monolayer-Ordered Porous Film Gas Sensor with Tunable Sensitivity through Ultra-Violet Light Irradiation

    Science.gov (United States)

    Xu, Shipu; Sun, Fengqiang; Yang, Shumin; Pan, Zizhao; Long, Jinfeng; Gu, Fenglong

    2015-01-01

    A new graphene-based composite structure, monolayer-ordered macroporous film composed of a layer of orderly arranged macropores, was reported. As an example, SnO2-reduced graphite oxide monolayer-ordered macroporous film was fabricated on a ceramic tube substrate under the irradiation of ultra-violet light (UV), by taking the latex microsphere two-dimensional colloid crystal as a template. Graphite oxide sheets dispersed in SnSO4 aqueous solution exhibited excellent affinity with template microspheres and were in situ incorporated into the pore walls during UV-induced growth of SnO2. The growing and the as-formed SnO2, just like other photocatalytic semiconductor, could be excited to produce electrons and holes under UV irradiation. Electrons reduced GO and holes adsorbed corresponding negative ions, which changed the properties of the composite film. This film was directly used as gas-sensor and was able to display high sensitivity in detecting ethanol gas. More interestingly, on the basis of SnO2-induced photochemical behaviours, this sensor demonstrated tunable sensitivity when UV irradiation time was controlled during the fabrication process and post in water, respectively. This study provides efficient ways of conducting the in situ fabrication of a semiconductor-reduced graphite oxide film device with uniform surface structure and controllable properties. PMID:25758292

  19. Effect of annealing on structural changes and oxygen diffusion in amorphous HfO2 using classical molecular dynamics

    Science.gov (United States)

    Shen, Wenqing; Kumari, Niru; Gibson, Gary; Jeon, Yoocharn; Henze, Dick; Silverthorn, Sarah; Bash, Cullen; Kumar, Satish

    2018-02-01

    Non-volatile memory is a promising alternative to present memory technologies. Oxygen vacancy diffusion has been widely accepted as one of the reasons for the resistive switching mechanism of transition-metal-oxide based resistive random access memory. In this study, molecular dynamics simulation is applied to investigate the diffusion coefficient and activation energy of oxygen in amorphous hafnia. Two sets of empirical potential, Charge-Optimized Many-Body (COMB) and Morse-BKS (MBKS), were considered to investigate the structural and diffusion properties at different temperatures. COMB predicts the activation energy of 0.53 eV for the temperature range of 1000-2000 K, while MBKS predicts 2.2 eV at high temperature (1600-2000 K) and 0.36 eV at low temperature (1000-1600 K). Structural changes and appearance of nano-crystalline phases with increasing temperature might affect the activation energy of oxygen diffusion predicted by MBKS, which is evident from the change in coordination number distribution and radial distribution function. None of the potentials make predictions that are fully consistent with density functional theory simulations of both the structure and diffusion properties of HfO2. This suggests the necessity of developing a better multi-body potential that considers charge exchange.

  20. Thermoluminescent response of aluminium oxide thin films subject to gamma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Arrieta, A.; Escobar A, L.; Camps, E.; Villagran, E.; Gonzalez, P.R

    2006-07-01

    The thermoluminescent (TL) properties of amorphous aluminium oxide thin films (thicknesses as low as 0.3 {mu}m) subjected to gamma (Co-60) irradiation are reported. Aluminium oxide thin films were prepared by laser ablation from an Al{sub 2}O{sub 3} target using a Nd: YAG laser with emission at the fundamental line. The films were exposed to gamma radiation (Co-60) in order to study their TL response. Thermoluminescence glow curves exhibited two peaks at 110 and 176 C. The high temperature peak shows good stability and 30% fading in the first 5 days after irradiation. A linear relationship between absorbed dose and the thermoluminescent response for doses span from 150 mGy to 100 Gy was observed. These results suggest that aluminium oxide thin films are suitable for detection and monitoring of gamma radiation. (Author)

  1. Optical and electrochromic properties of sol-gel deposited Ti- doped vanadium oxide films

    International Nuclear Information System (INIS)

    Oezer, N.; Sabuncu, S.

    1997-01-01

    Because of the yellowish color, vanadium oxide films in the as deposited state is not as favorable as transparent coatings for most elector chromic devices. an interesting possibility to alter the yellowish colours is the doping with other non-absorbing metal oxides. Ti doped vanadium oxide films with various amounts of titanium were synthesized and investigated as transparent counter electrodes for electrochromic transmissive device application. Electrochromic titanium doped vanadium pentoxide (V sub 2 O 5) coatings were prepared by the sol-gel dip coating technique. The coating solutions were synthesized from vanadium tri(isopropoxide) precursors. X-ray diffraction (XRD) studies showed that the sol-gel deposited doped films heat treated at temperatures below 350 degree centigrade, were amorphous, whereas hose heat treated at higher temperatures were slight y crystalline. The optical and electrochemical properties of the Ti doped vanadium oxide films has been investigated in 0.1 m LiClO sub 4 propylene carbonate solution color changes by dropping were noted for all investigated films exhibits good electrochemical cycling (CV) measurements also showed that Ti doped V sub 2 O sub 5 films exhibits good electrochemical cycling reversibility, 'in situ' optical measurement revealed that those films exhibits good electrochemical cycling the spectra range 300 < lambda < 800 nm and change color between yellow and light green. The change in visible transmittance was 25 % for 5% Ti doped film. (author)

  2. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  3. Preparation of Porous F-WO3/TiO2 Films with Visible-Light Photocatalytic Activity by Microarc Oxidation

    Directory of Open Access Journals (Sweden)

    Chung-Wei Yeh

    2012-01-01

    Full Text Available Porous F-WO3/TiO2 (mTiO2 films are prepared on titanium sheet substrates using microarc oxidation (MAO technique. The X-ray diffraction patterns show that visible-light (Vis enabling mTiO2 films with a very high content of anatase TiO2 and high loading of WO3 are successfully synthesized at a low applied voltage of 300 V using electrolyte contenting NaF and Na2WO4 without subsequent heat treatment. The cross-sectional transmission electron microscopy micrograph reveals that the mTiO2 films feature porous networks connected by many micron pores. The diffused reflection spectrum displays broad absorbance across the UV-Vis regions and a significant red shift in the band gap energy (∼2.23 eV for the mTiO2 film. Owing to the high specific surface area from the porous microstructure, the mTiO2 film shows a 61% and 50% rate increase in the photocatalytic dye degradation, as compared with the N,C-codoped TiO2 films under UV and Vis irradiation, respectively.

  4. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  5. Nanoporous cerium oxide thin film for glucose biosensor.

    Science.gov (United States)

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  6. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  7. Chemically abrupt interface between Ce oxide and Fe films

    International Nuclear Information System (INIS)

    Lee, H.G.; Lee, D.; Kim, S.; Kim, S.G.; Hwang, Chanyong

    2005-01-01

    A chemically abrupt Fe/Ce oxide interface can be formed by initial oxidation of an Fe film followed by deposition of Ce metal. Once a Ce oxide layer is formed on top of Fe, it acts a passivation barrier for oxygen diffusion. Further deposition of Ce metal followed by its oxidation preserve the abrupt interface between Ce oxide and Fe films. The Fe and Ce oxidation states have been monitored at each stage using X-ray photoelectron spectroscopy

  8. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  9. Free standing graphene oxide film for hydrogen peroxide sensing

    Science.gov (United States)

    Ranjan, Pranay; Balakrishnan, Jayakumar; Thakur, Ajay D.

    2018-05-01

    We report hydrogen peroxide (H2O2)sensing using free standing graphene oxide thin films prepared using a cost effective scalable approach. Such sensors may find application in pharmaceutical and food processing industries.

  10. Degradation of superconducting Nb/NbN films by atmospheric oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Henry, Michael David; Wolfley, Steven L.; Young, Travis Ryan; Monson, Todd; Pearce, Charles Joseph; Lewis, Rupert M.; Clark, Blythe; Brunke, Lyle Brent; Missert, Nancy A.

    2017-03-01

    Niobium and niobium nitride thin films are transitioning from fundamental research toward wafer scale manufacturing with technology drivers that include superconducting circuits and electronics, optical single photon detectors, logic, and memory. Successful microfabrication requires precise control over the properties of sputtered superconducting films, including oxidation. Previous work has demonstrated the mechanism in oxidation of Nb and how film structure could have deleterious effects upon the superconducting properties. This study provides an examination of atmospheric oxidation of NbN films. By examination of the room temperature sheet resistance of NbN bulk oxidation was identified and confirmed by secondary ion mass spectrometry. As a result, Meissner magnetic measurements confirmed the bulk oxidation not observed with simple cryogenic resistivity measurements.

  11. Determination of oxygen diffusion kinetics during thin film ruthenium oxidation

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Bijkerk, Frederik

    2015-01-01

    In situ X-ray reflectivity was used to reveal oxygen diffusion kinetics for thermal oxidation of polycrystalline ruthenium thin films and accurate determination of activation energies for this process. Diffusion rates in nanometer thin RuO2 films were found to show Arrhenius behaviour. However, a

  12. Synthesis, nanostructure and magnetic properties of FeCo-reduced graphene oxide composite films by one-step electrodeposition

    International Nuclear Information System (INIS)

    Cao, Derang; Li, Hao; Wang, Zhenkun; Wei, Jinwu; Wang, Jianbo; Liu, Qingfang

    2015-01-01

    FeCo-reduced graphene oxide (FeCo-RGO) composite film was fabricated on indium tin oxide substrate using one-step electrodeposition method. Raman spectroscopy and field emission scanning electron microscope results show that the reduced graphene oxide is coprecipitated with the FeCo film. The energy-dispersive spectrometer results demonstrate that the atomic ratio of Fe/Co in FeCo-RGO composite film is larger than that of the FeCo film under the same fabrication condition. As a result, the FeCo-RGO composite film exhibits good soft magnetic properties and high-frequency properties as well as the FeCo film. The magnetic anisotropy field and saturation magnetization of FeCo-RGO composite film are increased when compared with FeCo film. Furthermore, the ferromagnetic resonance frequency is improved from 2.15 GHz for the FeCo film to 3.9 GHz for the FeCo-RGO composite film. - Highlights: • FeCo-reduced graphene oxide composite film was fabricated on indium tin oxide substrate. • One step electrodeposition method was used. • Good soft magnetic properties were exhibited by the composite films. • Increase of resonance frequency from 2.15 GHz for FeCo film to 3.9 GHz for composite film

  13. Oxidant-Dependent Thermoelectric Properties of Undoped ZnO Films by Atomic Layer Deposition

    KAUST Repository

    Kim, Hyunho

    2017-02-27

    Extraordinary oxidant-dependent changes in the thermoelectric properties of undoped ZnO thin films deposited by atomic layer deposition (ALD) have been observed. Specifically, deionized water and ozone oxidants are used in the growth of ZnO by ALD using diethylzinc as a zinc precursor. No substitutional atoms have been added to the ZnO films. By using ozone as an oxidant instead of water, a thermoelectric power factor (σS) of 5.76 × 10 W m K is obtained at 705 K for undoped ZnO films. In contrast, the maximum power factor for the water-based ZnO film is only 2.89 × 10 W m K at 746 K. Materials analysis results indicate that the oxygen vacancy levels in the water- and ozone-grown ZnO films are essentially the same, but the difference comes from Zn-related defects present in the ZnO films. The data suggest that the strong oxidant effect on thermoelectric performance can be explained by a mechanism involving point defect-induced differences in carrier concentration between these two oxides and a self-compensation effect in water-based ZnO due to the competitive formations of both oxygen and zinc vacancies. This strong oxidant effect on the thermoelectric properties of undoped ZnO films provides a pathway to improve the thermoelectric performance of this important material.

  14. Oxide films on magnesium and magnesium alloys

    International Nuclear Information System (INIS)

    Shih, T.-S.; Liu, J.-B.; Wei, P.-S.

    2007-01-01

    Magnesium alloys are very active and readily ignite during heating and melting. In this study, we discuss the combustion of magnesium and magnesium alloys and propose prospective anti-ignition mechanisms for magnesium alloys during the heating process. When magnesium and magnesium alloys were heated in air, the sample surfaces produced layers of thermally formed oxides. These thermally formed oxides played an important role in affecting the combustion of the magnesium and magnesium alloys. When magnesium was heated in air, brucite that formed in the early stage was then transformed into periclase by dehydroxylation. By extending the heating time, more periclase formed and increased in thickness which was associated with microcracks formation. When magnesium was heated in a protective atmosphere (SF 6 ), a film of MgF 2 formed at the interface between the oxide layer and the Mg substrate. This film generated an anti-ignition behavior which protected the substrate from oxidation. When solution-treated AZ80 alloy was heated, spinel developed at the interface between the thermally formed oxide layer and the Mg substrate, improving the anti-ignition properties of the substrate. In addition, we also explain the effects of beryllium in an AZB91 alloy on the ignition-proofing behavior

  15. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  16. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bao-jia, E-mail: bjia_li@126.com [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Huang, Li-jing [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Ren, Nai-fei [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Mechanical Engineering, Jiangsu University, Zhenjiang 212013 (China); Zhou, Ming [The State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2014-01-30

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO{sub 2})/FTO bilayer films. Large and densely arranged grains were observed on all TiO{sub 2}/FTO bilayer films. The presence of TiO{sub 2} tetragonal rutile phase in the TiO{sub 2}/FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO{sub 2}/FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10{sup −2} Ω{sup −1}, higher than 1.78 × 10{sup −2} Ω{sup −1} for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO{sub 2}/FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10{sup −2} Ω{sup −1}, indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  17. Meso-porous α-Fe2O3 thin films synthesized via the sol-gel process for light-driven water oxidation

    International Nuclear Information System (INIS)

    Hamd, Wael; Laberty-Robert, Christel; Sanchez, Clement; Cobo, Saioa; Fize, Jennifer; Artero, Vincent; Baldinozzi, Gianguido; Schwartz, Wilfrid; Reymermier, Maryse; Pereira, Alexandre; Fontecave, Marc

    2012-01-01

    This work reports a facile and cost-effective method for synthesizing photoactive α-Fe 2 O 3 films as well as their performances when used as photoanodes for water oxidation. Transparent α-Fe 2 O 3 meso-porous films were fabricated by template-directed sol-gel chemistry coupled with the dip-coating approach, followed by annealing at various temperatures from 350 degrees C to 750 degrees C in air. α-Fe 2 O 3 films were characterized by X-ray diffraction, XPS, FE-SEM and electrochemical measurements. The photoelectrochemical performance of α-Fe 2 O 3 photoanodes was characterized and optimized through the deposition of Co-based co-catalysts via different methods (impregnation, electro-deposition and photo-electro-deposition). Interestingly, the resulting hematite films heat-treated at relatively low temperature (500 degrees C), and therefore devoid of any extrinsic dopant, achieve light-driven water oxidation under near-to-neutral (pH = 8) aqueous conditions after decoration with a Co catalyst. The onset potential is 0.75 V vs. the reversible hydrogen electrode (RHE), thus corresponding to 450 mV light-induced under potential, although modest photocurrent density values (40 μAcm -2 ) are obtained below 1.23 V vs. RHE. These new materials with a very large interfacial area in contact with the electrolyte and allowing for a high loading of water oxidation catalysts open new avenues for the optimization of photo-electrochemical water splitting. (authors)

  18. Corrosion of thin, magnetron sputtered Nb_2O_5 films

    International Nuclear Information System (INIS)

    Pillis, Marina Fuser; Geribola, Guilherme Altomari; Scheidt, Guilherme; Gonçalves de Araújo, Edval; Lopes de Oliveira, Mara Cristina; Antunes, Renato Altobelli

    2016-01-01

    Highlights: • Niobium oxide based films were obtained by DC magnetron sputtering. • Different deposition times were tested. • The best corrosion resistance was obtained for the Nb_2O_5 film produced at 15′. • Film porosity determines the corrosion resistance. - Abstract: Niobium oxide based thin films were deposited on AISI 316 stainless steel substrates using reactive DC magnetron sputtering. Structure, composition and corrosion resistance of the niobium oxide films were studied. The corrosion behavior of the specimens was evaluated by electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization. The concentration of niobium and oxygen in the films was obtained by Rutherford backscattering spectroscopy (RBS). The film structure was analyzed by X-ray diffractometry. The corrosion resistance of the substrate was improved by the Nb_2O_5 layers. The best protective performance was achieved for the deposition time of 15 min.

  19. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  20. Water clustering on nanostructured iron oxide films

    DEFF Research Database (Denmark)

    Merte, Lindsay Richard; Bechstein, Ralf; Peng, G.

    2014-01-01

    , but it is not well-understood how these hydroxyl groups and their distribution on a surface affect the molecular-scale structure at the interface. Here we report a study of water clustering on a moire-structured iron oxide thin film with a controlled density of hydroxyl groups. While large amorphous monolayer...... islands form on the bare film, the hydroxylated iron oxide film acts as a hydrophilic nanotemplate, causing the formation of a regular array of ice-like hexameric nanoclusters. The formation of this ordered phase is localized at the nanometre scale; with increasing water coverage, ordered and amorphous...

  1. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  2. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  3. Ab initio study of mechanical and thermo-acoustic properties of tough ceramics: applications to HfO2 in its cubic and orthorhombic phase

    International Nuclear Information System (INIS)

    Ponce, C A; Casali, R A; Caravaca, M A

    2008-01-01

    By means of the ab initio all-electron new full-potential linear-muffin-tin orbitals method, calculations were made for elastic constants C 11 , C 12 and C 44 for Si, ZrO 2 and HfO 2 in their cubic phase, and constants C 11 , C 22 , C 33 , C 12 , C 13 , C 23 , C 44 , C 55 and C 66 for HfO 2 in its orthorhombic phase. Using the Voigt and Reuss theory, estimations were made for polycrystals of their bulk, shear and Young moduli, and Poisson coefficients. The speed of elastic wave propagations and Debye temperatures were estimated for polycrystals built from Si and the above mentioned compounds. The semicore 4f 14 electrons should be included in the valence set of Hf atom in this all-electron approach if accurate results for elastic properties under pressures are looked for

  4. Transpassive Dissolution of Copper and Rapid Formation of Brilliant Colored Copper Oxide Films

    Science.gov (United States)

    Fredj, Narjes; Burleigh, T. David; New Mexico Tech Team

    2014-03-01

    This investigation describes an electrochemical technique for growing adhesive copper oxide films on copper with attractive colors ranging from gold-brown to pearl with intermediate colors from red violet to gold green. The technique consists of anodically dissolving copper at transpassive potentials in hot sodium hydroxide, and then depositing brilliant color films of Cu2O onto the surface of copper after the anodic potential has been turned off. The color of the copper oxide film depends on the temperature, the anodic potential, the time t1 of polarization, and the time t2, which is the time of immersion after potential has been turned off. The brilliant colored films were characterized using glancing angle x-ray diffraction, and the film was found to be primarily Cu2O. Cyclic voltammetry, chronopotentiometry, scanning electron microscopy, and x-ray photoelectron spectroscopy were also used to characterize these films.

  5. Thick-film effects in the oxidation and hydriding of zirconium alloys

    International Nuclear Information System (INIS)

    Johnson, A.B. Jr.

    1989-08-01

    One of the fundamental discoveries involving radiation effects on the oxidation of Zircaloy in low-oxygen aqueous environments is the influence of thick oxide films. Zircaloy oxidation rates in low-oxygen (hydrogen-rich) coolants initially proceed at relatively low rates, often almost uninfluenced by radiation. Marked upturns in oxidation rate have signaled the onset of radiation effects. The radiation effects appear to correlate with a threshold oxide thickness. Results of the test reactor experiments lead to formulation of the Thick-Film Hypothesis: beyond a threshold oxide thickness, radiolysis of water that infiltrates oxide cracks and pores controls the oxidation rate; radiation creates microenvironments inside the oxide film, producing highly oxidizing conditions, that are no longer suppressed by the coolant-borne hydrogen. Upturns in oxidation rate on high-exposure Zircaloy pressure tubes add confirmatory evidence for the thick-film effect. This paper summarizes the early evidence for thick-film behavior, including oxidation and hydriding trends, updates confirmatory evidence from Zircaloy reactor and fuel assembly components, and highlights other observations from the test reactor series that have potential fundamental significance to explanations of radiation effects on Zircaloy. 23 refs., 10 figs

  6. Application of hydrogen-doped In2O3 transparent conductive oxide to thin-film microcrystalline Si solar cells

    International Nuclear Information System (INIS)

    Koida, Takashi; Sai, Hitoshi; Kondo, Michio

    2010-01-01

    Hydrogen-doped In 2 O 3 (IO:H) films with high electron mobility and improved near-infrared (NIR) transparency have been applied as a transparent conducting oxide (TCO) electrode in substrate-type hydrogenated microcrystalline silicon (μc-Si:H) solar cells. The incorporation of IO:H, instead of conventional Sn-doped In 2 O 3 , improved the short-circuit current density (J sc ) and the resulting conversion efficiency. Optical analysis of the solar cells and TCO films revealed that the improvement in J sc is due to the improved spectral sensitivity in the visible and NIR wavelengths by reduction of absorption loss caused by free carriers in the TCO films.

  7. Determination of diffuse double layer protonation constants for hydrous ferric oxide (HFO): supporting evidence for the Dzombak and Morel compilation

    CSIR Research Space (South Africa)

    Pretorius, PJ

    1998-01-01

    Full Text Available of the experimental system suggests that titration points below pH 4 should not be used for the determination of protonation constants because of potential HFO dissolution. Surface protonation constant, PZC and binding site estimates agree excellently with currently...

  8. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  9. Hafnium carbide formation in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)

    2016-06-20

    On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.

  10. Sputtered indium oxide films

    International Nuclear Information System (INIS)

    Gillery, F.H.

    1986-01-01

    A method is described for depositing on a substrate multiple layer films comprising at least one primary layer of a metal oxide and at least one primary layer of a metal other than the metal of the oxide layer. The improvement described here comprises improving the adhesion between the metal oxide and metal layers by depositing between the layers an intermediate metal-containing layer having an affinity for both the metal and metal oxide layers. An article of manufacture is described comprising a nonmetallic substrate, and deposited thereon in any order: a. at least one coating layer of metal; b. at least one coating layer of an oxide of a metal other than the metal of the metal layer; and c. deposited between the metal and metal oxide layers an intermediate metal-containing layer having an affinity for both the metal and metal oxide layers

  11. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.; Caraveo-Frescas, Jesus Alfonso; Hedhili, Mohamed N.

    2014-01-01

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films

  12. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  13. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  14. The role of Tin Oxide Concentration on The X-ray Diffraction, Morphology and Optical Properties of In2O3:SnO2 Thin Films

    Science.gov (United States)

    Hasan, Bushra A.; Abdallah, Rusul M.

    2018-05-01

    Alloys were performed from In2O3 doped SnO2 with different doping ratio by quenching from the melt technique. Pulsed Laser Deposition PLD was used to deposit thin films of different doping ratio In2O3 : SnO2 (0, 1, 3, 5, 7 and 9 % wt.) on glass substrate at ambient temperature under vacuum of 10-3 bar thickness of ∼100nm. The structural type,grain size and morphology of the prepared alloys compounds and thin films were examined using X-ray diffraction and atomic force microscopy. The results showed that all alloys have polycrystalline structures and the peaks belonged to the preferred plane for crystal growth were identical with the ITO (Indium – Tin –Oxide) standard cards also another peaks were observed belonged to SnO2 phase. The structures of thin films was also polycrystalline, and the predominate peaks are identical with standard cards ITO. On the other side the prepared thin films declared decrease a reduction of degree of crystallinity with the increase of doping ratio. Atomic Force Microscopy AFM measurements showed the average grain size and average surface roughness exhibit to change in systematic manner with the increase of doping ratio with tin oxide. The optical measurements show that the In2O3:SnO2 thin films have a direct energy gap Eg opt in the first stage decreases with the increase of doping ratio and then get to increase with further increase of doping ration, whereas reverse to that the optical constants such as refractive index (n), extinction coefficient (k) and dielectric constant (εr, εi) have a regular increase with the doping ratio by tin oxide and then decreases.

  15. Features of carrier tunneling between the silicon valence band and metal in devices based on the Al/high-K oxide/SiO_2/Si structure

    International Nuclear Information System (INIS)

    Vexler, M. I.; Grekhov, I. V.

    2016-01-01

    The features of electron tunneling from or into the silicon valence band in a metal–insulator–semiconductor system with the HfO_2(ZrO_2)/SiO_2 double-layer insulator are theoretically analyzed for different modes. It is demonstrated that the valence-band current plays a less important role in structures with HfO_2(ZrO_2)/SiO_2 than in structures containing only silicon dioxide. In the case of a very wide-gap high-K oxide ZrO_2, nonmonotonic behavior related to tunneling through the upper barrier is predicted for the valence-band–metal current component. The use of an insulator stack can offer certain advantages for some devices, including diodes, bipolar tunnel-emitter transistors, and resonant-tunneling diodes, along with the traditional use of high-K insulators in a field-effect transistor.

  16. Electrophoretic deposition of PTFE particles on porous anodic aluminum oxide film and its tribological properties

    International Nuclear Information System (INIS)

    Zhang, Dongya; Dong, Guangneng; Chen, Yinjuan; Zeng, Qunfeng

    2014-01-01

    Polytetrafluoroethylene (PTFE) composite film was successfully fabricated by depositing PTFE particles into porous anodic aluminum oxide film using electrophoretic deposition (EPD) process. Firstly, porous anodic aluminum oxide film was synthesized by anodic oxidation process in sulphuric acid electrolyte. Then, PTFE particles in suspension were directionally deposited into the porous substrate. Finally, a heat treatment at 300 °C for 1 h was utilized to enhance PTFE particles adhesion to the substrate. The influence of anodic oxidation parameters on the morphology and micro-hardness of the porous anodic aluminum oxide film was studied and the PTFE particles deposited into the pores were authenticated using energy-dispersive spectrometer (EDS) and scanning electron microscopy (SEM). Tribological properties of the PTFE composite film were investigated under dry sliding. The experimental results showed that the composite film exhibit remarkable low friction. The composite film had friction coefficient of 0.20 which deposited in 15% PTFE emulsion at temperature of 15 °C and current density of 3 A/dm 2 for 35 min. In addition, a control specimen of porous anodic aluminum oxide film and the PTFE composite film were carried out under the same test condition, friction coefficient of the PTFE composite film was reduced by 60% comparing with the control specimen at 380 MPa and 100 mm/s. The lubricating mechanism was that PTFE particles embedded in porous anodic aluminum oxide film smeared a transfer film on the sliding path and the micro-pores could support the supplement of solid lubricant during the sliding, which prolonged the lubrication life of the aluminum alloys.

  17. Deposition of indium tin oxide thin films by cathodic arc ion plating

    International Nuclear Information System (INIS)

    Yang, M.-H.; Wen, J.-C.; Chen, K.-L.; Chen, S.-Y.; Leu, M.-S.

    2005-01-01

    Indium tin oxide (ITO) thin films have been deposited by cathodic arc ion plating (CAIP) using sintered oxide target as the source material. In an oxygen atmosphere of 200 deg. C, ITO films with a lowest resistivity of 2.2x10 -4 Ω-cm were obtained at a deposition rate higher than 450 nm/min. The carrier mobility of ITO shows a maximum at some medium pressures. Although morphologically ITO films with a very fine nanometer-sized structure were observed to possess the lowest resistivity, more detailed analyses based on X-ray diffraction are attempted to gain more insight into the factors that govern electron mobility in this investigation

  18. Surface chemistry and cytotoxicity of reactively sputtered tantalum oxide films on NiTi plates

    Energy Technology Data Exchange (ETDEWEB)

    McNamara, K. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland); Kolaj-Robin, O.; Belochapkine, S.; Laffir, F. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Gandhi, A.A. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland); Tofail, S.A.M., E-mail: tofail.syed@ul.ie [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland)

    2015-08-31

    NiTi, an equiatomic alloy containing nickel and titanium, exhibits unique properties such as shape memory effect and superelasticity. NiTi also forms a spontaneous protective titanium dioxide (TiO{sub 2}) layer that allows its use in biomedical applications. Despite the widely perceived biocompatibility there remain some concerns about the sustainability of the alloy's biocompatibility due to the defects in the TiO{sub 2} protective layer and the presence of high amount of sub-surface Ni, which can give allergic reactions. Many surface treatments have been investigated to try to improve both the corrosion resistance and biocompatibility of this layer. For such purposes, we have sputter deposited tantalum (Ta) oxide thin films onto the surface of the NiTi alloy. Despite being one of the promising metals for biomedical applications, Ta, and its various oxides and their interactions with cells have received relatively less attention. The oxidation chemistry, crystal structure, morphology and biocompatibility of these films have been investigated. In general, reactive sputtering especially in the presence of a low oxygen mixture yields a thicker film with better control of the film quality. The sputtering power influenced the surface oxidation states of Ta. Both microscopic and quantitative cytotoxicity measurements show that Ta films on NiTi are biocompatible with little to no variation in cytotoxic response when the surface oxidation state of Ta changes. - Highlights: • Reactive sputtering in low oxygen mixture yields thicker better quality films. • Sputtering power influenced surface oxidation states of Ta. • Cytotoxicity measurements show Ta films on NiTi are biocompatible. • Little to no variation in cytotoxic response when oxidation state changes.

  19. Effects of sputtering power on properties of copper oxides thin films deposited on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ooi, P. K.; Ng, S. S.; Abdullah, M. J. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia)

    2015-04-24

    Copper oxides are deposited by radio frequency sputtering using copper target in the mixture of argon and oxygen gasses. The structural and optical properties of the copper oxides deposited at different sputtering powers have been investigated. All the films are single phase polycrystalline. At low RF power (100 W), the film is monoclinic structure of cupric oxide (CuO). Meanwhile, the films are cubic structure of cuprous oxide (Cu2O) at higher RF power. Field emission scanning electron microscopy images show the films have different morphologies with small grain size and consist of a lot of voids. The analysis of energy dispersive X-ray spectroscopy shows that the ratio of Cu to O is increased as the RF power increased. From the ultraviolet–visible spectroscopy, the films have a broad absorption edge in the range of 300–500 nm. The band gap of the films grown at RF power of 100 W, and 120 W and above, were 1.18 eV and 2.16 eV, respectively.

  20. Al2O3 adherence on CoCrAl alloys

    International Nuclear Information System (INIS)

    Kingsley, L.M.

    1980-04-01

    Adherence of protective oxides on NiCrAl and CoCrAl superalloys has been promoted by a dispersion of a highly oxygen reactive element or its oxide being produced within the protection system. Two aspects of this subject are investigated here: the use of Al 2 O 3 as both the dispersion and protective oxide; and the production of an HfO 2 dispersion while simultaneously aluminizing the alloy. It was found that an Al 2 O 3 dispersion will act to promote the adherence of an external scale of Al 2 O 3 to a degree comparable to previously tested dispersions and an HfO 2 dispersion comparable to that produced by a Rhines pack treatment is produced during aluminization

  1. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  2. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    to deposit single monolayers of SrO and TiO2 is utilized to build the RP film structure over a time period of 5 hours. This is the first time that a thin film RP phase has been grown using hybrid MBE, where an a stable control over the fluxes is demonstrated during relatively long time periods of growth, which advantageously facilitates the synthesis of high-quality RP materials with excellent structural and chemical homogeneity. Additionally, this work demonstrates some major advancements in optical second harmonic generation (SHG) characterization techniques of ferroelectric thin film materials. The SHG characterization techniques developed here proved to be the 'bread-and-butter' for most of the work performed in this thesis, providing a powerful tool for identifying the existence of strain-induced ferroelectric phases, including their temperature dependence and polar symmetry. The work presented in this dissertation will hopefully provide a preliminary road map for future hybrid MBE growers, scientists and researchers, to develop and investigate epitaxial strain and heterostructure layering induced phenomena in other complex oxide systems.

  3. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    Science.gov (United States)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  4. Composition and corrosion properties of high-temperature oxide films on steel type 18-10

    International Nuclear Information System (INIS)

    Vakulenko, B.F.; Morozov, O.N.; Chernysheva, M.V.

    1985-01-01

    The composition and propeties of oxide films, formed in the process of tube production of steel type 18-10, as well as the behaviour of the steels coated with oxide films under operating conditions of NPP heat-exchange equipment at the 20-300 deg C temperatures are determined. It is found, that the films have a good adhesion to the steel surface and repeat the metal structure without interfering with, the surface defect determination. Introduction of the NaNO 2 corrosion inhibitor decreases the film destruction rate to the level of the base metal corrosion. It is found acceptable to use tubes of steel 18-10 coated with dense oxide films in the heat-exchange and water supply systems of NPP

  5. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  6. Electrochemical Water Oxidation by a Catalyst-Modified Metal-Organic Framework Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Shaoyang; Pineda-Galvan, Yuliana; Maza, William A.; Epley, Charity C.; Zhu, Jie; Kessinger, Matthew C.; Pushkar, Yulia; Morris, Amanda J. (VP); (Purdue)

    2016-12-15

    Water oxidation, a key component in artificial photosynthesis, requires high overpotentials and exhibits slow reaction kinetics that necessitates the use of stable and efficient heterogeneous water-oxidation catalysts (WOCs). Here, we report the synthesis of UiO-67 metal–organic framework (MOF) thin films doped with [Ru(tpy)(dcbpy)OH2]2+ (tpy=2,2':6',2''-terpyridine, dcbpy=5,5'-dicarboxy-2,2'-bipyridine) on conducting surfaces and their propensity for electrochemical water oxidation. The electrocatalyst oxidized water with a turnover frequency (TOF) of (0.2±0.1) s-1 at 1.71 V versus the normal hydrogen electrode (NHE) in buffered solution (pH~7) and exhibited structural and electrochemical stability. The electroactive sites were distributed throughout the MOF thin film on the basis of scan-ratedependent voltammetry studies. This work demonstrates a promising way to immobilize large concentrations of electroactive WOCs into a highly robust MOF scaffold and paves the way for future photoelectrochemical water-splitting systems.

  7. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  8. Electrochemical characterization of oxide film formed at high temperature on Alloy 690

    Energy Technology Data Exchange (ETDEWEB)

    Abraham, Geogy J., E-mail: gja@barc.gov.in [Materials Science Division, BARC, Mumbai 400 085 (India); Bhambroo, Rajan [Deptt. of Metallurgical Engg. and Mat. Sci., IIT Bombay, Mumbai 400 076 (India); Kain, V. [Materials Science Division, BARC, Mumbai 400 085 (India); Shekhar, R. [CCCM, BARC, Hyderabad 500 062 (India); Dey, G.K. [Materials Science Division, BARC, Mumbai 400 085 (India); Raja, V.S. [Deptt. of Metallurgical Engg. and Mat. Sci., IIT Bombay, Mumbai 400 076 (India)

    2012-02-15

    Highlights: Black-Right-Pointing-Pointer GD-QMS studies of high temperature oxide film formed on Alloy 690. Black-Right-Pointing-Pointer Defect density reduced with increase in temperature. Black-Right-Pointing-Pointer Electrochemical behaviour of oxide film correlated to the Cr-content in oxide. - Abstract: High temperature passivation studies on Alloy 690 were carried out in lithiated water at 250 Degree-Sign C, 275 Degree-Sign C and 300 Degree-Sign C for 72 h. The passive films were characterized by glow discharge-quadrupole mass spectroscopy (GD-QMS) for compositional variation across the depth and micro laser Raman spectroscopy for oxide composition on the surface. The defect density in the oxide films was established from the Mott-Schottky analysis using electrochemical impedance spectroscopy. Electrochemical experiments at room temperature in chloride medium revealed best passivity behaviour by the oxide film formed at 300 Degree-Sign C for 72 h. The electrochemical studies were correlated to the chromium (and oxygen) content of the oxide films. Autoclaving at 300 Degree-Sign C resulted in the best passive film formation on Alloy 690 in lithiated water.

  9. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  10. Cuprous oxide thin films prepared by thermal oxidation of copper layer. Morphological and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Karapetyan, Artak, E-mail: karapetyan@cinam.univ-mrs.fr [Aix Marseille Université, CINaM, 13288, Marseille (France); Institute for Physical Research of NAS of Armenia, Ashtarak-2 0203 (Armenia); Reymers, Anna [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Giorgio, Suzanne; Fauquet, Carole [Aix Marseille Université, CINaM, 13288, Marseille (France); Sajti, Laszlo [Laser Zentrum Hannover e.V. Hollerithallee 8, 30419 Hannover (Germany); Nitsche, Serge [Aix Marseille Université, CINaM, 13288, Marseille (France); Nersesyan, Manuk; Gevorgyan, Vladimir [Russian-Armenian (Slavonic) University, H.Emin st.123, Yerevan 375051 (Armenia); Marine, Wladimir [Aix Marseille Université, CINaM, 13288, Marseille (France)

    2015-03-15

    Structural and optical characterization of crystalline Cu{sub 2}O thin films obtained by thermal oxidation of Cu films at two different temperatures 800 °C and 900 °C are investigated in this work. X-ray diffraction measurements indicate that synthesized films consist of single Cu{sub 2}O phase without any interstitial phase and show a nano-grain structure. Scanning Electron Microscopy observations indicate that the Cu{sub 2}O films have a micro-scale roughness whereas High Resolution Transmission Electron Microscopy highlights that the nanocrystalline structure is formed by superposition of nearly spherical nanocrystals smaller than 30 nm. Photoluminescence spectra of these films exhibit at room temperature two well-resolved emission peaks at 1.34 eV due to defects energy levels and at 1.97 eV due to phonon-assisted recombination of the 1s orthoexciton in both film series. Emission characteristics depending on the laser power is deeply investigated to determine the origin of recorded emissions. Time-integrated spectra of the 1s orthoexciton emission reveals the presence of oxygen defects below the conduction band edge under non-resonant two-photon excitation using a wide range of excitations wavelengths. Optical absorption coefficients at room temperature are obtained from an accurate analysis of their transmission and reflection spectra, whereas the optical band gap energy is estimated at about 2.11 eV. Results obtained are of high relevance especially for potential applications in semiconductor devices such as solar cells, optical sources and detectors. - Highlights: • Nanostructured Cu{sub 2}O thin films were synthesized by thermal oxidation of Cu films. • The PL spectra of nanostructured thin films revealed two well-resolved emission peaks. • The PL properties were investigated under a broad range of experimental conditions. • Inter-band transition in the infrared range has been associated to V{sub Cu} and V{sub O} vacancies. • Absorption

  11. Soft x-ray photoemission investigation of the oxidation of CuInSe/sub 2/ thin films

    International Nuclear Information System (INIS)

    Zurcher, P.; Nelson, A.J.; Johnson, P.; Lapeyre, G.J.; Noufi, R.

    1987-01-01

    CuInSe/sub 2/ films are used as absorber layers in heterojunction thin film solar cells. It has been demonstrated that, depending on the stoichiometry, oxygen annealing can make CuInSe/sub 2/ films more p-type or even convert n-type films into p-type while subsequent reduction with hydrazine will reverse such processes. Using synchrotron radiation soft x-ray photoemission spectroscopy, the authors found associated with the hydrazine reduced films an In1+ state that converts into In3+ under the influence of oxygen at elevated sample temperatures. The samples investigated were grown in a way that the top several thousand Angstroms are increasingly Cu-poor and In-rich. It is this region which is sampled by the surface sensitive technique of photoemission. The Cu-poor/In-rich top regions will most likely have a large number of intrinsic In on Cu-site defects leaving the In in a 1+ state. All the oxidation and reduction results and the associated changes in majority carrier concentrations and type conversions can be understood in terms of oxygem/In/sub Cu/-defect interactions

  12. The influence of Ac parameters in the process of micro-arc oxidation film electric breakdown

    Directory of Open Access Journals (Sweden)

    Ma Jin

    2016-01-01

    Full Text Available This paper studies the electric breakdown discharge process of micro-arc oxidation film on the surface of aluminum alloy. Based on the analysis of the AC parameters variation in the micro-arc oxidation process, the following conclusions can be drawn: The growth of oxide film can be divided into three stages, and Oxide film breakdown discharge occurs twice in the micro-arc oxidation process. The first stage is the formation and disruptive discharge of amorphous oxide film, producing the ceramic oxide granules, which belong to solid dielectric breakdown. In this stage the membrane voltage of the oxide film plays a key role; the second stage is the formation of ceramic oxide film, the ceramic oxide granules turns into porous structure oxide film in this stage; the third stage is the growth of ceramic oxide film, the gas film that forms in the oxide film’s porous structure is electric broken-down, which is the second breakdown discharge process, the current density on the oxide film surface could affect the breakdown process significantly.

  13. Influences of different oxidants on the characteristics of HfAlOx films deposited by atomic layer deposition

    International Nuclear Information System (INIS)

    Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue

    2013-01-01

    A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  14. Synthesis of tin oxide nanoparticle film by cathodic electrodeposition.

    Science.gov (United States)

    Kim, Seok; Lee, Hochun; Park, Chang Min; Jung, Yongju

    2012-02-01

    Three-dimensional SnO2 nanoparticle films were deposited onto a copper substrate by cathodic electrodeposition in a nitric acid solution. A new formation mechanism for SnO2 films is proposed based on the oxidation of Sn2+ ion to Sn4+ ion by NO+ ion and the hydrolysis of Sn4+. The particle size of SnO2 was controlled by deposition potential. The SnO2 showed excellent charge capacity (729 mAh/g) at a 0.2 C rate and high rate capability (460 mAh/g) at a 5 C rate.

  15. Comparison of topotactic fluorination methods for complex oxide films

    Science.gov (United States)

    Moon, E. J.; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; Barbash, D.; May, S. J.

    2015-06-01

    We have investigated the synthesis of SrFeO3-αFγ (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  16. Synthesis and characterization of zinc oxide thin films prepared by ...

    African Journals Online (AJOL)

    Zinc oxide thin films were prepared with ammonia/ammonium chloride buffer as the reaction moderating agent in the chemical bath deposition technique. An observable color change during the reaction due to variations in the reactants concentration indicated the existence of the cupric (CuO) and cuprous (Cu2O) oxides ...

  17. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    Energy Technology Data Exchange (ETDEWEB)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.; Warburton, P. A. [London Centre for Nanotechnology, UCL, 17–19 Gordon Street, London WC1H 0AH (United Kingdom)

    2014-12-14

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantum phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.

  18. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    Energy Technology Data Exchange (ETDEWEB)

    Guimond, Sebastien

    2009-06-04

    The growth and the surface structure of well-ordered V{sub 2}O{sub 3}, V{sub 2}O{sub 5} and MoO{sub 3} thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V{sub 2}O{sub 3}(0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V{sub 2}O{sub 3} bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V{sub 2}O{sub 5}(001) and MoO{sub 3}(010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O{sub 2} in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V{sub 2}O{sub 5} and MoO{sub 3} layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a

  19. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    International Nuclear Information System (INIS)

    Guimond, Sebastien

    2009-01-01

    The growth and the surface structure of well-ordered V 2 O 3 , V 2 O 5 and MoO 3 thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V 2 O 3 (0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V 2 O 3 bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V 2 O 5 (001) and MoO 3 (010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O 2 in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V 2 O 5 and MoO 3 layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a monolayer is formed dur-ing the preparation of supported vanadia

  20. Structural and Electrochemical Properties of Lithium Nickel Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Gyu-bong Cho

    2014-01-01

    Full Text Available LiNiO2 thin films were fabricated by RF magnetron sputtering. The microstructure of the films was determined by X-ray diffraction and field-emission scanning electron microscopy. The electrochemical properties were investigated with a battery cycler using coin-type half-cells. The LiNiO2 thin films annealed below 500°C had the surface carbonate. The results suggest that surface carbonate interrupted the Li intercalation and deintercalation during charge/discharge. Although the annealing process enhanced the crystallization of LiNiO2, the capacity did not increase. When the annealing temperature was increased to 600°C, the FeCrNiO4 oxide phase was generated and the discharge capacity decreased due to an oxygen deficiency in the LiNiO2 thin film. The ZrO2-coated LiNiO2 thin film provided an improved discharge capacity compared to bare LiNiO2 thin film suggesting that the improved electrochemical characteristic may be attributed to the inhibition of surface carbonate by ZrO2 coating layer.

  1. Influence of oxidation state on the pH dependence of hydrous iridium oxide films

    International Nuclear Information System (INIS)

    Steegstra, Patrick; Ahlberg, Elisabet

    2012-01-01

    Many electrochemical reactions taking place in aqueous solution consume or produce protons. The pH in the diffusion layer can therefore be significantly altered during the reaction and there is a need for in situ pH measurements tracing this near surface pH. In the present paper the rotating ring disc technique was used to measure near surface pH changes during oxygen reduction, utilising hydrous iridium oxide as the pH sensing probe. Before such experiments a good understanding of the pH sensing properties of these films is required and the impact of the oxidation state of the film on the pH sensing properties was investigated as well as the influence of solution redox species. The pH sensitivity (depicted by dE/dpH) was found to depend on the average oxidation state of the film in a manner resembling the cyclic voltammetry response. In all cases the pH response is “supernernstian” with more than one proton per electron. The origin of this behaviour is discussed in the context of acid-base properties of the film and the existence of both hydrous and anhydrous oxide phases. The pH response depends also on the redox properties of the solution but can be optimised for various purposes by conditioning the film at different potentials. This was clearly illustrated by adding hydrogen peroxide, an intermediate in the oxygen reduction reaction, to the solution. It was shown that hydrous iridium oxide can be used as a reliable in situ pH sensor provided that care is taken to optimise the oxidation state of the film.

  2. Heterojunction solar cell with 6% efficiency based on an n-type aluminum-gallium-oxide thin film and p-type sodium-doped Cu2O sheet

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2015-02-01

    In this paper, we describe efforts to enhance the efficiency of Cu2O-based heterojunction solar cells fabricated with an aluminum-gallium-oxide (Al-Ga-O) thin film as the n-type layer and a p-type sodium (Na)-doped Cu2O (Cu2O:Na) sheet prepared by thermally oxidizing copper sheets. The optimal Al content [X; Al/(Ga + Al) atomic ratio] of an AlX-Ga1-X-O thin-film n-type layer was found to be approximately 2.5 at. %. The optimized resistivity was approximately 15 Ω cm for n-type AlX-Ga1-X-O/p-type Cu2O:Na heterojunction solar cells. A MgF2/AZO/Al0.025-Ga0.975-O/Cu2O:Na heterojunction solar cell with 6.1% efficiency was fabricated using a 60-nm-thick n-type oxide thin-film layer and a 0.2-mm-thick Cu2O:Na sheet with the optimized resistivity.

  3. Multilayered films of cobalt oxyhydroxide nanowires/manganese oxide nanosheets for electrochemical capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Huajun [State Key Laboratory Breeding Base of Green Chemistry Synthesis Technology, Zhejiang University of Technology, Hangzhou 310014 (China); ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering and AIBN, The University of Queensland, St Lucia, Brisbane, QLD 4072 (Australia); Tang, Fengqiu; Mukherji, Aniruddh; Yan, Xiaoxia; Wang, Lianzhou (Max) Lu, Gao Qing [ARC Centre of Excellence for Functional Nanomaterials, School of Chemical Engineering and AIBN, The University of Queensland, St Lucia, Brisbane, QLD 4072 (Australia); Lim, Melvin [Division of Environmental and Water Resources Engineering, School of Civil and Environmental Engineering, Nanyang Technological University, 639798 (Singapore)

    2010-01-15

    Multilayered films of cobalt oxyhydroxide nanowires (CoOOHNW) and exfoliated manganese oxide nanosheet (MONS) are fabricated by potentiostatic deposition and electrostatic self-assembly on indium-tin oxide coated glass substrates. The morphology and chemical composition of these films are characterized by scanning electron microscopy (SEM) and X-ray photoelectron spectra (XPS) and the potential application as electrochemical supercapacitors are investigated using cyclic voltammetry and charge-discharge measurements. These ITO/CoOOHNW/MONS multilayered film electrodes exhibit excellent electrochemical capacitance properties, including high specific capacitance (507 F g{sup -1}) and long cycling durability (less 2% capacity loss after 5000 charge/discharge cycles). These characteristics indicate that these newly developed films may find important application for electrochemical capacitors. (author)

  4. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    International Nuclear Information System (INIS)

    Schmidt, Tobias; Bertermann, Rüdiger; Rusch, George M.; Hoffman, Gary M.; Dekant, Wolfgang

    2012-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by 19 F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  5. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Tobias [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany); Bertermann, Rüdiger [Institut für Anorganische Chemie, Universität Würzburg, Am Hubland, 97074 Würzburg (Germany); Rusch, George M. [Honeywell, P.O. Box 1057, Morristown, NJ 07962–1057 (United States); Hoffman, Gary M. [Huntingdon Life Sciences., East Millstone, NJ (United States); Dekant, Wolfgang, E-mail: dekant@toxi.uni-wuerzburg.de [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany)

    2012-08-15

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by {sup 19}F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  6. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  7. Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.

  8. Metal ion binding to iron oxides

    Science.gov (United States)

    Ponthieu, M.; Juillot, F.; Hiemstra, T.; van Riemsdijk, W. H.; Benedetti, M. F.

    2006-06-01

    The biogeochemistry of trace elements (TE) is largely dependent upon their interaction with heterogeneous ligands including metal oxides and hydrous oxides of iron. The modeling of TE interactions with iron oxides has been pursued using a variety of chemical models. The objective of this work is to show that it is possible to model the adsorption of protons and TE on a crystallized oxide (i.e., goethite) and on an amorphous oxide (HFO) in an identical way. Here, we use the CD-MUSIC approach in combination with valuable and reliable surface spectroscopy information about the nature of surface complexes of the TE. The other objective of this work is to obtain generic parameters to describe the binding of the following elements (Cd, Co, Cu, Ni, Pb, and Zn) onto both iron oxides for the CD-MUSIC approach. The results show that a consistent description of proton and metal ion binding is possible for goethite and HFO with the same set of model parameters. In general a good prediction of almost all the collected experimental data sets corresponding to metal ion binding to HFO is obtained. Moreover, dominant surface species are in agreement with the recently published surface complexes derived from X-ray absorption spectroscopy (XAS) data. Until more detailed information on the structure of the two iron oxides is available, the present option seems a reasonable approximation and can be used to describe complex geochemical systems. To improve our understanding and modeling of multi-component systems we need more data obtained at much lower metal ion to iron oxide ratios in order to be able to account eventually for sites that are not always characterized in spectroscopic studies.

  9. Studies on Gas Sensing Performance of Pure and Surface Chrominated Indium Oxide Thick Film Resistors

    Directory of Open Access Journals (Sweden)

    D. N. CHAVAN

    2010-12-01

    Full Text Available The thick films of AR grade In2O3 were prepared by standard screen-printing technique. The gas sensing performance of thick film was tested for various gases. It showed maximum gas response to ethanol vapor at 350 oC for 80 ppm. To improve the gas response and selectivity of the film towards a particular gas, In2O3 thick films were modified by dipping them in an aqueous solution of 0.1 M CrO3 for different intervals of time. The surface chrominated (20 min In2O3 thick film showed maximum response to H2S gas (40 ppm than pure In2O3 thick film at 250 oC. Chromium oxide on the surface of the film shifts the gas response from ethanol vapor to H2S gas. A systematic study of sensing performance of the sensor indicates the key role played by chromium oxide on the surface of thick film. The selectivity, gas response and recovery time of the sensor were measured and presented.

  10. Effects of Thermal Annealing Conditions on Cupric Oxide Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyo Seon; Oh, Hee-bong; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-07-15

    In this study, cupric oxide (CuO) thin films were grown on fluorine doped tin oxide(FTO) substrate by using spin coating method. We investigated the effects of thermal annealing temperature and thermal annealing duration on the morphological, structural, optical and photoelectrochemical properties of the CuO film. From the results, we could find that the morphologies, grain sizes, crystallinity and photoelectrochemical properties were dependent on the annealing conditions. As a result, the maximum photocurrent density of -1.47 mA/cm{sup 2} (vs. SCE) was obtained from the sample with the thermal annealing conditions of 500 ℃ and 40 min.

  11. Thin film ionic conductors based on cerium oxide

    International Nuclear Information System (INIS)

    Haridoss, P.; Hellstrom, E.; Garzon, F.H.; Brown, D.R.; Hawley, M.

    1994-01-01

    Fluorite and perovskite structure cerium oxide based ceramics are a class of materials that may exhibit good oxygen ion and/or protonic conductivity. The authors have successfully deposited thin films of these materials on a variety of substrates. Interesting orientation relationships were noticed between cerium oxide films and strontium titanate bi-crystal substrates. Near lattice site coincidence theory has been used to study these relationships

  12. Transparent nanoscale floating gate memory using self-assembled bismuth nanocrystals in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) pyrochlore thin films grown at room temperature.

    Science.gov (United States)

    Jung, Hyun-June; Yoon, Soon-Gil; Hong, Soon-Ku; Lee, Jeong-Yong

    2012-07-03

    Bismuth nanocrystals for a nanoscale floating gate memory device are self-assembled in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) dielectric films grown at room temperature by radio-frequency sputtering. The TEM cross-sectional image shows the "real" structure grown on a Si (001) substrate. The image magnified from the dotted box (red color) in the the cross-sectional image clearly shows bismuth nanoparticles at the interface between the Al(2) O(3) and HfO(2) layer (right image). Nanoparticles approximately 3 nm in size are regularly distributed at the interface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Pulsed laser deposition of HfO{sub 2} thin films on indium zinc oxide: Band offsets measurements

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D.; Craciun, V., E-mail: valentin.craciun@inflpr.ro

    2017-04-01

    Highlights: • High quality amorphous IZO and HfO{sub 2} films were obtained by PLD technique. • XPS measurements were used to obtain the valence band alignment in HfO{sub 2}/IZO heterostructure. • A valence band offset (ΔE{sub V}) of 1.75 eV was obtained for the HfO{sub 2}/IZO heterostructure. • A conduction band offset (ΔE{sub C}) of 0.65 eV was estimated for the HfO{sub 2}/IZO heterostructure. - Abstract: One of the most used dielectric films for amorphous indium zinc oxide (IZO) based thin films transistor is HfO{sub 2}. The estimation of the valence band discontinuity (ΔE{sub V}) of HfO{sub 2}/IZO heterostructure grown using the pulsed laser deposition technique, with In/(In + Zn) = 0.79, was obtained from X-ray photoelectron spectroscopy (XPS) measurements. The binding energies of Hf 4d5, Zn 2p3 and In 3d5 core levels and valence band maxima were measured for thick pure films and for a very thin HfO{sub 2} film deposited on a thick IZO film. A value of ΔE{sub V} = 1.75 ± 0.05 eV was estimated for the heterostructure. Taking into account the measured HfO{sub 2} and IZO optical bandgap values of 5.50 eV and 3.10 eV, respectively, a conduction band offset ΔE{sub C} = 0.65 ± 0.05 eV in HfO{sub 2}/IZO heterostructure was then obtained.

  14. An anode with aluminum doped on zinc oxide thin films for organic light emitting devices

    International Nuclear Information System (INIS)

    Xu Denghui; Deng Zhenbo; Xu Ying; Xiao Jing; Liang Chunjun; Pei Zhiliang; Sun Chao

    2005-01-01

    Doped zinc oxides are attractive alternative materials as transparent conducting electrode because they are nontoxic and inexpensive compared with indium tin oxide (ITO). Transparent conducting aluminum-doped zinc oxide (AZO) thin films have been deposited on glass substrates by DC reactive magnetron sputtering method. Films were deposited at a substrate temperature of 150-bar o C in 0.03 Pa of oxygen pressure. The electrical and optical properties of the film with the Al-doping amount of 2 wt% in the target were investigated. For the 300-nm thick AZO film deposited using a ZnO target with an Al content of 2 wt%, the lowest electrical resistivity was 4x10 -4 Ωcm and the average transmission in the visible range 400-700 nm was more than 90%. The AZO film was used as an anode contact to fabricate organic light-emitting diodes. The device performance was measured and the current efficiency of 2.9 cd/A was measured at a current density of 100 mA/cm 2

  15. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  16. Effects of concentration of reduced graphene oxide on properties of sol–gel prepared Al-doped zinc oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Ching-Tian; Wang, Fang-Hsing, E-mail: fansen@dragon.nchu.edu.tw; Chen, Wei-Chun

    2016-04-30

    Reduced-graphene-oxide-incorporated aluminum-doped zinc oxide (AZO:rGO) composite thin films were synthesized on glass substrates by using the sol–gel method. The effect of the rGO concentration (0–3 wt%) on structural, electrical, and optical properties of the composite film was investigated by X-ray diffraction, scanning electron microscopy, atomic force microscopy, Hall-effect measurement, and ultraviolet–visible spectrometry. All of the composite films showed a typical hexagonal wurtzite structure, and the films incorporated with 1 wt% rGO showed the highest (0 0 2) peak intensity. The sheet resistance of the films was effectively reduced by a factor of more than two as the rGO ratio increased from 0 to 1 wt%. However, the sheet resistance increased with a further increase in the rGO ratio. The optical transmittance of the composite film monotonically decreased with increasing the rGO ratio from 0 to 3 wt%. The average optical transmittance (400–700 nm) of the AZO:rGO thin film within 1 wt% rGO was above 81%. - Highlights: • Reduced-graphene-oxide-doped ZnO:Al composite films are synthesized by sol–gel. • All AZO:rGO thin films show a typical hexagonal wurtzite structure. • Sheet resistance of AZO:rGO(1 wt%) film decreases by a factor of more than two. • The average visible transmittance of the AZO:rGO(1 wt%) film was 81%.

  17. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  18. The role of electric field during spray deposition on fluorine doped tin oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Anuj, E-mail: anujkumarom@gmail.com; Swami, Sanjay Kumar; Dutta, Viresh

    2014-03-05

    Highlights: • Fluorine doped tin oxide deposition by spray technique. • The growth reaction of tin oxide, controlled by the electric field on the substrate surface. • Deposit on large scale substrate 10 cm × 10 cm by single nozzle. • Obtained good quality of thin film. -- Abstract: The fluorine doped tin oxide film has been deposited on 10 cm × 10 cm glass substrate by using spray technique with a voltage applied between the nozzle and an annular electrode placed 2 mm below the nozzle. The effect of the electric field thus created during the spray deposition on structural, optical and electrical properties of SnO{sub 2}:F (FTO) film was studied. X-ray diffraction pattern revealed the presence of cassiterite structure with (2 0 0) orientation for all the FTO film. SEM study revealed the formation of smooth and uniform surface FTO film under the electric field over the entire substrate area. The electrical measurements show that the film prepared under the electric field (for an applied voltage of 2000 V) had a resistivity ∼1.2 × 10{sup −3} Ω cm, carrier concentration ∼4.21 × 10{sup 20} cm{sup −3} and mobility ∼14.48 cm{sup 2} V{sup −1} s{sup −1}. The sprayed FTO film have the average transmission in the visible region of more than about 80%.

  19. Superconducting oxide thin films by ion beam sputtering

    International Nuclear Information System (INIS)

    Kobrin, P.H.; DeNatale, J.F.; Housley, R.M.; Flintoff, J.F.; Harker, A.B.

    1987-01-01

    Superconducting thin films of ternary copper oxides from the Y-Ba-Cu-O and La-Sr-Cu-O systems have been deposited by ion beam sputtering of ceramic targets. Crystallographic orientation of the polycrystalline films has been shown to vary with substrate identity, deposition temperature and annealing temperature. The onset of the superconductive transition occurs near 90K in the Y-Ba-Cu-O system. Fe impurities of < 0.2% have been found to inhibit the superconducting transition, probably by migrating to the grain boundaries

  20. Microspheres of UO2, ThO2 and PuO2 for the high temperature reactor

    International Nuclear Information System (INIS)

    Brandau, E.

    2002-01-01

    The production of high temperature reactor fuel, so called pebble fuel, was done in the eighties by a special vibrational dropping process to obtain as sintered UO 2 - or ThO 2 -microspheres, so called 'Kernels', with a diameter size of about 300 μm. These microspheres have been coated and embedded in carbon balls to get the pebble fuel. Since the early nineties BRACE is developing the processings of microspheres starting with sols and suspensions to produce Al 2 O 3 , ZrO 2 , HfO 2 and Actinide oxide microspheres. Two main developments have been made: 1) the preparation of the feed solution (sol, suspension) and the solidification processing, and 2) the equipment, design, and electronic control have been completely changed. A newly developed suspension process for actinide oxides and for metal oxides e.g. Al 2 O 3 , TiO 2 , SiO 2 , ZrO 2 , HfO 2 , CeO 2 , ThO 2 , UO 2 , PuO 2 leads to cheaper production of as sintered microspheres. The processing and the installations will be described and the experience of production will be shown. (author)

  1. Characterization of zirconium alloy oxidation films by alternating current impedance

    International Nuclear Information System (INIS)

    Rosecrans, P.M.

    1984-01-01

    Kinetics of zirconium alloy oxidation are highly nonlinear. The results of electrochemical measurements and electron microscopy support the existence of porosity in oxide films formed on zirconium alloys in high temperature aqueous environments. Analytical treatment is presented relating oxidation kinetics to the thickness and distribution of nonporous elements within the oxide. This analysis illustrates that both the level and distribution of porosity within the oxide factor into oxidation kinetics. The barrier layer model can provide a basis for predicting the effect of environmental changes on oxidation rate. In addition, it demonstrates the need for further research into porosity generation mechanisms in oxide films

  2. Characterization of zirconium alloy oxidation films by alternating current impedance

    International Nuclear Information System (INIS)

    Rosecrans, P.M.

    1983-11-01

    Kinetics of zirocnium alloy oxidation are highly nonlinear. The results of electrochemical measurements and electron microscopy support the existence of porosity in oxide films formed on zirconium alloys in high temperature aqueous environments. Analytical treatment is presented relating oxidation kinetics to the thickness and distribution of nonporous elements within the oxide. This analysis illustrates that both the level and distribution of porosity within the oxide factor into oxidation kinetics. The barrier layer model can provide a basis for predicting the effect of environmental changes on oxidation rate. In addition, it demonstrates the need for further research into porosity generation mechanisms in oxide films

  3. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  4. On the mechanism of self-deceleration of the thin oxide film growth

    CERN Document Server

    Mukhambetov, D G

    2002-01-01

    The objective of this work was to investigate the kinetics of the two-phase oxide film growth on the alpha-Fe surface at temperatures of 650-750 K. We experimentally determined that the film thickness (h)-time oxidation (tau) relationship in the range denoted above is a logarithmic function, whereas Cabrera and Mott's theory gives a square law of film growth. In our work, analytical treatment of experimental data was made based on this theory, but we propose that self-deceleration of the film growth is caused not by attenuation of the electric intensity in the film because of an increase of h but by the shielding influence of the space charge of diffusing ions and electrons in that oxide film. With that purpose in view, the Debye shielding distance for plasma substance state in the oxide film was taken into consideration. The logarithmic law of oxide film growth was derived. Estimated calculations of this law's parameters were made that quantitatively correspond with literature data. The results obtained were...

  5. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  6. Electrical behavior of amide functionalized graphene oxide and graphene oxide films annealed at different temperatures

    International Nuclear Information System (INIS)

    Rani, Sumita; Kumar, Mukesh; Kumar, Dinesh; Sharma, Sumit

    2015-01-01

    Films of graphene oxide (GO) and amide functionalized graphene oxides (AGOs) were deposited on SiO 2 /Si(100) by spin coating and were thermally annealed at different temperatures. Sheet resistance of GO and AGOs films was measured using four probe resistivity method. GO an insulator at room temperature, exhibits decrease in sheet resistance with increase in annealing temperature. However, AGOs' low sheet resistance (250.43 Ω) at room temperature further decreases to 39.26 Ω after annealing at 800 °C. It was observed that the sheet resistance of GO was more than AGOs up to 700 °C, but effect was reversed after annealing at higher temperature. At higher annealing temperatures the oxygen functionality reduces in GO and sheet resistance decreases. Sheet resistance was found to be annealing time dependent. Longer duration of annealing at a particular temperature results in decrease of sheet resistance. - Highlights: • Amide functionalized graphene oxides (AGOs) were synthesized at room temperature (RT). • AGO films have low sheet resistance at RT as compared to graphene oxide (GO). • Fast decrease in the sheet resistance of GO with annealing as compared to AGOs • AGOs were found to be highly dispersible in polar solvents

  7. Effects of PbO on the oxide films of incoloy 800HT in simulated primary circuit of PWR

    International Nuclear Information System (INIS)

    Tan, Yu; Yang, Junhan; Wang, Wanwan; Shi, Rongxue; Liang, Kexin; Zhang, Shenghan

    2016-01-01

    Effects of trace PbO on oxide films of Incoloy 800HT were investigated in simulated primary circuit water chemistry of PWR, also with proper Co addition. The trace PbO addition in high temperature water blocked the protective spinel oxides formation of the oxide films of Incoloy 800HT. XPS results indicated that the lead, added as PbO into the high temperature water, shows not only +2 valance but also +4 and 0 valances in the oxide film of 800HT co-operated with Fe, Cr and Ni to form oxides films. Potentiodynamic polarization results indicated that as PbO concentration increased, the current densities of the less protective oxide films of Incoloy 800HT decreased in a buffer solution tested at room temperature. The capacitance results indicated that the donor densities of oxidation film of Incoloy 800HT decreased as trace PbO addition into the high temperature water. - Highlights: • Trace PbO addition into the high temperature water block the formation of spinel oxides on Incoloy 800HT. • The donor density of oxide film decreases with trace PbO addition. • The current density of potentiodynamic polarization decreases of oxide film with trace PbO addition.

  8. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  9. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  10. Polycrystalline Mn-alloyed indium tin oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Schmidt, Heidemarie; Xu, Qingyu; Vinnichenko, Mykola; Kolitsch, Andreas; Helm, Manfred; Iacomi, Felicia

    2008-01-01

    Magnetic ITO films are interesting for integrating ITO into magneto-optoelectronic devices. We investigated n-conducting indium tin oxide (ITO) films with different Mn doping concentration which have been grown by chemical vapour deposition using targets with the atomic ratio In:Sn:Mn=122:12:0,114:12:7, and 109:12:13. The average film roughness ranges between 30 and 50 nm and XRD patterns revealed a polycrystalline structure. Magnetotransport measurements revealed negative magnetoresistance for all the samples, but high field positive MR can be clearly observed at 5 K with increasing Mn doping concentration. Spectroscopic ellipsometry (SE) has been used to prove the existence of midgap states in the Mn-alloyed ITO films revealing a transmittance less than 80%. A reasonable model for the ca. 250 nm thick Mn-alloyed ITO films has been developed to extract optical constants from SE data below 3 eV. Depending on the Mn content, a Lorentz oscillator placed between 1 and 2 eV was used to model optical absorption below the band gap

  11. Fabrication of transparent cellulose acetate/graphene oxide nanocomposite film for UV shielding

    Energy Technology Data Exchange (ETDEWEB)

    Jahan, Nusrat; Khan, Wasi, E-mail: wasiamu@gmail.com; Azam, Ameer; Naqvi, A. H. [Department of Applied Physics, Z.H. College of Engineering & Technology, Aligarh Muslim University, Aligarh - 202002 (India)

    2016-05-23

    In this work, we have fabricated transparent cellulose acetate/graphene oxide nanocomposite (CAGONC) films for ultraviolet radiations (UVR) shielding. Graphene oxide (GO) was synthesized by modified Hummer’s method and CAGONC films were fabricated by solvent casting method. The films were analyzed using characterization techniques like x-ray diffraction (XRD), energy dispersive x-ray (EDX) equipped scanning electron microscopy (SEM), transmission electron microscopy (TEM), Fourier transform infrared (FTIR) spectroscopy and ultra-violet visible (UV-VIS) spectroscopy. Four films were prepared by varying the wt% of GO (0.1wt%, 0.2wt% and 0.3wt%) with respect to cellulose acetate (CA). UV-vis measurements exhibit optical transparency in the range of 76-99% for visible light while ultra-violet radiation was substantially shielded.

  12. Green synthesis of high conductivity silver nanoparticle-reduced graphene oxide composite films

    Energy Technology Data Exchange (ETDEWEB)

    Dinh, D.A. [School of Materials Science and Engineering, Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Hui, K.S., E-mail: kshui@hanyang.ac.kr [Department of Mechanical Engineering, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 133-791 (Korea, Republic of); Hui, K.N., E-mail: bizhui@pusan.ac.kr [School of Materials Science and Engineering, Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Cho, Y.R. [School of Materials Science and Engineering, Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Zhou, Wei [Department of Mechanical and Electrical Engineering, Xiamen University, Xiamen 361005 (China); Hong, Xiaoting [School of Chemistry and Environment, South China Normal University, Key Laboratory of Theoretical Chemistry of Environment, Ministry of Education, Guangzhou 510006 (China); Chun, Ho-Hwan [Global Core Research Center for Ships and Offshore Plants (GCRC-SOP), Pusan National University, San 30 Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of)

    2014-04-01

    Graphical abstract: - Highlights: • A green facile chemical approach to control the dimensions of Ag nanoparticles–graphene oxide (AgNPs/GO) composites was performed at room temperature. • With decreasing ultrasonication time, the size of the Ag nanoparticles decreased and became uniformly distributed over the surface of the GO nanosheets. • The as-prepared AgNPs/rGO composite films were then formed using a spin coating method and reduced at 500 °C under N{sub 2}/H{sub 2} gas flow for 1 h. • The lowest sheet resistance of 270 Ω/sq was obtained in the film corresponding to 1 min of ultrasonication, which showed a 40 times lower resistivity than the rGO film (10.93 kΩ/sq). - Abstract: A green facile chemical approach to control the dimensions of Ag nanoparticles–graphene oxide (AgNPs/GO) composites was performed by the in situ ultrasonication of a mixture of AgNO{sub 3} and graphene oxide solutions with the assistance of vitamin C acting as an environmentally friendly reducing agent at room temperature. With decreasing ultrasonication time, the size of the Ag nanoparticles decreased and became uniformly distributed over the surface of the GO nanosheets. The as-prepared AgNPs/rGO composite films were then formed using a spin coating method and reduced at 500 °C under N{sub 2}/H{sub 2} gas flow for 1 h. Four-point probe measurements showed that the sheet resistance of the AgNPs/rGO films decreased with decreasing AgNPs size. The lowest sheet resistance of 270 Ω/sq was obtained in the film corresponding to 1 min of ultrasonication, which showed a 40 times lower resistivity than the rGO film (10.93 kΩ/sq). The formation mechanisms of the as-prepared AgNPs/rGO films are proposed. This study provides a guide to controlling the dimensions of AgNPs/rGO films, which might hold promise as advanced materials for a range of analytical applications, such as catalysis, sensors and microchips.

  13. Green synthesis of high conductivity silver nanoparticle-reduced graphene oxide composite films

    International Nuclear Information System (INIS)

    Dinh, D.A.; Hui, K.S.; Hui, K.N.; Cho, Y.R.; Zhou, Wei; Hong, Xiaoting; Chun, Ho-Hwan

    2014-01-01

    Graphical abstract: - Highlights: • A green facile chemical approach to control the dimensions of Ag nanoparticles–graphene oxide (AgNPs/GO) composites was performed at room temperature. • With decreasing ultrasonication time, the size of the Ag nanoparticles decreased and became uniformly distributed over the surface of the GO nanosheets. • The as-prepared AgNPs/rGO composite films were then formed using a spin coating method and reduced at 500 °C under N 2 /H 2 gas flow for 1 h. • The lowest sheet resistance of 270 Ω/sq was obtained in the film corresponding to 1 min of ultrasonication, which showed a 40 times lower resistivity than the rGO film (10.93 kΩ/sq). - Abstract: A green facile chemical approach to control the dimensions of Ag nanoparticles–graphene oxide (AgNPs/GO) composites was performed by the in situ ultrasonication of a mixture of AgNO 3 and graphene oxide solutions with the assistance of vitamin C acting as an environmentally friendly reducing agent at room temperature. With decreasing ultrasonication time, the size of the Ag nanoparticles decreased and became uniformly distributed over the surface of the GO nanosheets. The as-prepared AgNPs/rGO composite films were then formed using a spin coating method and reduced at 500 °C under N 2 /H 2 gas flow for 1 h. Four-point probe measurements showed that the sheet resistance of the AgNPs/rGO films decreased with decreasing AgNPs size. The lowest sheet resistance of 270 Ω/sq was obtained in the film corresponding to 1 min of ultrasonication, which showed a 40 times lower resistivity than the rGO film (10.93 kΩ/sq). The formation mechanisms of the as-prepared AgNPs/rGO films are proposed. This study provides a guide to controlling the dimensions of AgNPs/rGO films, which might hold promise as advanced materials for a range of analytical applications, such as catalysis, sensors and microchips

  14. Comparison of topotactic fluorination methods for complex oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Moon, E. J., E-mail: em582@drexel.edu; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; May, S. J., E-mail: smay@coe.drexel.edu [Department of Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania 19104 (United States); Barbash, D. [Centralized Research Facilities, Drexel University, Philadelphia, Pennsylvania 19104 (United States)

    2015-06-01

    We have investigated the synthesis of SrFeO{sub 3−α}F{sub γ} (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO{sub 2.5} films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  15. Comparison of topotactic fluorination methods for complex oxide films

    Directory of Open Access Journals (Sweden)

    E. J. Moon

    2015-06-01

    Full Text Available We have investigated the synthesis of SrFeO3−αFγ (α and γ ≤ 1 perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  16. Effect of mass density on surface morphology of electrodeposited manganese oxide films

    Science.gov (United States)

    Singh, Avtar; Kumar, Davinder; Thakur, Anup; Kaur, Raminder

    2018-05-01

    This work focus on high surface area morphology of manganese oxide films which are currently required for electrochemical capacitor electrode to enhance their performance. Electrodeposition of manganese oxide films was carried out using Chronoamperometry for different deposition time ranging from 30 to 120 sec. Cronoamperomertic I-T integrated data have been used to analyze active mass of all electrodeposited films. Morphological study of the deposited films with different mass was carried out through scanning electron microscopy. Film deposited for 30 sec time show highest porous morphology than others. Manganese oxide films with high porosity are suitable for electrochemical capacitor electrode.

  17. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  18. Role of temperature and energy density in the pulsed laser deposition of zirconium oxide thin film

    International Nuclear Information System (INIS)

    Mittra, Joy; Abraham, G.J.; Viswanadham, C.S.; Kulkarni, U.D.; Dey, G.K.

    2011-01-01

    Present work brings out the effects of energy density and substrate temperature on pulsed laser deposition of zirconium oxide thin film on Zr-base alloy substrates. The ablation of sintered zirconia has been carried out using a KrF excimer laser having 30 ns pulse width and 600 mJ energy at source at 10 Hz repetition rate. To comprehend effects of these parameters on the synthesized thin film, pure zirconia substrate has been ablated at two different energy densities, 2 J.cm -2 and 5 J.cm -2 , keeping the substrate at 300 K, 573 K and 873 K, respectively. After visual observation, deposited thin films have been examined using Raman Spectroscopy (RS) and X-ray Photo-electron Spectroscopy (XPS). It has been found that the oxide deposited at 300 K temperature does not show good adherence with the substrate and deteriorates further with the reduction in energy density of the incident laser. The oxide films, deposited at 573 K and 873 K, have been found to be adherent with the substrate and appear lustrous black. These indicate that the threshold for adherence of the zirconia film on the Zr-base alloy substrate lies in between 300 K and 573 K. Analysis of Raman spectra has indicated that thin films of zirconia, deposited using pulsed laser, on the Zr-base metallic substrate are initially in amorphous state. Experimental evidence has indicated a strong link among the degree of crystallinity of the deposited oxide film, the substrate temperature and the energy density. It also has shown that the crystallization of the oxide film is dependent on the substrate temperature and the duration of holding at high temperature. The O:Zr ratios of the films, analyzed from the XPS data, have been found to be close to but less than 2. This appears to explain the reason for the transformation of amorphous oxide into monoclinic and tetragonal phases, below 573 K, and not into cubic phase, which is reported to be more oxygen deficient. (author)

  19. Charge mobility increase in indium-molybdenum oxide thin films by hydrogen doping

    Energy Technology Data Exchange (ETDEWEB)

    Catalán, S.; Álvarez-Fraga, L. [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas (ICMM-CSIC), Cantoblanco, E-28049 Madrid (Spain); Salas, E. [Spline CRG, ESRF, 38043 Grenoble (France); Ramírez-Jiménez, R. [Departamento de Física, Escuela Politécnica Superior, Universidad Carlos III de Madrid, Avenida Universidad 30, Leganés, 28911 Madrid (Spain); Rodriguez-Palomo, A.; Andrés, A. de [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas (ICMM-CSIC), Cantoblanco, E-28049 Madrid (Spain); Prieto, C., E-mail: cprieto@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas (ICMM-CSIC), Cantoblanco, E-28049 Madrid (Spain)

    2016-11-15

    Highlights: • The charge mobility in IMO films is correlated with its hydrogen content. • The mobility behavior is explained by the presence of OH{sup −} groups in IMO films. • Mo{sup 4+} is identified in transparent conductive IMO by X-ray absorption spectroscopy. - Abstract: The increase of charge mobility in transparent conductive indium molybdenum oxide (IMO) films is correlated with the presence of hydroxyl groups. The introduction of H{sub 2} in the chamber during sputtering deposition compensates the excess charge introduced by cationic Mo doping of indium oxide either by oxygen or hydroxyl interstitials. Films present a linear increase of carrier mobility correlated with H{sub 2} content only after vacuum annealing. This behavior is explained because vacuum annealing favors the removal of oxygen interstitials over that of hydroxyl groups. Since hydroxyl groups offer lower effective charge and smaller lattice distortions than those associated with interstitial oxygen, this compensation mechanism offers the conditions for the observed increase in mobility. Additionally, the short-range order around molybdenum is evaluated by extended X-ray absorption fine structure (EXAFS) spectroscopy, showing that Mo{sup 4+} is placed at the In site of the indium oxide.

  20. Influence of thermal treatment in N{sub 2} atmosphere on chemical, microstructural and optical properties of indium tin oxide and nitrogen doped indium tin oxide rf-sputtered thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stroescu, H.; Anastasescu, M.; Preda, S.; Nicolescu, M.; Stoica, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Stefan, N. [National Institute for Lasers, Plasma and Radiation Physics, Atomistilor 409, RO-77125, Bucharest-Magurele (Romania); Kampylafka, V.; Aperathitis, E. [FORTH-IESL, Crete (Greece); Modreanu, M. [Tyndall National Institute, University College Cork, Cork (Ireland); Zaharescu, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Gartner, M., E-mail: mgartner@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania)

    2013-08-31

    We report the influence of the normal thermal treatment (TT) and of rapid thermal annealing (RTA) on the microstructural, optical and electrical properties of indium tin oxide (ITO) and nitrogen doped indium tin oxide (ITO:N) thin films. The TT was carried out for 1 h at 400 °C and the RTA for 1 min up to 400 °C, both in N{sub 2} atmosphere. The ITO and ITO:N films were deposited by reactive sputtering in Argon, and respectively Nitrogen plasma, on Si with (100) and (111) orientation. The present study brings data about the microstructural and optical properties of ITO thin films with thicknesses around 300–400 nm. Atomic Force Microscopy analysis showed the formation of continuous and homogeneous films, fully covered by quasi-spherical shaped particles, with higher roughness values on Si(100) as compared to Si(111). Spectroscopic ellipsometry allowed the determination of film thickness, optical band gap as well as of the dispersion curves of n and k optical constants. X-ray diffraction analysis revealed the presence of diffraction peaks corresponding to the same nominal bulk composition of ITO, but with different intensities and preferential orientation depending on the substrate, atmosphere of deposition and type of thermal treatment. - Highlights: ► Stability of the films can be monitored by experimental ellipsometric spectra. ► The refractive index of indium tin oxide film on 0.3–30 μm range is reported. ► Si(100) substrate induces rougher film surfaces than Si(111). ► Rapid thermal annealing and normal thermal treatment lead to stable conductive film. ► The samples have a higher preferential orientation after rapid thermal annealing.