WorldWideScience

Sample records for oxide film grown

  1. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  2. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    Science.gov (United States)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  3. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  4. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  5. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  6. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  7. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  8. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  9. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  10. Effects of oxygen gas pressure on properties of iron oxide films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Guo, Qixin; Shi, Wangzhou; Liu, Feng; Arita, Makoto; Ikoma, Yoshifumi; Saito, Katsuhiko; Tanaka, Tooru; Nishio, Mitsuhiro

    2013-01-01

    Highlights: ► Pulsed laser deposition is a promising technique for growing iron oxide films. ► Crystal structure of the iron oxide films strongly depends on oxygen gas pressure. ► Optimum of the oxygen gas pressure leads single phase magnetite films with high crystal quality. -- Abstract: Iron oxide films were grown on sapphire substrates by pulsed laser deposition at oxygen gas pressures between 1 × 10 −5 and 1 × 10 −1 Pa with a substrate temperature of 600 °C. Atomic force microscope, X-ray diffraction, Raman spectroscopy, X-ray absorption fine structure, and vibrational sample magnetometer analysis revealed that surface morphology and crystal structure of the iron oxide films strongly depend on the oxygen gas pressure during the growth and the optimum oxygen gas pressure range is very narrow around 1 × 10 −3 Pa for obtaining single phase magnetite films with high crystal quality

  11. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  12. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  13. pH-sensor properties of electrochemically grown iridium oxide

    NARCIS (Netherlands)

    Olthuis, Wouter; Robben, M.A.M.; Bergveld, Piet; Bos, M.; van der Linden, W.E.

    1990-01-01

    The open-circuit potential of an electrochemically grown iridium oxide film is measured and shows a pH sensitivity between −60 and −80 mV/pH. This sensitivity is found to depend on the state of oxidation of the iridium oxide film; for a higher state of oxidation (or more of the oxide in the high

  14. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  15. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  16. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    CERN Document Server

    Chen, S J; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn sub 3 P sub 2. Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I sub 4) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrate...

  17. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    International Nuclear Information System (INIS)

    Chen, S J; Liu, Y C; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn 3 P 2 . Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I 4 ) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrates

  18. Comparative study of zinc oxide and aluminum doped zinc oxide transparent thin films grown by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Suchea, M.; Christoulakis, S.; Katsarakis, N.; Kitsopoulos, T.; Kiriakidis, G.

    2007-01-01

    Pure and aluminum (Al) doped zinc oxide (ZnO and ZAO) thin films have been grown using direct current (dc) magnetron sputtering from pure metallic Zn and ceramic ZnO targets, as well as from Al-doped metallic ZnAl2at.% and ceramic ZnAl2at.%O targets at room temperature (RT). The effects of target composition on the film's surface topology, crystallinity, and optical transmission have been investigated for various oxygen partial pressures in the sputtering atmosphere. It has been shown that Al-doped ZnO films sputtered from either metallic or ceramic targets exhibit different surface morphology than the undoped ZnO films, while their preferential crystalline growth orientation revealed by X-ray diffraction remains always the (002). More significantly, Al-doping leads to a larger increase of the optical transmission and energy gap (E g ) of the metallic than of the ceramic target prepared films

  19. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  20. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  1. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, Chintalapalle V.; Atuchin, Victor V.; Kesler, V. G.; Kochubey, V. A.; Pokrovsky, L. D.; Shutthanandan, V.; Becker, U.; Ewing, Rodney C.

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of argon-oxygen gas mixture under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of Ts and pO2 on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 *C under 62.3% O2 pressure were stoichiometric and polycrystalline MoO3. Films grown at lower pO2 were nonstoichiometric MoOx films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO3 films.

  2. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  3. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  4. Composition of MBE-grown iron oxide films

    NARCIS (Netherlands)

    Voogt, F.C; Hibma, T; Smulders, P.J M; Niesen, L

    A wide range of iron oxides have been grown epitaxially on MgO(100) substrates using a dual beam technique in which the deposited iron is oxidised by a beam of NO2 particles. At high fluxes magnetite (Fe3-deltaO4) phases with compositions between near-stoichiometric magnetite (Fe3O4, delta = 0) and

  5. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, C.V. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)]. E-mail: ramanacv@umich.edu; Atuchin, V.V. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Technical Centre, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kochubey, V.A. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Pokrovsky, L.D. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Shutthanandan, V. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Becker, U. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States); Ewing, R.C. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of an argon-oxygen gas mixture under varying conditions of substrate temperature (T {sub s}) and oxygen partial pressure (pO{sub 2}). The effect of T {sub s} and pO{sub 2} on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy-dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of T {sub s} and pO{sub 2} on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 deg. C under 62.3% O{sub 2} pressure were stoichiometric and polycrystalline MoO{sub 3}. Films grown at lower pO{sub 2} were non-stoichiometric MoO {sub x} films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO{sub 3} films.

  6. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  7. Electro-mechanical coupling of semiconductor film grown on stainless steel by oxidation

    Science.gov (United States)

    Lin, M. C.; Wang, G.; Guo, L. Q.; Qiao, L. J.; Volinsky, Alex A.

    2013-09-01

    Electro-mechanical coupling phenomenon in oxidation film on stainless steel has been discovered by using current-sensing atomic force microscopy, along with the I-V curves measurements. The oxidation films exhibit either ohmic, n-type, or p-type semiconductor properties, according to the obtained I-V curves. This technique allows characterizing oxidation films with high spatial resolution. Semiconductor properties of oxidation films must be considered as additional stress corrosion cracking mechanisms.

  8. Influence of growth temperature on electrical, optical, and plasmonic properties of aluminum:zinc oxide films grown by radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Dondapati, Hareesh; Santiago, Kevin; Pradhan, A. K. [Center for Materials Research, Norfolk State University, 700 Park Avenue, Norfolk, Virginia 23504 (United States)

    2013-10-14

    We have investigated the responsible mechanism for the observation of metallic conductivity at room temperature and metal-semiconductor transition (MST) at lower temperatures for aluminum-doped zinc oxide (AZO) films. AZO films were grown on glass substrates by radio-frequency magnetron sputtering with varying substrate temperatures (T{sub s}). The films were found to be crystalline with the electrical resistivity close to 1.1 × 10{sup −3} Ω cm and transmittance more than 85% in the visible region. The saturated optical band gap of 3.76 eV was observed for the sample grown at T{sub s} of 400 °C, however, a slight decrease in the bandgap was noticed above 400 °C, which can be explained by Burstein–Moss effect. Temperature dependent resistivity measurements of these highly conducting and transparent films showed a MST at ∼110 K. The observed metal-like and metal-semiconductor transitions are explained by taking into account the Mott phase transition and localization effects due to defects. All AZO films demonstrate crossover in permittivity from positive to negative and low loss in the near-infrared region, illustrating its applications for plasmonic metamaterials, including waveguides for near infrared telecommunication region. Based on the results presented in this study, the low electrical resistivity and high optical transmittance of AZO films suggested a possibility for the application in the flexible electronic devices, such as transparent conducting oxide film on LEDs, solar cells, and touch panels.

  9. Characterization of single crystal uranium-oxide thin films grown via reactive-gas magnetron sputtering on yttria-stabilized zirconia and sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Strehle, Melissa M.; Heuser, Brent J., E-mail: bheuser@illinois.edu; Elbakhshwan, Mohamed S.; Han Xiaochun; Gennardo, David J.; Pappas, Harrison K.; Ju, Hyunsu

    2012-06-30

    The microstructure and valence states of three single crystal thin film systems, UO{sub 2} on (11{sup Macron }02) r-plane sapphire, UO{sub 2} on (001) yttria-stabilized zirconia, and U{sub 3}O{sub 8} on (11{sup Macron }02) r-plane sapphire, grown via reactive-gas magnetron sputtering are analyzed primarily with X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and ultraviolet photoelectron spectroscopy (UPS). XRD analysis indicates the growth of single crystal domains with varying degrees of mosaicity. XPS and UPS analyses yield U-4f, U-5f, O-1s, and O-2p electron binding energies consistent with reported bulk values. A change from p-type to n-type semiconductor behavior induced by preferential sputtering of oxygen during depth profile analysis was observed with both XPS and UPS. Trivalent cation impurities (Nd and Al) in UO{sub 2} lower the Fermi level, shifting the XPS spectral weight. This observation is consistent with hole-doping of a Mott-Hubbard insulator. The uranium oxide-(11{sup Macron }02) sapphire system is unstable with respect to Al interdiffusion across the film-substrate interface at elevated temperature. - Highlights: Black-Right-Pointing-Pointer Single crystal uranium-oxides grown on sapphire and yttria-stabilized zirconia. Black-Right-Pointing-Pointer Anion and cation valence states studied by photoelectron emission spectroscopy. Black-Right-Pointing-Pointer Trivalent Nd and Al impurities lower the Fermi level. Black-Right-Pointing-Pointer Uranium-oxide films on sapphire found to be unstable with respect to Al interdiffusion.

  10. Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)

    2008-07-01

    Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.

  11. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  12. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  13. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  14. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  15. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  16. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  17. Tungsten oxide nanowires grown on amorphous-like tungsten films

    International Nuclear Information System (INIS)

    Dellasega, D; Pezzoli, A; Russo, V; Passoni, M; Pietralunga, S M; Nasi, L; Conti, C; Vahid, M J; Tagliaferri, A

    2015-01-01

    Tungsten oxide nanowires have been synthesized by vacuum annealing in the range 500–710 °C from amorphous-like tungsten films, deposited on a Si(100) substrate by pulsed laser deposition (PLD) in the presence of a He background pressure. The oxygen required for the nanowires formation is already adsorbed in the W matrix before annealing, its amount depending on deposition parameters. Nanowire crystalline phase and stoichiometry depend on annealing temperature, ranging from W_1_8O_4_9-Magneli phase to monoclinic WO_3. Sufficiently long annealing induces the formation of micrometer-long nanowires, up to 3.6 μm with an aspect ratio up to 90. Oxide nanowire growth appears to be triggered by the crystallization of the underlying amorphous W film, promoting their synthesis at low temperatures. (paper)

  18. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  19. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  20. Investigation of in-pile grown corrosion films on zirconium-based alloys

    International Nuclear Information System (INIS)

    Gebhardt, O.; Hermann, A.; Bart, G.; Blank, H.; Ray, I.L.F.

    1996-01-01

    In-pile grown corrosion films on different fuel rod claddings (standard Zircaloy-4, extra low tin Zircaloy (ELS), and Zr2.5Nb) have been studied using a variety of experimental techniques. The aim of the investigations was to find out common features and differences between the corrosion layers grown on zirconium alloys having different composition. Methods applied were scanning and transmission electron microscopy (SEM, TEM), electrochemical impedance spectroscopy (EIS), and electrochemical anodization. The morphological differences have been observed between the specimens that could explain the irradiation enhancement of corrosion of Zircaloy-4. The features of the compact oxide close to the oxide/metal interface have been characterized by electrochemical methods. The relationship between the thickness of this protective oxide and the overall oxide thickness has been investigated by EIS. It was found that this relation is dependent on the location of the oxide along the fuel rod and on the corrosion rate

  1. Stoichiometry and characterization of aluminum oxynitride thin films grown by ion-beam-assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zabinski, J.S. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Hu, J.J. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)], E-mail: Jianjun.Hu@WPAFB.AF.MIL; Bultman, J.E. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Pierce, N.A. [Propulsion Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Voevodin, A.A. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)

    2008-07-31

    Oxides are inherently stable in air at elevated temperatures and may serve as wear resistant matrices for solid lubricants. Aluminum oxide is a particularly good candidate for a matrix because it has good diffusion barrier properties and modest hardness. Most thin film deposition techniques that are used to grow alumina require high temperatures to impart crystallinity. Crystalline films are about twice as hard as amorphous ones. Unfortunately, the mechanical properties of most engineering steels are degraded at temperatures above 250-350 deg. C. This work is focused on using energetic reactive ion bombardment during simultaneous pulsed laser deposition to enhance film crystallization at low temperatures. Alumina films were grown at several background gas pressures and temperatures, with and without Ar ion bombardment. The films were nearly stoichiometric except for depositions in vacuum. Using nitrogen ion bombardment, nitrogen was incorporated into the films and formed the Al-O-N matrix. Nitrogen concentration could be controlled through selection of gas pressure and ion energy. Crystalline Al-O-N films were grown at 330 deg. C with a negative bias voltage to the substrate, and showed improved hardness in comparison to amorphous films.

  2. Photoconductivity of oxidized nanostructured PbTe(In) films

    International Nuclear Information System (INIS)

    Dobrovolsky, A A; Ryabova, L I; Khokhlov, D R; Dashevsky, Z M; Kasiyan, V A

    2009-01-01

    Photoconductivity of as-grown and oxidized nanocrystalline PbTe(In) films has been studied in the dc and ac modes at temperatures 4.2–300 K. The electric transport in the films is defined by two mechanisms: conductivity through barriers at grain boundaries and transport along inversion channels at the grain surface. Modification of the transport mechanisms induced by oxidation is considered. Relatively weak oxidation results in an increase in the contribution of grain barriers to conductivity followed by an enhancement of the photoconductivity amplitude. Instead, this contribution drops in the case of deep oxidation resulting in a photoresponse reduction. It is shown that the main mechanism of charge transport in deeply oxidized films at low temperatures is hopping along inversion channels at the grain surface. It is demonstrated that the photoconductive response of nanocrystalline materials may be optimized by variation of the oxidation level, measurement frequency and temperature

  3. Post-deposition annealing effects in RF reactive magnetron sputtered indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, M A; Herrero, J; Gutierrez, M T [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1992-05-01

    Indium tin oxide films have been grown by RF reactive magnetron sputtering. The influence of the deposition parameters on the properties of the films has been investigated and optimized, obtaining a value for the figure of merit of 6700 ({Omega} cm){sup -1}. As-grown indium tin oxide films were annealed in vacuum and O{sub 2} atmosphere. After these heat treatments the electro-optical properties were improved, with values for the resistivity of 1.9x10{sup -4} {Omega} cm and the figure of merit of 26700 ({Omega} cm){sup -1}. (orig.).

  4. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  5. Chemically grown, porous, nickel oxide thin-film for electrochemical supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Inamdar, A.I.; Kim, YoungSam; Im, Hyunsik [Department of Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of); Pawar, S.M.; Kim, J.H. [Department of Materials Science and Engineering, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Kim, Hyungsang [Department of Physics, Dongguk University, Seoul 100-715 (Korea, Republic of)

    2011-02-15

    A porous nickel oxide film is successfully synthesized by means of a chemical bath deposition technique from an aqueous nickel nitrate solution. The formation of a rock salt NiO structure is confirmed with XRD measurements. The electrochemical supercapacitor properties of the nickel oxide film are examined using cyclic voltammetery (CV), galvanostatic and impedance measurements in two different electrolytes, namely, NaOH and KOH. A specific capacitance of {proportional_to}129.5 F g{sup -1} in the NaOH electrolyte and {proportional_to}69.8 F g{sup -1} in the KOH electrolyte is obtained from a cyclic voltammetery study. The electrochemical stability of the NiO electrode is observed for 1500 charge-discharge cycles. The capacitative behaviour of the NiO electrode is confirmed from electrochemical impedance measurements. (author)

  6. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  7. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  8. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  9. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  10. Anodic luminescence, structural, photoluminescent, and photocatalytic properties of anodic oxide films grown on niobium in phosphoric acid

    Energy Technology Data Exchange (ETDEWEB)

    Stojadinović, Stevan, E-mail: sstevan@ff.bg.ac.rs [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia); Tadić, Nenad [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia); Radić, Nenad [University of Belgrade, Institute of Chemistry, Technology and Metallurgy, Department of Catalysis and Chemical Engineering, Njegoševa 12, 11000 Belgrade (Serbia); Stefanov, Plamen [Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Block 11, 1113 Sofia (Bulgaria); Grbić, Boško [University of Belgrade, Institute of Chemistry, Technology and Metallurgy, Department of Catalysis and Chemical Engineering, Njegoševa 12, 11000 Belgrade (Serbia); Vasilić, Rastko [University of Belgrade, Faculty of Physics, Studentski trg 12-16, 11000 Belgrade (Serbia)

    2015-11-15

    Graphical abstract: - Highlights: • Anodic luminescence is correlated to the existence of morphological defects in the oxide. • Spectrum under spark discharging reveals only oxygen and hydrogen lines. • Oxide films formed under spark discharging are crystallized and composed of Nb{sub 2}O{sub 5}. • Photocatalytic activity and photoluminescence of Nb{sub 2}O{sub 5} films increase with time. - Abstract: This article reports on properties of oxide films obtained by anodization of niobium in phosphoric acid before and after the dielectric breakdown. Weak anodic luminescence of barrier oxide films formed during the anodization of niobium is correlated to the existence of morphological defects in the oxide layer. Small sized sparks generated by dielectric breakdown of formed oxide film cause rapid increase of luminescence intensity. The luminescence spectrum of obtained films on niobium under spark discharging is composed of continuum radiation and spectral lines caused by electronic spark discharging transitions in oxygen and hydrogen atoms. Oxide films formed before the breakdown are amorphous, while after the breakdown oxide films are partly crystalline and mainly composed of Nb{sub 2}O{sub 5} hexagonal phase. The photocatalytic activity of obtained oxide films after the breakdown was investigated by monitoring the degradation of methyl orange. Increase of the photocatalytic activity with time is related to an increase of oxygen vacancy defects in oxide films formed during the process. Also, higher concentration of oxygen vacancy defects in oxide films results in higher photoluminescence intensity.

  11. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    International Nuclear Information System (INIS)

    Shirahata, Takahiro; Kawaharamura, Toshiyuki; Fujita, Shizuo; Orita, Hiroyuki

    2015-01-01

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10"−"4 Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac)_2], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH_3 to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10"−"3 Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac)_2] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10"−"3 Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  12. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shirahata, Takahiro [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan); Kawaharamura, Toshiyuki [Research Institute, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); School of Systems Engineering, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); Fujita, Shizuo, E-mail: fujitasz@kuee.kyoto-u.ac.jp [Photonics and Electronics Science and Engineering Center, Kyoto University, Katsura, Nishikyo-ku, Kyoto 615-8520 (Japan); Orita, Hiroyuki [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan)

    2015-12-31

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10{sup −4} Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac){sub 2}], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH{sub 3} to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10{sup −3} Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac){sub 2}] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10{sup −3} Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  13. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  14. Tungsten oxide thin films grown by thermal evaporation with high resistance to leaching

    Energy Technology Data Exchange (ETDEWEB)

    Correa, Diogo S. [Universidade Federal de Pelotas (UFPel), RS (Brazil). Centro de Ciencias Quimicas, Farmaceuticas e de Alimentos; Pazinato, Julia C.O.; Freitas, Mauricio A. de; Radtke, Claudio; Garcia, Irene T.S., E-mail: irene@iq.ufrgs.br [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil). Instituto de Quimica; Dorneles, Lucio S. [Universidade Federal de Santa Maria (UFSM), RS (Brazil). Centro de Ciencias Naturais e Exatas

    2014-05-15

    Tungsten oxides show different stoichiometries, crystal lattices and morphologies. These characteristics are important mainly when they are used as photocatalysts. In this work tungsten oxide thin films were obtained by thermal evaporation on (100) silicon substrates covered with gold and heated at 350 and 600 °C, with different deposition times. The stoichiometry of the films, morphology, crystal structure and resistance to leaching were characterized through X-ray photoelectron spectroscopy, micro-Raman spectroscopy, scanning and transmission electron microscopy, X-ray diffractometry, Rutherford backscattering spectrometry and O{sup 16} (α,α')O{sup 16} resonant nuclear reaction. Films obtained at higher temperatures show well-defined spherical nanometric structure; they are composed of WO{sub 3.1} and the presence of hydrated tungsten oxide was also observed. The major crystal structure observed is the hexagonal. Thin films obtained through thermal evaporation present resistance to leaching in aqueous media and excellent performance as photocatalysts, evaluated through the degradation of the methyl orange dye. (author)

  15. Influences of different oxidants on the characteristics of HfAlOx films deposited by atomic layer deposition

    International Nuclear Information System (INIS)

    Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue

    2013-01-01

    A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  17. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  18. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  19. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  20. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  1. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  2. Boron-doped zinc oxide thin films for large-area solar cells grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chen, X.L.; Xu, B.H.; Xue, J.M.; Zhao, Y.; Wei, C.C.; Sun, J.; Wang, Y.; Zhang, X.D.; Geng, X.H.

    2007-01-01

    Boron-doped zinc oxide (ZnO:B) films were grown by metal organic chemical vapor deposition using diethylzinc (DEZn), and H 2 O as reactant gases and diborane (B 2 H 6 ) as an n-type dopant gas. The structural, electrical and optical properties of ZnO films doped at different B 2 H 6 flow rates were investigated. X-ray diffraction spectra and scanning electron microscopy images indicate that boron-doping plays an important role on the microstructure of ZnO films, which induced textured morphology. With optimized conditions, low sheet resistance (∼ 30 Ω/□), high transparency (> 85% in the visible light and infrared range) and high mobility (17.8 cm 2 V -1 s -1 ) were obtained for 700-nm ZnO:B films deposited on 20 cm x 20 cm glass substrates at the temperature of 443 K. After long-term exposure in air, the ZnO:B films also showed a better electrical stability than the un-doped samples. With the application of ZnO:B/Al back contacts, the short circuit current density was effectively enhanced by about 3 mA/cm 2 for a small area a-Si:H cell and a high efficiency of 9.1% was obtained for a large-area (20 cm x 20 cm) a-Si solar module

  3. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  4. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A.; Anthopoulos, Thomas D.

    2017-01-01

    with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors

  5. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  6. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Skorupa, W.; Anwand, W.

    2009-01-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ∼400 deg. C, the films changed from n type to p type. Hole concentration and mobility of ∼6x10 17 cm -3 and ∼6 cm 2 V -1 s -1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the As Zn -2V Zn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  7. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    Science.gov (United States)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  8. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Byunggu; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2017-01-15

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  9. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    International Nuclear Information System (INIS)

    Kim, Byunggu; Leem, Jae-Young

    2017-01-01

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  10. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  11. Studies on the growth of oxide films on alloy 800 and alloy 600 in lithiated water at high temperature

    International Nuclear Information System (INIS)

    Olmedo, A.M.; Bordon, R.

    2007-01-01

    In this work, the oxide films grown on Alloy 800 and Alloy 600 in lithiated (pH 25 C d egrees = 10.2-10.4) water at high temperature, with and without hydrogen overpressure (HO) and an initial oxygen dissolved in the water have been studied. The oxide films were grown at different temperatures (220-350 C degrees) and exposure times with HO, and at 315 C degrees without HO in static autoclaves. Some results are also reported for oxide layers grown on Alloy 800 coupons exposed in a high temperature loop during extended exposure times. The average oxide thickness was determined using descaling procedures. The morphology and composition of the oxide films were analyzed with scanning electron microscopy (SEM), EDS and X-ray diffraction (XRD). For both Alloys, at 350 C degrees with HO, the oxide layers were clearly composed of a double layer: an inner one of very small crystallites and an outer layer formed by bigger crystals scattered over the inner one. The analysis by X-ray diffraction indicated the presence of spinel structures like magnetite (Fe 3 O 4 ) and ferrites and/or nickel chromites. In this case the average oxide thickness was around 0.12 to 0.15 μm for both Alloys. Similar values were found at lower temperatures. The morphology of the oxide layer was similar at lower temperatures for Alloy 800, but a different morphology consisting of platelets or needles was found for Alloy 600. The oxide morphology found at 315 C degrees, without HO and with initial dissolved oxygen in the water, was also very different between both Alloys. The oxide film grown on Alloy 600 with an initial dissolved oxygen in the water, showed clusters of platelets forming structures like flowers that were dispersed on an rather homogeneous layer consisting of smaller platelets or needles. The average oxide film grown in this case was around 0.25 μm for Alloy 600 and 0.18 μm for Alloy 800. (author) [es

  12. Characterization of interference thin films grown on stainless steel surface by alternate pulse current in a sulphochromic solution

    Directory of Open Access Journals (Sweden)

    Rosa Maria Rabelo Junqueira

    2008-12-01

    Full Text Available The aim of this work was to characterize thin interference films grown on the surface of AISI 304 stainless steel for decorative purposes. Films were grown in a sulphochromic solution at room temperature by an alternating pulse current method. The morphology and chemical state of the elements in the films were investigated by field emission scanning electron microscopy (FESEM, atomic force microscopy (AFM, glow discharge optical emission spectrometry (GDOES, and infrared Fourier transform spectroscopy (FTIR. Depth-sensing indentation (DSI experiments and wear abrasion tests were employed to assess the mechanical resistance of the films. The coloration process resulted in porous thin films which increased the surface roughness of the substrate. The interference films mainly consisted of hydrated chromium oxide containing iron. Increasing film thickness produced different colors and affected the mechanical properties of the coating-substrate system. Thicker films, such as those producing gold and green colors, were softer but more abrasion resistant.

  13. Highly stable hydrogenated gallium-doped zinc oxide thin films grown by DC magnetron sputtering using H2/Ar gas

    International Nuclear Information System (INIS)

    Takeda, Satoshi; Fukawa, Makoto

    2004-01-01

    The effects of water partial pressure (P H 2 O ) on electrical and optical properties of Ga-doped ZnO films grown by DC magnetron sputtering were investigated. With increasing P H 2 O , the resistivity (ρ) of the films grown in pure Ar gas (Ar-films) significantly increased due to the decrease in both free carrier density and Hall mobility. The transmittance in the wavelength region of 300-400 nm for the films also increased with increasing P H 2 O . However, no significant P H 2 O dependence of the electrical and optical properties was observed for the films grown in H 2 /Ar gas mixture (H 2 /Ar-films). Secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) analysis revealed that hydrogen concentration in the Ar-films increased with increasing P H 2 O and grain size of the films decreases with increasing the hydrogen concentration. These results indicate that the origin of the incorporated hydrogen is attributed to the residual water vapor in the coating chamber, and that the variation of ρ and transmittance along with P H 2 O of the films resulted from the change in the grain size. On the contrary, the hydrogen concentration in H 2 /Ar-films was almost constant irrespective of P H 2 O and the degree of change in the grain size of the films versus P H 2 O was much smaller than that of Ar-films. These facts indicate that the hydrogen primarily comes from H 2 gas and the adsorption species due to H 2 gas preferentially adsorb to the growing film surface over residual water vapor. Consequently, the effects of P H 2 O on the crystal growth are reduced

  14. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  15. Comparison of topotactic fluorination methods for complex oxide films

    Science.gov (United States)

    Moon, E. J.; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; Barbash, D.; May, S. J.

    2015-06-01

    We have investigated the synthesis of SrFeO3-αFγ (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  16. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  17. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  18. Nanoporous zinc oxide films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Ghimpu, L.; Lupan, O.; Popescu, L.; Tiginyanu, I.M.

    2011-01-01

    In this paper we demonstrate an inexpensive approach for the fabrication of nanoporous zinc oxide films by using magnetron sputtering. Study of the structural properties proves the crystallographic perfection of porous nanostructures and the possibility of its controlling by adjusting the technological parameters in the growth process. The XRD pattern of nanoporous ZnO films exhibits high intensity of the peaks relative to the background signal which is indicative of the ZnO hexagonal phase and a good crystallinity of the samples grown by magnetron sputtering.

  19. Effects of thermal treatment on the anodic growth of tungsten oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Chai, Y., E-mail: yqchai85@gmail.com; Tam, C.W.; Beh, K.P.; Yam, F.K.; Hassan, Z.

    2015-08-03

    This work reports the investigation of the effects of thermal treatment on anodic growth tungsten oxide (WO{sub 3}). The increase of the thermal treatment temperature above 400 °C significantly influences WO{sub 3} film where high porosity structure reduces to more compact film. As-grown film is amorphous, which transforms to monoclinic/orthorhombic phase upon annealing at 300–600 °C. With the reducing of porous structure, preferential growth of (002) plane shifts to (020) plane at 600 °C with more than twentyfold increase of peak's intensity compared to the film annealed at 500 °C. Films annealed at low thermal treatment show better ion intercalation and reversibility during electrochemical measurements; however, it has larger optical band gap. Photoelectrochemical measurement reveals that film annealed at 400 °C exhibits the best photocatalytic performance among the films annealed at 300–600 °C. - Highlights: • Porosity of the WO{sub 3} reduces as annealing temperature increases above 400 °C. • As-grown film is amorphous which transforms to monoclinic/orthorhombic upon annealing. • As-grown film shows better ion intercalation in electrochemical process. • Optical band gap of WO{sub 3} reduces as the annealing temperature increases. • Film annealed at 400 °C exhibits best photocatalytic performance.

  20. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  1. The effect of substrate orientation on the kinetics and thermodynamics of initial oxide-film growth on metals

    Energy Technology Data Exchange (ETDEWEB)

    Reichel, Friederike

    2007-11-19

    This thesis addresses the effect of the parent metal-substrate orientation on the thermodynamics and kinetics of ultra-thin oxide-film growth on bare metals upon their exposure to oxygen gas at low temperatures (up to 650 K). A model description has been developed to predict the thermodynamically stable microstructure of a thin oxide film grown on its bare metal substrate as function of the oxidation conditions and the substrate orientation. For Mg and Ni, the critical oxide-film thickness is less than 1 oxide monolayer and therefore the initial development of an amorphous oxide phase on these metal substrates is unlikely. Finally, for Cu and densely packed Cr and Fe metal surfaces, oxide overgrowth is predicted to proceed by the direct formation and growth of a crystalline oxide phase. Further, polished Al single-crystals with {l_brace}111{r_brace}, {l_brace}100{r_brace} and {l_brace}110{r_brace} surface orientations were introduced in an ultra-high vacuum system for specimen processing and analysis. After surface cleaning and annealing, the bare Al substrates have been oxidized by exposure to pure oxygen gas. During the oxidation, the oxide-film growth kinetics has been established by real-time in-situ spectroscopic ellipsometry. After the oxidation, the oxide-film microstructures were investigated by angle-resolved X-ray photoelectron spectroscopy and low energy electron diffraction. Finally, high-resolution transmission electron microscopic analysis was applied to study the microstructure and morphology of the grown oxide films on an atomic scale. (orig.)

  2. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  3. Comparing XPS on bare and capped ZrN films grown by plasma enhanced ALD: Effect of ambient oxidation

    Science.gov (United States)

    Muneshwar, Triratna; Cadien, Ken

    2018-03-01

    In this article we compare x-ray photoelectron spectroscopy (XPS) measurements on bare- and capped- zirconium nitride (ZrN) films to investigate the effect of ambient sample oxidation on the detected bound O in the form of oxide ZrO2 and/or oxynitride ZrOxNy. ZrN films in both bare- and Al2O3/AlN capped- XPS samples were grown by plasma-enhanced atomic layer deposition (PEALD) technique using tetrakis dimethylamino zirconium (TDMAZr) precursor, forming gas (5% H2, rest N2) inductively coupled plasma (ICP), and as received research grade process gases under identical process conditions. Capped samples were prepared by depositing 1 nm thick PEALD AlN on ZrN, followed by additional deposition of 1 nm thick ALD Al2O3, without venting of ALD reactor. On bare ZrN sample at room temperature, spectroscopic ellipsometry (SE) measurements with increasing ambient exposure times (texp) showed a self-limiting surface oxidation with the oxide thickness (dox) approaching 3.7 ± 0.02 nm for texp > 120 min. In XPS data measured prior to sample sputtering (tsput = 0), ZrO2 and ZrOxNy were detected in bare- samples, whereas only ZrN and Al2O3/AlN from capping layer were detected in capped- samples. For bare-ZrN samples, appearance of ZrO2 and ZrOxNy up to sputter depth (dsput) of 15 nm in depth-profile XPS data is in contradiction with measured dox = 3.7 nm, but explained from sputtering induced atomic inter-diffusion within analyzed sample. Appearance of artifacts in the XPS spectra from moderately sputtered (dsput = 0.2 nm and 0.4 nm) capped-ZrN sample, provides an evidence to ion-bombardment induced modifications within analyzed sample.

  4. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  5. Effects of Thermal Annealing Conditions on Cupric Oxide Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyo Seon; Oh, Hee-bong; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-07-15

    In this study, cupric oxide (CuO) thin films were grown on fluorine doped tin oxide(FTO) substrate by using spin coating method. We investigated the effects of thermal annealing temperature and thermal annealing duration on the morphological, structural, optical and photoelectrochemical properties of the CuO film. From the results, we could find that the morphologies, grain sizes, crystallinity and photoelectrochemical properties were dependent on the annealing conditions. As a result, the maximum photocurrent density of -1.47 mA/cm{sup 2} (vs. SCE) was obtained from the sample with the thermal annealing conditions of 500 ℃ and 40 min.

  6. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  7. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  8. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  9. Analysis of the stability of native oxide films at liquid lead/metal interfaces

    International Nuclear Information System (INIS)

    Lesueur, C.; Chatain, D.; Gas, P.; Bergman, C.; Baque, F.

    2002-01-01

    The interface between liquid lead and different metallic solids (pure metals: Al, Fe and Ni, and T91 steel) was investigated below 400 deg C under ultrahigh vacuum (UHV) by wetting experiments. The aim was to check the physical stability of native oxide films grown at the surface of the substrates, along a contact with liquid lead. Two types of metallic substrates were used: i) conventional bulk polycrystals, and ii) nanocrystalline films obtained by e-beam evaporation under UHV. The actual contact between liquid lead and the solid substrates was achieved by preparing lead drops in-situ. Wetting experiments were performed using sessile drop and/or liquid bridge methods. Fresh solid surfaces and former liquid/solid interfaces can be explored by squeezing and stretching a liquid lead bridge formed between two parallel and horizontal substrates. It is shown that the contact with liquid lead produces the detachment of the native oxide films grown on the metallic solids. It is concluded that if oxide coatings are needed to protect a metallic solid from attack by liquid lead, they should be self-renewable. (authors)

  10. Comparison of topotactic fluorination methods for complex oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Moon, E. J., E-mail: em582@drexel.edu; Choquette, A. K.; Huon, A.; Kulesa, S. Z.; May, S. J., E-mail: smay@coe.drexel.edu [Department of Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania 19104 (United States); Barbash, D. [Centralized Research Facilities, Drexel University, Philadelphia, Pennsylvania 19104 (United States)

    2015-06-01

    We have investigated the synthesis of SrFeO{sub 3−α}F{sub γ} (α and γ ≤ 1) perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride) as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO{sub 2.5} films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  11. Comparison of topotactic fluorination methods for complex oxide films

    Directory of Open Access Journals (Sweden)

    E. J. Moon

    2015-06-01

    Full Text Available We have investigated the synthesis of SrFeO3−αFγ (α and γ ≤ 1 perovskite films using topotactic fluorination reactions utilizing poly(vinylidene fluoride as a fluorine source. Two different fluorination methods, a spin-coating and a vapor transport approach, were performed on as-grown SrFeO2.5 films. We highlight differences in the structural, compositional, and optical properties of the oxyfluoride films obtained via the two methods, providing insight into how fluorination reactions can be used to modify electronic and optical behavior in complex oxide heterostructures.

  12. Tungsten oxide (WO3) thin films for application in advanced energy systems

    International Nuclear Information System (INIS)

    Gullapalli, S. K.; Vemuri, R. S.; Manciu, F. S.; Enriquez, J. L.; Ramana, C. V.

    2010-01-01

    Inherent processes in coal gasification plants produce hazardous hydrogen sulfide (H 2 S), which must be continuously and efficiently detected and removed before the fuel is used for power generation. An attempt has been made in this work to fabricate tungsten oxide (WO 3 ) thin films by radio-frequency reactive magnetron-sputter deposition. The impetus being the use of WO 3 films for H 2 S sensors in coal gasification plants. The effect of growth temperature, which is varied in the range of 30-500 deg. C, on the growth and microstructure of WO 3 thin films is investigated. Characterizations made using scanning electron microscopy (SEM) and x-ray diffraction (XRD) indicate that the effect of temperature is significant on the microstructure of WO 3 films. XRD and SEM results indicate that the WO 3 films grown at room temperature are amorphous, whereas films grown at higher temperatures are nanocrystalline. The average grain-size increases with increasing temperature. WO 3 films exhibit smooth morphology at growth temperatures ≤300 deg. C while relatively rough at >300 deg. C. The analyses indicate that the nanocrystalline WO 3 films grown at 100-300 deg. C could be the potential candidates for H 2 S sensor development for application in coal gasification systems.

  13. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  14. solution growth and characterization of copper oxide thin films ...

    African Journals Online (AJOL)

    Thin films of copper oxide (CuO) were grown on glass slides by using the solution growth technique. Copper cloride (CuCl ) and potassium telluride (K T O ) were used. Buffer 2 2e 3 solution was used as complexing agent. The solid state properties and optical properties were obtained from characterization done using PYE ...

  15. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  16. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  17. Coloration and bleaching mechanism of niobium oxide electrochromic thin films; Sanka niobu electromic usumaku no chakushoshoku mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Yoshimura, K; Miki, T; Tazawa, M; Jin, P; Igarashi, K; Tanemura, S [National Industrial Research Institute of Nagoya, Nagoya (Japan)

    1997-11-25

    In order to search for the coloration and bleaching mechanism of niobium oxide, considerations were given on optical properties and electron conditions in niobium oxide thin films (glass plates as substrates coated with ITO) prepared by using the reactive DC magnetron sputtering process. The films were so grown that their thickness will all be 100 nm to facilitate data comparison. Coloration and bleaching of the grown test films were conducted by cyclic voltammetry. Electron spectra were measured by using XPS, and electron energy was analyzed. Coloration of niobium oxide occurs as a result of change in valency electron state from an Nb {sup 5+} state to an Nb {sup 4+} state, while change in the XPS spectra also corresponds with the above change. However, the XPS spectra differ greatly between crystalline samples and amorphous samples. The coloration and bleaching mechanism of the crystallized Nb2O5 film can be explained by a reaction formula similar to that for WO3. However, with regard to the amorphous Nb2O5 film, an independent reaction involving water in the film seems to occur together with the same reaction as in the crystallized film. 9 refs., 5 figs.

  18. Transparent indium zinc oxide thin films used in photovoltaic cells based on polymer blends

    International Nuclear Information System (INIS)

    Besleaga, Cristina; Ion, L.; Ghenescu, Veta; Socol, G.; Radu, A.; Arghir, Iulia; Florica, Camelia; Antohe, S.

    2012-01-01

    Indium zinc oxide (IZO) thin films were obtained using pulsed laser deposition. The samples were prepared by ablation of targets with In concentrations, In/(In + Zn), of 80 at.%, at low substrate temperatures under reactive atmosphere. IZO films were used as transparent electrodes in polymer-based – poly(3-hexylthiophene) and 1-(3-methoxycarbonyl)-propyl-1-phenyl-(6,6)C61 1:1 blend – photovoltaic cells. The action spectra measurements revealed that IZO-based photovoltaic structures have performances comparable with those using indium–tin–oxide as transparent electrode. - Highlights: ► Indium zinc oxide films were grown by pulsed laser deposition at room temperature. ► The films had large free carrier density and reasonably high mobility. ► These films fit for transparent electrodes in polymer-based photovoltaic cells.

  19. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  20. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  1. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  2. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  3. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  4. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  5. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  6. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  7. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    International Nuclear Information System (INIS)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin

    2014-01-01

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  8. Zinc-oxide nanorod / copper-oxide thin-film heterojunction for a nitrogen-monoxide gas sensor

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hwansu; Kim, Hyojin; Kim, Dojin [Chungnam National University, Daejeon (Korea, Republic of)

    2014-11-15

    A novel p - n oxide heterojunction structure was fabricated by employing n-type zinc-oxide (ZnO) nanorods grown on an indium-tin-oxide-coated glass substrate by using the hydrothermal method and a p-type copper-oxide (CuO) thin film deposited onto the ZnO nanorod array by using the sputtering method. The crystallinities and microstructures of the heterojunction materials were examined by using X-ray diffraction and scanning electron microscopy. The observed current - voltage characteristics of the p - n oxide heterojunction showed a nonlinear diode-like rectifying behavior. The effects of an oxidizing or electron acceptor gas, such as nitrogen monoxide (NO), on the ZnO nanorod/CuO thin-film heterojunction were investigated to determine the potential applications of the fabricated material for use in gas sensors. The forward current of the p - n heterojunction was remarkably reduced when NO gas was introduced into dry air at temperatures from 100 to 250 .deg. C. The NO gas response of the oxide heterojunction reached a maximum value at an operating temperature of 180 .deg. C and linearly increased as the NO gas concentration was increased from 5 to 30 ppm. The sensitivity value was observed to be as high as 170% at 180 .deg. C when biased at 2 V in the presence of 20-ppm NO. The ZnO nanorod/CuO thin-film heterojunction also exhibited a stable and repeatable response to NO gas. The experimental results suggest that the ZnO nanorod/CuO thin-film heterojunction structure may be a novel candidate for gas sensors.

  9. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  10. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    Science.gov (United States)

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  11. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Effect of the niobium additions in the passive films potentiostatically grown in a sulphate medium

    International Nuclear Information System (INIS)

    Kuri, S.E.; Martins, M.; D'Alkaine, C.V.

    1984-01-01

    The stability of passive films potentiostatically grown on stainless steel electrodes was studied in a 2 N sulfuric acid. The effect of Niobium contents in the base metal was considered. The reactivation time was measured using the method of Potential Decay Measurements under Open-Circuit Conditions after electrochemical aging in the passivity region, and its influence on the surface oxidation states, was discussed. (Author) [pt

  13. Correlation of electrolyte-derived inclusions to crystallization in the early stage of anodic oxide film growth on titanium

    Energy Technology Data Exchange (ETDEWEB)

    Jaeggi, C., E-mail: christian.jaeggi@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Advanced Materials Processing Laboratory, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Parlinska-Wojtan, M., E-mail: magdalena.parlinska@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Center for Electron Microscopy, Ueberlandstrasse 129, CH-8600 Duebendorf (Switzerland); Kern, P., E-mail: Philippe.Kern@neopac.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Laboratory for Mechanics of Materials and Nanostructures, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland)

    2012-01-01

    Pure titanium has been subjected to anodization in sulfuric and phosphoric acid. For a better understanding of the oxide growth and properties of the final film, with a particular interest focused on the solution anions in the early stage of crystallization, microstructural analyses (Raman, Transmission Electron Microscopy [TEM]) of the oxide films were correlated to chemical depth profiling by glow discharge optical emission spectroscopy (GDOES). Raman spectroscopy shows that crystallization of the oxide films starts at potentials as low as 10-20 V. The onset of crystallization and the ongoing increase in crystallinity with increasing anodization potentials had already earlier been correlated to ac-impedance measurements [Jaeggi et al., Surf. Interface Anal. 38 (2006) 182]. TEM observations show a clear difference in the early phase of crystallization between oxides grown in 1 M sulfuric acid compared to 1 M phosphoric acid. Moreover, independent of electrolyte type, nano-sized pores from oxygen bubbles formation were revealed in the central part of the films. Until now, oxygen bubbles inside an anodically grown oxide have not been observed before without the presence of crystalline regions nearby. A growth model is proposed, in which the different starting locations of crystallization inside the films are correlated to the presence of the acid anions as residues in the film, as found by GDOES chemical depth-profiling.

  14. Oxidant-Dependent Thermoelectric Properties of Undoped ZnO Films by Atomic Layer Deposition

    KAUST Repository

    Kim, Hyunho

    2017-02-27

    Extraordinary oxidant-dependent changes in the thermoelectric properties of undoped ZnO thin films deposited by atomic layer deposition (ALD) have been observed. Specifically, deionized water and ozone oxidants are used in the growth of ZnO by ALD using diethylzinc as a zinc precursor. No substitutional atoms have been added to the ZnO films. By using ozone as an oxidant instead of water, a thermoelectric power factor (σS) of 5.76 × 10 W m K is obtained at 705 K for undoped ZnO films. In contrast, the maximum power factor for the water-based ZnO film is only 2.89 × 10 W m K at 746 K. Materials analysis results indicate that the oxygen vacancy levels in the water- and ozone-grown ZnO films are essentially the same, but the difference comes from Zn-related defects present in the ZnO films. The data suggest that the strong oxidant effect on thermoelectric performance can be explained by a mechanism involving point defect-induced differences in carrier concentration between these two oxides and a self-compensation effect in water-based ZnO due to the competitive formations of both oxygen and zinc vacancies. This strong oxidant effect on the thermoelectric properties of undoped ZnO films provides a pathway to improve the thermoelectric performance of this important material.

  15. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  16. Photocatalytic Activity and Stability of Porous Polycrystalline ZnO Thin-Films Grown via a Two-Step Thermal Oxidation Process

    Directory of Open Access Journals (Sweden)

    James C. Moore

    2014-08-01

    Full Text Available The photocatalytic activity and stability of thin, polycrystalline ZnO films was studied. The oxidative degradation of organic compounds at the ZnO surface results from the ultraviolet (UV photo-induced creation of highly oxidizing holes and reducing electrons, which combine with surface water to form hydroxyl radicals and reactive oxygen species. Therefore, the efficiency of the electron-hole pair formation is of critical importance for self-cleaning and antimicrobial applications with these metal-oxide catalyst systems. In this study, ZnO thin films were fabricated on sapphire substrates via direct current sputter deposition of Zn-metal films followed by thermal oxidation at several annealing temperatures (300–1200 °C. Due to the ease with which they can be recovered, stabilized films are preferable to nanoparticles or colloidal suspensions for some applications. Characterization of the resulting ZnO thin films through atomic force microscopy and photoluminescence indicated that decreasing annealing temperature leads to smaller crystal grain size and increased UV excitonic emission. The photocatalytic activities were characterized by UV-visible absorption measurements of Rhodamine B dye concentrations. The films oxidized at lower annealing temperatures exhibited higher photocatalytic activity, which is attributed to the increased optical quality. Photocatalytic activity was also found to depend on film thickness, with lower activity observed for thinner films. Decreasing activity with use was found to be the result of decreasing film thickness due to surface etching.

  17. Importance of controlling the Tl-oxide partial pressure throughout the processing of TlBa2CaCu2O7 thin films

    International Nuclear Information System (INIS)

    Siegal, M.P.; Venturini, E.L.; Newcomer, P.P.; Overmyer, D.L.; Dominguez, F.; Dunn, R.

    1995-01-01

    TlBa 2 CaCu 2 O 7 (Tl-1212) superconducting films 5000--6000 A thick have been grown on LaAlO 3 (100) substrates using oxide precursors in a closed two-zone thallination furnace. Tl-1212 films can be grown with transition temperatures ∼100 K, and critical current densities measured by magnetization of J cm (5 K)>10 7 A/cm 2 and J cm (77 K)>10 5 A/cm 2 . Processing conditions, substrate temperatures and Tl-oxide source temperatures are found which result in smooth, nearly phase-pure Tl-1212 films. Variations in the respective temperature ramps of the Tl-oxide zone and the substrate zone can greatly influence resulting film properties such as microstructure, morphology, superconducting transition temperature, and critical current density. copyright 1995 American Institute of Physics

  18. In-depth investigation of spin-on doped solar cells with thermally grown oxide passivation

    Directory of Open Access Journals (Sweden)

    Samir Mahmmod Ahmad

    Full Text Available Solar cell industrial manufacturing, based largely on proven semiconductor processing technologies supported by significant advancements in automation, has reached a plateau in terms of cost and efficiency. However, solar cell manufacturing cost (dollar/watt is still substantially higher than fossil fuels. The route to lowering cost may not lie with continuing automation and economies of scale. Alternate fabrication processes with lower cost and environmental-sustainability coupled with self-reliance, simplicity, and affordability may lead to price compatibility with carbon-based fuels. In this paper, a custom-designed formulation of phosphoric acid has been investigated, for n-type doping in p-type substrates, as a function of concentration and drive-in temperature. For post-diffusion surface passivation and anti-reflection, thermally-grown oxide films in 50–150-nm thickness were grown. These fabrication methods facilitate process simplicity, reduced costs, and environmental sustainability by elimination of poisonous chemicals and toxic gases (POCl3, SiH4, NH3. Simultaneous fire-through contact formation process based on screen-printed front surface Ag and back surface through thermally grown oxide films was optimized as a function of the peak temperature in conveyor belt furnace. Highest efficiency solar cells fabricated exhibited efficiency of ∼13%. Analysis of results based on internal quantum efficiency and minority carried measurements reveals three contributing factors: high front surface recombination, low minority carrier lifetime, and higher reflection. Solar cell simulations based on PC1D showed that, with improved passivation, lower reflection, and high lifetimes, efficiency can be enhanced to match with commercially-produced PECVD SiN-coated solar cells. Keywords: Crystalline Si solar cells, Phosphoric acid spin-on doping, Screen printing, Thermal oxide passivation

  19. Pyroelectricity of Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown by sol–gel process on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Moalla, R. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France); Le Rhun, G. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Defay, E. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Luxembourg Institute of Science and Technology (LIST), Materials Research & Technology Department (MRT), 41 Rue du Brill, L-4422 Belvaux (Luxembourg); Baboux, N. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, INSA de Lyon, Bâtiment Blaise Pascal, 7 avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Sebald, G. [Laboratoire de Génie Electrique et Ferroélectricité, LGEF EA 682, INSA de Lyon, Bâtiment Gustave Ferrié, 8 rue de la Physique, 69621 Villeurbanne Cedex (France); Bachelet, R., E-mail: romain.bachelet@ec-lyon.fr [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France)

    2016-02-29

    Pyroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films have been grown by sol–gel process on Si(001). Intrinsic pyroelectric coefficient has been measured through ferroelectric loops recorded at different temperatures and is about − 300 μC/m{sup 2}K. Corresponding converted pyroelectric power density is estimated to be ~ 1 mW/cm{sup 3} for a temperature variation of 10 °C every 6 s. Pyroelectric response of these films has been confirmed by direct measurements of the pyroelectric current with temperature variations at zero electric field. These results are of high interest for integrated thermally-sensitive devices. - Highlights: • Functional oxide films are grown by low-cost sol–gel process and spin-coating. • Pyroelectric Pb(Zr,Ti)O{sub 3} films are integrated in planar capacitor structure on Si. • Bulk intrinsic pyroelectric coefficient is measured: ‐ 300 μC/m{sup 2}K. • Converted pyroelectric energy is estimated: 6 mJ/cm{sup 3} per 10 °C thermal cycle. • Direct measurements of pyroelectricity are done on integrated oxide thin films.

  20. Micro-length anodic porous niobium oxide for lithium-ion thin film battery applications

    International Nuclear Information System (INIS)

    Yoo, Jeong Eun; Park, Jiyoung; Cha, Gihoon; Choi, Jinsub

    2013-01-01

    The anodization of niobium in an aqueous mixture of H 3 PO 4 and HF in the potential range from 2.5 to 30 V for 2 h at 5 °C was performed, demonstrating that anodic porous niobium oxide film with a thickness of up to 2000 nm, including a surface dissolution layer, can be obtained by controlling the applied potential and composition of the electrolytes. Specifically, surface dissolution-free porous niobium oxide film with a thickness of 800 nm can be prepared in a low electrolyte concentration. The surface dissolution is observed when the concentration ratio of HF (wt.%):H 3 PO 4 (M) was more than 2:1. The discontinuous layers in the niobium oxide film were observed when the thickness was higher than 500 nm, which was ascribed to the large volume expansion of the niobium oxide grown from the niobium metal. The anodic porous niobium oxide film was used as the cathode for lithium-ion batteries in the potential range from 1.2 to 3.0 V at a current density of 7.28 × 10 − 6 A cm −2 . The first discharge capacity of ca. 53 μA h cm − 2 was obtained in 800 nm thick niobium oxide without a surface dissolution layer. - Highlights: ► Anodic porous niobium oxide film with a thickness of 2000 nm was obtained. ► Surface dissolution-free porous niobium oxide film was prepared. ► The niobium oxide film was used as the cathode for lithium-ion batteries

  1. Oxide ultrathin films science and technology

    CERN Document Server

    Pacchioni, Gianfranco

    2012-01-01

    A wealth of information in one accessible book. Written by international experts from multidisciplinary fields, this in-depth exploration of oxide ultrathin films covers all aspects of these systems, starting with preparation and characterization, and going on to geometrical and electronic structure, as well as applications in current and future systems and devices. From the Contents: Synthesis and Preparation of Oxide Ultrathin Films Characterization Tools of Oxide Ultrathin Films Ordered Oxide Nanostructures on Metal Surfaces Unusual Properties of Oxides and Other Insulators in the Ultrathin Limit Silica and High-K Dielectrics Thin Films in Microelectronics Oxide Passive Films and Corrosion Protection Oxide Films as Catalytic Materials and as Models of Real Catalysts Oxide Films in Spintronics Oxide Ultrathin Films in Solid Oxide Fuel Cells Transparent Conducting and Chromogenic Oxide Films as Solar Energy Materials Oxide Ultrathin Films in Sensor Applications Ferroelectricity in Ultrathin Film Capacitors T...

  2. Comparative study of ITO and FTO thin films grown by spray pyrolysis

    International Nuclear Information System (INIS)

    Ait Aouaj, M.; Diaz, R.; Belayachi, A.; Rueda, F.; Abd-Lefdil, M.

    2009-01-01

    Tin doped indium oxide (ITO) and fluorine doped tin oxide (FTO) thin films have been prepared by one step spray pyrolysis. Both film types grown at 400 deg. C present a single phase, ITO has cubic structure and preferred orientation (4 0 0) while FTO exhibits a tetragonal structure. Scanning electron micrographs showed homogeneous surfaces with average grain size around 257 and 190 nm for ITO and FTO respectively. The optical properties have been studied in several ITO and FTO samples by transmittance and reflectance measurements. The transmittance in the visible zone is higher in ITO than in FTO layers with a comparable thickness, while the reflectance in the infrared zone is higher in FTO in comparison with ITO. The best electrical resistivity values, deduced from optical measurements, were 8 x 10 -4 and 6 x 10 -4 Ω cm for ITO (6% of Sn) and FTO (2.5% of F) respectively. The figure of merit reached a maximum value of 2.15 x 10 -3 Ω -1 for ITO higher than 0.55 x 10 -3 Ω -1 for FTO.

  3. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  4. Polycrystalline Mn-alloyed indium tin oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Schmidt, Heidemarie; Xu, Qingyu; Vinnichenko, Mykola; Kolitsch, Andreas; Helm, Manfred; Iacomi, Felicia

    2008-01-01

    Magnetic ITO films are interesting for integrating ITO into magneto-optoelectronic devices. We investigated n-conducting indium tin oxide (ITO) films with different Mn doping concentration which have been grown by chemical vapour deposition using targets with the atomic ratio In:Sn:Mn=122:12:0,114:12:7, and 109:12:13. The average film roughness ranges between 30 and 50 nm and XRD patterns revealed a polycrystalline structure. Magnetotransport measurements revealed negative magnetoresistance for all the samples, but high field positive MR can be clearly observed at 5 K with increasing Mn doping concentration. Spectroscopic ellipsometry (SE) has been used to prove the existence of midgap states in the Mn-alloyed ITO films revealing a transmittance less than 80%. A reasonable model for the ca. 250 nm thick Mn-alloyed ITO films has been developed to extract optical constants from SE data below 3 eV. Depending on the Mn content, a Lorentz oscillator placed between 1 and 2 eV was used to model optical absorption below the band gap

  5. Opto-electronic properties of bismuth oxide films presenting different crystallographic phases

    Energy Technology Data Exchange (ETDEWEB)

    Gomez, Celia L. [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Posgrado en Ciencia e Ingeniería de Materiales, UNAM, Unidad de Posgrado, Edificio C, Piso 1, Zona Cultural de CU, México, D.F. 04510 (Mexico); Depablos-Rivera, Osmary, E-mail: osmarydep@yahoo.com [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Posgrado en Ciencia e Ingeniería de Materiales, UNAM, Unidad de Posgrado, Edificio C, Piso 1, Zona Cultural de CU, México, D.F. 04510 (Mexico); Silva-Bermudez, Phaedra [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Instituto Nacional de Rehabilitación, Calz. México Xochimilco No. 289 Col. Arenal de Guadalupe, C.P.14389, Ciudad de México, D.F. (Mexico); Muhl, Stephen [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico); Zeinert, Andreas; Lejeune, Michael; Charvet, Stephane; Barroy, Pierre [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, 33 rue Saint Leu, 80039 Amiens Cedex 1 (France); Camps, Enrique [Instituto Nacional de Investigaciones Nucleares, Carretera México-Toluca S/N, kilómetro 36.5. La Marquesa, Municipio de Ocoyoacac, CP 52750, Estado de México (Mexico); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, UNAM, Circuito Exterior s/n CU, México D.F. 04510 (Mexico)

    2015-03-02

    The optical, electrical and structural properties of bismuth oxide thin films deposited by radio frequency reactive magnetron sputtering were studied. The Bi{sub 2}O{sub 3} thin films were grown on Si and glass substrates under different power and substrate temperatures in an oxygen-enriched plasma leading to films with different crystalline phase as evidenced by X-ray diffraction and Raman spectroscopy. The optical properties of the films were measured using ellipsometric spectroscopy and optical transmission spectra. In order to parameterize the optical dispersion functions (n, k) of the films, the Tauc–Lorentz dispersion model was used. The optical bandgap was then assessed by different methods and the results are compared to the thermal variations of the electrical resistivity of the films. It was found that the refractive index, extinction coefficient and optical gap strongly depend on the deposition conditions and the crystalline phase; the fluorite defect cubic δ-Bi{sub 2}O{sub 3} phase showed the lowest optical gap and lower resistivity. - Highlights: • Different bismuth oxide phases were obtained by sputtering. • The power and substrate temperature were the two key parameters. • Room temperature delta-Bi{sub 2}O{sub 3} thin films were obtained. • The optical bandgap was around 1.5 and 2.2 eV, depending on the phase. • The bismuth oxide films presented activation energies around 1 eV.

  6. Opto-electronic properties of bismuth oxide films presenting different crystallographic phases

    International Nuclear Information System (INIS)

    Gomez, Celia L.; Depablos-Rivera, Osmary; Silva-Bermudez, Phaedra; Muhl, Stephen; Zeinert, Andreas; Lejeune, Michael; Charvet, Stephane; Barroy, Pierre; Camps, Enrique; Rodil, Sandra E.

    2015-01-01

    The optical, electrical and structural properties of bismuth oxide thin films deposited by radio frequency reactive magnetron sputtering were studied. The Bi 2 O 3 thin films were grown on Si and glass substrates under different power and substrate temperatures in an oxygen-enriched plasma leading to films with different crystalline phase as evidenced by X-ray diffraction and Raman spectroscopy. The optical properties of the films were measured using ellipsometric spectroscopy and optical transmission spectra. In order to parameterize the optical dispersion functions (n, k) of the films, the Tauc–Lorentz dispersion model was used. The optical bandgap was then assessed by different methods and the results are compared to the thermal variations of the electrical resistivity of the films. It was found that the refractive index, extinction coefficient and optical gap strongly depend on the deposition conditions and the crystalline phase; the fluorite defect cubic δ-Bi 2 O 3 phase showed the lowest optical gap and lower resistivity. - Highlights: • Different bismuth oxide phases were obtained by sputtering. • The power and substrate temperature were the two key parameters. • Room temperature delta-Bi 2 O 3 thin films were obtained. • The optical bandgap was around 1.5 and 2.2 eV, depending on the phase. • The bismuth oxide films presented activation energies around 1 eV

  7. Tungsten oxide thin films obtained by anodisation in low electrolyte concentration

    Energy Technology Data Exchange (ETDEWEB)

    Costa, Nadja B.D. da [Centro de Ciências Químicas, Farmacêuticas e de Alimentos, Universidade Federal de Pelotas, Campus Capão do Leão, s/n, Pelotas, RS (Brazil); Pazinato, Julia C.O. [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Sombrio, Guilherme; Pereira, Marcelo B.; Boudinov, Henri [Instituto de Física, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Gündel, André; Moreira, Eduardo C. [Universidade Federal do Pampa, Travessa 45, 1650 Bagé, RS (Brazil); Garcia, Irene T.S., E-mail: irene.garcia@ufrgs.br [Instituto de Química, Universidade Federal do Rio Grande do Sul, Av. Bento Gonçalves, 9500 Porto Alegre, RS (Brazil)

    2015-03-02

    Tungsten oxide nanostructured films were grown on tungsten substrates by anodisation under a fixed voltage and with sodium fluoride as electrolyte. The effect of the anion chloride and the influence of the modifying agent disodium hydrogen phosphate in the tungsten oxide films were also investigated. The structural characterisation of the films was performed by scanning electron microscopy, atomic force microscopy and Raman spectroscopy. The band gap was determined through diffuse reflectance spectroscopy. The thin films were photoluminescent and emitted in the range of 300 to 630 nm when irradiated at 266 nm. The synthesised films efficiently degraded of methyl orange dye in the presence of hydrogen peroxide and 250 nm radiation. The modifying agent was responsible for the improvement of the photocatalytic activity. Films with similar photocatalytic performance were obtained when the system sodium fluoride and disodium hydrogen phosphate were replaced by sodium chloride. The porous structure and low band gap values were responsible for the photocatalytic behaviour. - Highlights: • Tungsten oxide thin films were obtained by anodisation of tungsten in aqueous media. • The performance of the NaCl, NaF and NaF/Na{sub 2}HPO{sub 4} as electrolytes was investigated. • The relation between structure and optical behaviour has been discussed. • Films obtained with NaCl and NaF/Na{sub 2}HPO{sub 4} present similar photocatalytic activity.

  8. Structural and optical properties of pentacene films grown on differently oriented ZnO surfaces

    International Nuclear Information System (INIS)

    El Helou, M; Lietke, E; Helzel, J; Heimbrodt, W; Witte, G

    2012-01-01

    Pentacene films have been grown on two polar zinc oxide surfaces, i.e., ZnO(0001) and ZnO(0 0 0 1-bar ), as well as on the mixed-terminated ZnO(1 0 1-bar 0) and are characterized by means of atomic force microscopy (AFM), x-ray diffraction (XRD), and thermal desorption spectroscopy (TDS). In all cases, pentacene aggregates in an upright orientation without any evidence for the formation of an interface stabilized wetting layer. Additional films deposited on a highly-defective, oxygen-depleted ZnO(0 0 0 1-bar ) reveal no altered growth mode. Nearly identical optical absorption spectra have been measured for all films, thus corroborating a weak molecule-substrate interaction. Upon cooling, however, a slightly different relaxation behavior could be resolved for pentacene films on polar ZnO surfaces compared to pentacene on the mixed-terminated ZnO(1 0 1-bar 0) surface.

  9. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  10. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  11. Effects of sputtering power on properties of copper oxides thin films deposited on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ooi, P. K.; Ng, S. S.; Abdullah, M. J. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia)

    2015-04-24

    Copper oxides are deposited by radio frequency sputtering using copper target in the mixture of argon and oxygen gasses. The structural and optical properties of the copper oxides deposited at different sputtering powers have been investigated. All the films are single phase polycrystalline. At low RF power (100 W), the film is monoclinic structure of cupric oxide (CuO). Meanwhile, the films are cubic structure of cuprous oxide (Cu2O) at higher RF power. Field emission scanning electron microscopy images show the films have different morphologies with small grain size and consist of a lot of voids. The analysis of energy dispersive X-ray spectroscopy shows that the ratio of Cu to O is increased as the RF power increased. From the ultraviolet–visible spectroscopy, the films have a broad absorption edge in the range of 300–500 nm. The band gap of the films grown at RF power of 100 W, and 120 W and above, were 1.18 eV and 2.16 eV, respectively.

  12. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  13. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  14. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  15. In-depth investigation of spin-on doped solar cells with thermally grown oxide passivation

    Science.gov (United States)

    Ahmad, Samir Mahmmod; Cheow, Siu Leong; Ludin, Norasikin A.; Sopian, K.; Zaidi, Saleem H.

    Solar cell industrial manufacturing, based largely on proven semiconductor processing technologies supported by significant advancements in automation, has reached a plateau in terms of cost and efficiency. However, solar cell manufacturing cost (dollar/watt) is still substantially higher than fossil fuels. The route to lowering cost may not lie with continuing automation and economies of scale. Alternate fabrication processes with lower cost and environmental-sustainability coupled with self-reliance, simplicity, and affordability may lead to price compatibility with carbon-based fuels. In this paper, a custom-designed formulation of phosphoric acid has been investigated, for n-type doping in p-type substrates, as a function of concentration and drive-in temperature. For post-diffusion surface passivation and anti-reflection, thermally-grown oxide films in 50-150-nm thickness were grown. These fabrication methods facilitate process simplicity, reduced costs, and environmental sustainability by elimination of poisonous chemicals and toxic gases (POCl3, SiH4, NH3). Simultaneous fire-through contact formation process based on screen-printed front surface Ag and back surface through thermally grown oxide films was optimized as a function of the peak temperature in conveyor belt furnace. Highest efficiency solar cells fabricated exhibited efficiency of ∼13%. Analysis of results based on internal quantum efficiency and minority carried measurements reveals three contributing factors: high front surface recombination, low minority carrier lifetime, and higher reflection. Solar cell simulations based on PC1D showed that, with improved passivation, lower reflection, and high lifetimes, efficiency can be enhanced to match with commercially-produced PECVD SiN-coated solar cells.

  16. Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Aronniemi, Mikko; Saino, J.; Lahtinen, J.

    2008-01-01

    In this work we investigate an iron oxide thin film grown with atomic layer deposition for a gas sensor application. The objective is to characterize the structural, chemical, and electrical properties of the film, and to demonstrate its gas-sensitivity. The obtained scanning electron microscopy and atomic force microscopy results indicate that the film has a granular structure and that it has grown mainly on the glass substrate leaving the platinum electrodes uncovered. X-ray diffraction results show that iron oxide is in the α-Fe 2 O 3 (hematite) phase. X-ray photoelectron spectra recorded at elevated temperature imply that the surface iron is mainly in the Fe 3+ state and that oxygen has two chemical states: one corresponding to the lattice oxygen and the other to adsorbed oxygen species. Electric conductivity has an activation energy of 0.3-0.5 eV and almost Ohmic current-voltage dependency. When exposed to O 2 and CO, a typical n-type response is observed

  17. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.

    2012-02-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  18. Modeling the transport properties of epitaxially grown thermoelectric oxide thin films using spectroscopic ellipsometry

    KAUST Repository

    Sarath Kumar, S. R.; Abutaha, Anas I.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2012-01-01

    The influence of oxygen vacancies on the transport properties of epitaxial thermoelectric (Sr,La)TiO3 thin films is determined using electrical and spectroscopic ellipsometry (SE) measurements. Oxygen vacancy concentration was varied by ex-situ annealing in Ar and Ar/H2. All films exhibited degenerate semiconducting behavior, and electrical conductivity decreased (258–133 S cm−1) with increasing oxygen content. Similar decrease in the Seebeck coefficient is observed and attributed to a decrease in effective mass (7.8–3.2 me ), as determined by SE. Excellent agreement between transport properties deduced from SE and direct electrical measurements suggests that SE is an effective tool for studying oxide thin film thermoelectrics.

  19. Oxidation films morphology

    International Nuclear Information System (INIS)

    Paidassi, J.

    1960-01-01

    After studying the oxidation of several pure polyvalent metals (Fe, Cu, Mn, Ni, U) and of their oxides at high temperature and atmospheric pressure, the author suggests how to modify the usual representation of the oxide film (a piling of different oxide layers, homogeneous on a micrographic scale with a equi-axial crystallisation, free of mechanical tensions, with flat boundary surfaces) to have it nearer to reality. In this first part, the author exposes the study of the real micrographic structure of the oxidation film and gives examples of precipitation in the oxides during the cooling of the oxidised sample. (author) [fr

  20. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  1. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  2. Influences of residual oxygen impurities, cubic indium oxide grains and indium oxy-nitride alloy grains in hexagonal InN crystalline films grown on Si(111) substrates by electron cyclotron resonance plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, T.; Nakamura, T.; Kouyama, T.; Harada, Y.

    2005-01-01

    We investigated the influences of residual oxygen (O) impurities, cubic indium oxide (β-In 2 O 3 ) grains and indium oxy-nitride (InON) alloy grains in 200 nm-thick hexagonal (α)-InN crystalline films grown on Si(111) substrates by electron cyclotron resonance plasma-assisted molecular beam epitaxy. Although β-In 2 O 3 grains with wide band-gap energy were formed in In film by N 2 annealing, they were not easily formed in N 2 -annealed InN films. Even if they were not detected in N 2 -annealed InN films, the as-grown films still contained residual O impurities with concentrations of less than 0.5% ([O]≤0.5%). Although [O]∝1% could be estimated by investigating In 2 O 3 grains formed in N 2 -annealed InN films, [O]≤0.5% could not be measured by it. However, we found that they can be qualitatively measured by investigating In 2 O 3 grains formed by H 2 annealing with higher reactivity with InN and O 2 , using X-ray diffraction and PL spectroscopy. In this paper, we discuss the formation mechanism of InON alloy grains in InN films. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Synthesis and characterization of cobalt doped nickel oxide thin films by spray pyrolysis method

    Science.gov (United States)

    Sathisha, D.; Naik, K. Gopalakrishna

    2018-05-01

    Cobalt (Co) doped nickel oxide (NiO) thin films were deposited on glass substrates at a temperature of about 400 °C by spray pyrolysis method. The effect of Co doping concentration on structural, optical and compositional properties of NiO thin films was investigated. X-ray diffraction result shows that the deposited thin films are polycrystalline in nature. Surface morphologies of the deposited thin films were observed by FESEM and AFM. EDS spectra showed the incorporation of Co dopants in NiO thin films. Optical properties of the grown thin films were characterized by UV-visible spectroscopy. It was found that the optical band gap energy and transmittance of the films decrease with increasing Co doping concentration.

  4. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  5. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  6. Bipolar resistive switching in room temperature grown disordered vanadium oxide thin-film devices

    Science.gov (United States)

    Wong, Franklin J.; Sriram, Tirunelveli S.; Smith, Brian R.; Ramanathan, Shriram

    2013-09-01

    We demonstrate bipolar switching with high OFF/ON resistance ratios (>104) in Pt/vanadium oxide/Cu structures deposited entirely at room temperature. The SET (RESET) process occurs when negative (positive) bias is applied to the top Cu electrode. The vanadium oxide (VOx) films are amorphous and close to the vanadium pentoxide stoichiometry. We also investigated Cu/VOx/W structures, reversing the position of the Cu electrode, and found the same polarity dependence with respect to the top and bottom electrodes, which suggests that the bipolar nature is linked to the VOx layer itself. Bipolar switching can be observed at 100 °C, indicating that it not due to a temperature-induced metal-insulator transition of a vanadium dioxide second phase. We discuss how ionic drift can lead to the bipolar electrical behavior of our junctions, similar to those observed in devices based on several other defective oxides. Such low-temperature processed oxide switches could be of relevance to back-end or package integration processing schemes.

  7. Physical properties characterization of WO3 films grown by hot-filament metal oxide deposition

    International Nuclear Information System (INIS)

    Diaz-Reyes, J.; Delgado-Macuil, R.J.; Dorantes-Garcia, V.; Perez-Benitez, A.; Balderas-Lopez, J.A.; Ariza-Ortega, J.A.

    2010-01-01

    WO 3 is grown by hot-filament metal oxide deposition (HFMOD) technique under atmospheric pressure and an oxygen atmosphere. By X-ray diffraction obtains that WO 3 presents mainly monoclinic crystalline phase. The chemical stoichiometry is obtained by X-ray Photoelectron Spectroscopy (XPS). The IR spectrum of the as-grown WO 3 presents broad peaks in the range of 1100 to 3600 cm -1 . A broad band in the 2200 to 3600 cm -1 region and the peaks sited at 1645 and 1432 cm -1 are well resolved, which are originated from moisture and are assigned to ν(OH) and δ(OH) modes of adsorbed water and the corresponding tungsten oxide vibrations are in infrared region from 400 to 1453 cm -1 and around 3492 cm -1 , which correspond to tungsten-oxygen (W-O) stretching, bending and lattice modes. The Raman spectrum shows intense peaks at 801, 710, 262 and 61 cm -1 that are typical Raman peaks of crystalline WO 3 (m-phase) that correspond to stretching vibrations of the bridging oxygen, which are assigned to W-O stretching (ν) and W-O bending (δ) modes, respectively. By transmittance measurements obtains that the WO 3 band gap can be varied from 2.92 to 3.13 eV in the investigated annealing temperature range.

  8. Cholesterol biosensor based on rf sputtered zinc oxide nanoporous thin film

    International Nuclear Information System (INIS)

    Singh, S. P.; Arya, Sunil K.; Pandey, Pratibha; Malhotra, B. D.; Saha, Shibu; Sreenivas, K.; Gupta, Vinay

    2007-01-01

    Cholesterol oxidase (ChOx) has been immobilized onto zinc oxide (ZnO) nanoporous thin films grown on gold surface. A preferred c-axis oriented ZnO thin film with porous surface morphology has been fabricated by rf sputtering under high pressure. Optical studies and cyclic voltammetric measurements show that the ChOx/ZnO/Au bioelectrode is sensitive to the detection of cholesterol in 25-400 mg/dl range. A relatively low value of enzyme's kinetic parameter (Michaelis-Menten constant) ∼2.1 mM indicates enhanced enzyme affinity of ChOx to cholesterol. The observed results show promising application of nanoporous ZnO thin film for biosensing application without any functionalization

  9. Epitaxial Lift-Off of Centimeter-Scaled Spinel Ferrite Oxide Thin Films for Flexible Electronics.

    Science.gov (United States)

    Shen, Lvkang; Wu, Liang; Sheng, Quan; Ma, Chunrui; Zhang, Yong; Lu, Lu; Ma, Ji; Ma, Jing; Bian, Jihong; Yang, Yaodong; Chen, Aiping; Lu, Xiaoli; Liu, Ming; Wang, Hong; Jia, Chun-Lin

    2017-09-01

    Mechanical flexibility of electronic devices has attracted much attention from research due to the great demand in practical applications and rich commercial value. Integration of functional oxide materials in flexible polymer materials has proven an effective way to achieve flexibility of functional electronic devices. However, the chemical and mechanical incompatibilities at the interfaces of dissimilar materials make it still a big challenge to synthesize high-quality single-crystalline oxide thin film directly on flexible polymer substrates. This study reports an improved method that is employed to successfully transfer a centimeter-scaled single-crystalline LiFe 5 O 8 thin film on polyimide substrate. Structural characterizations show that the transferred films have essentially no difference in comparison with the as-grown films with respect to the microstructure. In particular, the transferred LiFe 5 O 8 films exhibit excellent magnetic properties under various mechanical bending statuses and show excellent fatigue properties during the bending cycle tests. These results demonstrate that the improved transfer method provides an effective way to compose single-crystalline functional oxide thin films onto flexible substrates for applications in flexible and wearable electronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  11. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  12. Indium oxide thin-film transistors processed at low temperature via ultrasonic spray pyrolysis

    KAUST Repository

    Faber, Hendrik

    2015-01-14

    The use of ultrasonic spray pyrolysis is demonstrated for the growth of polycrystalline, highly uniform indium oxide films at temperatures in the range of 200-300 °C in air using an aqueous In(NO3)3 precursor solution. Electrical characterization of as-deposited films by field-effect measurements reveals a strong dependence of the electron mobility on deposition temperature. Transistors fabricated at ∼250 °C exhibit optimum performance with maximum electron mobility values in the range of 15-20 cm2 V -1 s-1 and current on/off ratio in excess of 106. Structural and compositional analysis of as-grown films by means of X-ray diffraction, diffuse scattering, and X-ray photoelectron spectroscopy reveal that layers deposited at 250 °C are denser and contain a reduced amount of hydroxyl groups as compared to films grown at either lower or higher temperatures. Microstructural analysis of semiconducting films deposited at 250 °C by high resolution cross-sectional transmission electron microscopy reveals that as-grown layers are extremely thin (∼7 nm) and composed of laterally large (30-60 nm) highly crystalline In2O3 domains. These unique characteristics of the In2O3 films are believed to be responsible for the high electron mobilities obtained from transistors fabricated at 250 °C. Our work demonstrates the ability to grow high quality low-dimensional In2O3 films and devices via ultrasonic spray pyrolysis over large area substrates while at the same time it provides guidelines for further material and device improvements.

  13. Aluminum-doped zinc oxide thin films grown on various substrates using facing target sputtering system

    Science.gov (United States)

    Kim, Hwa-Min; Lee, Chang Hyun; Shon, Sun Young; Kim, Bong Hwan

    2017-11-01

    Aluminum-doped zinc oxide (AZO) films were fabricated on various substrates, such as glass, polyethylene naphthalate (PEN), and polyethylene terephthalate (PET), at room temperature using a facing target sputtering (FTS) system with hetero ZnO and Al2O3 targets, and their electrical and optical properties were investigated. The AZO film on glass exhibited compressive stress while the films on the plastic substrates showed tensile stress. These stresses negatively affected the crystalline quality of the AZO films, and it is suggested that the poor crystalline quality of the films may be related to the neutral Al-based defect complexes formed in the films; these complexes act as neutral impurity scattering centers. AZO films with good optoelectronic properties could be formed on the glass and plastic substrates by the FTS technique using the hetero targets. The AZO films deposited on the glass, PEN, and PET substrates showed very low resistivities, of 5.0 × 10-4 Ω cm, 7.0 × 10-4 Ω cm, and 7.4 × 10-4 Ω cm, respectively. Further, the figure merit of the AZO film formed on the PEN substrate in the visible range (400-700 nm) was significantly higher than that of the AZO film on PET and similar to that of the AZO film on glass. Finally, the average transmittances of the films in the visible range (400-700 nm) were 83.16% (on glass), 76.3% (on PEN), and 78.16% (on PET).

  14. Oxidation of nanostructured Ti films produced by low energy cluster beam deposition: An X-ray Photoelectron Spectroscopy characterization

    International Nuclear Information System (INIS)

    Simone, Monica de; Snidero, Elena; Coreno, Marcello; Bongiorno, Gero; Giorgetti, Luca; Amati, Matteo; Cepek, Cinzia

    2012-01-01

    We used in-situ X-ray Photoelectron Spectroscopy (XPS) to study the oxidation process of a cluster-assembled metallic titanium film exposed to molecular oxygen at room temperature. The nanostructured film has been grown on a Si(111) substrate, in ultra high vacuum conditions, by coupling a supersonic cluster beam deposition system with an XPS experimental chamber. Our results show that upon in-situ oxygen exposure Ti 3+ is the first oxidation state observed, followed by Ti 4+ , whereas Ti 2+ is practically absent during the whole process. Our results compare well with the existing literature on Ti films produced using other techniques.

  15. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  16. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  17. Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Boltz, Janika

    2011-12-12

    Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post

  18. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander, E-mail: tseleva@ornl.gov; Kalinin, Sergei V. [Oak Ridge National Laboratory, Center for Nanophase Materials Sciences, Oak Ridge, Tennessee 37831 (United States); Sangwan, Vinod K.; Jariwala, Deep; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Marks, Tobin J.; Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-09

    Near-field scanning microwave microscopy (SMM) is used for non-destructive nanoscale characterization of Al{sub 2}O{sub 3} and HfO{sub 2} films grown on epitaxial graphene on SiC by atomic layer deposition using a self-assembled perylene-3,4,9,10-tetracarboxylic dianhydride seeding layer. SMM allows imaging of buried inhomogeneities in the dielectric layer with a spatial resolution close to 100 nm. The results indicate that, while topographic features on the substrate surface cannot be eliminated as possible sites of defect nucleation, the use of a vertically heterogeneous Al{sub 2}O{sub 3}/HfO{sub 2} stack suppresses formation of large outgrowth defects in the oxide film, ultimately improving lateral uniformity of the dielectric film.

  19. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  20. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  1. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  2. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  3. Surface defects on the Gd{sub 2}Zr{sub 2}O{sub 7} oxide films grown on textured NiW technical substrates by chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Y., E-mail: yuezhao@sjtu.edu.cn [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Opata, Yuri A. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Wu, W. [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Grivel, J.C. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark)

    2017-02-15

    Epitaxial growth of oxide thin films has attracted much interest because of their broad applications in various fields. In this study, we investigated the microstructure of textured Gd{sub 2}Zr{sub 2}O{sub 7} films grown on (001)〈100〉 orientated NiW alloy substrates by a chemical solution deposition (CSD) method. The aging effect of precursor solution on defect formation was thoroughly investigated. A slight difference was observed between the as-obtained and aged precursor solutions with respect to the phase purity and global texture of films prepared using these solutions. However, the surface morphologies are different, i.e., some regular-shaped regions (mainly hexagonal or dodecagonal) were observed on the film prepared using the as-obtained precursor, whereas the film prepared using the aged precursor exhibits a homogeneous structure. Electron backscatter diffraction and scanning electron microscopy analyses showed that the Gd{sub 2}Zr{sub 2}O{sub 7} grains present within the regular-shaped regions are polycrystalline, whereas those present in the surrounding are epitaxial. Some polycrystalline regions ranging from several micrometers to several tens of micrometers grew across the NiW grain boundaries underneath. To understand this phenomenon, the properties of the precursors and corresponding xerogel were studied by Fourier transform infrared spectroscopy and coupled thermogravimetry/differential thermal analysis. The results showed that both the solutions mainly contain small Gd−Zr−O clusters obtained by the reaction of zirconium acetylacetonate with propionic acid during the precursor synthesis. The regular-shaped regions were probably formed by large Gd−Zr−O frameworks with a metastable structure in the solution with limited aging time. This study demonstrates the importance of the precise control of chemical reaction path to enhance the stability and homogeneity of the precursors of the CSD route. - Highlights: •We investigate microstructure

  4. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  5. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  6. Fabrication of high-performance fluorine doped-tin oxide film using flame-assisted spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purwanto, Agus, E-mail: Aguspur@uns.ac.id [Department of Chemical Engineering, Faculty of Engineering, Sebelas Maret University, Jl. Ir. Sutami 36 A, Surakarta, Central Java 57126 (Indonesia); Widiyandari, Hendri [Department of Physics, Faculty of Mathematics and Natural Sciences, Diponegoro University, Jl. Prof. Dr. Soedarto, Tembalang, Semarang 50275 (Indonesia); Jumari, Arif [Department of Chemical Engineering, Faculty of Engineering, Sebelas Maret University, Jl. Ir. Sutami 36 A, Surakarta, Central Java 57126 (Indonesia)

    2012-01-01

    A high-performance fluorine-doped tin oxide (FTO) film was fabricated by flame-assisted spray deposition method. By varying the NH{sub 4}F doping concentration, the optimal concentration was established as 8 at.%. X-ray diffractograms confirmed that the as-grown FTO film was tetragonal SnO{sub 2}. In addition, the FTO film was comprised of nano-sized grains ranging from 40 to 50 nm. The heat-treated FTO film exhibited a sheet resistance of 21.8 {Omega}/{open_square} with an average transmittance of 81.9% in the visible region ({lambda} = 400-800 nm). The figures of merit shows that the prepared FTO film can be used for highly efficient dye-sensitized solar cells electrodes.

  7. Investigation of oxidation resistance of Ni-Ti film used as oxygen diffusion barrier layer

    International Nuclear Information System (INIS)

    Liu, B.T.; Yan, X.B.; Zhang, X.; Zhou, Y.; Guo, Y.N.; Bian, F.; Zhang, X.Y.

    2009-01-01

    Ni-Ti films prepared at 10 W and 70 W by rf magnetron sputtering are investigated as the oxygen diffusion barrier layer, it is found that crystallinity of Ni-Ti film does not greatly depend on the deposition power. X-ray photoelectron spectroscopy indicates that Ni is still in the form of metallic state from the binding energies of both Ni 2p 3/2 and Ni 2p 1/2 spectra for the sample with 10 W prepared Ni-Ti, however, Ni is oxidized for 70 W prepared Ni-Ti film. Moreover, the (La 0.5 Sr 0.5 )CoO 3 /Pb(Zr 0.40 Ti 0.60 )O 3 /(La 0.5 Sr 0.5 )CoO 3 capacitor grown on high power prepared Ni-Ti film is leaky, however, the capacitor on low power prepared Ni-Ti film possesses very promising physical properties (i.e. remnant polarization of ∼27 μC/cm 2 at 5 V and maximum dielectric constant of 940). Leakage current density of the capacitor grown on low power prepared Ni-Ti film is further investigated, it meets ohmic behavior ( 1.0 V).

  8. Indium tin oxide with titanium doping for transparent conductive film application on CIGS solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Wei-Sheng; Cheng, Huai-Ming; Hu, Hung-Chun; Li, Ying-Tse; Huang, Shi-Da; Yu, Hau-Wei [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Pu, Nen-Wen, E-mail: nwpuccit@gmail.com [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Liang, Shih-Chang [Materials & Electro-Optics Research Division, National Chung-Shan Institute of Science and Technology, Lung Tan 32599, Taiwan (China)

    2015-11-01

    Highlights: • Ti-doped indium tin oxide (ITO) films were deposited by DC magnetron sputtering. • Optimal optoelectronic properties were achieved at a sputtering power of 100 W. • Resistivity = 3.2 × 10{sup −4} Ω-cm without substrate heating or post growth annealing. • Mean visible and NIR transmittances of 83 and 80%, respectively, were achieved. • Efficient batteries (11.3%) were fabricated by applying ITO:Ti to CIGS solar cells. - Abstract: In this study, Ti-doped indium tin oxide (ITO:Ti) thin films were fabricated using a DC-magnetron sputtering deposition method. The thin films were grown without introducing oxygen or heating the substrate, and no post-growth annealing was performed after fabrication. The thickness of the ITO:Ti thin films (350 nm) was controlled while increasing the sputtering power from 50 to 150 W. According to the results, the optimal optoelectronic properties were observed in ITO:Ti thin films grown at a sputtering power of 100 W, yielding a reduced resistivity of 3.2 × 10{sup −4} Ω-cm and a mean high transmittance of 83% at wavelengths ranging from 400 to 800 nm. The optimal ITO:Ti thin films were used to fabricate a Cu(In,Ga)Se{sub 2} solar cell that exhibited a photoelectric conversion efficiency of 11.3%, a short-circuit current density of 33.1 mA/cm{sup 2}, an open-circuit voltage of 0.54 V, and a fill factor of 0.64.

  9. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  10. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    Energy Technology Data Exchange (ETDEWEB)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.; Warburton, P. A. [London Centre for Nanotechnology, UCL, 17–19 Gordon Street, London WC1H 0AH (United Kingdom)

    2014-12-14

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantum phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.

  12. Doping properties of ZnO thin films for photovoltaic devices grown by URT-IP (ion plating) method

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Matsubara, M.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2004-01-01

    The Uramoto-gun with Tanaka magnetic field (URT)-ion plating (IP) method is a novel ion plating technique for thin film deposition. This method offers the advantage of low-ion damage, low deposition temperatures, large area deposition and high growth rates. Ga-doped ZnO thin films were grown using the URT-IP method, and the doping properties were evaluated. The opposing goals of low Ga composition and low resistivity are required for industrial applications of transparent conductive oxide (TCO). We have carried out a comparison between the carrier concentration and Ga atomic concentration in Ga-doped ZnO thin films and found the trade-off point for optimal TCO performance. The optimum growth conditions were obtained using a 3% Ga 2 O 3 content ZnO target

  13. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  14. Photosensitivity of nanocrystalline ZnO films grown by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Bentes, L.; Casteleiro, C.; Conde, O.; Marques, C.P.; Alves, E.; Moutinho, A.M.C.; Marques, H.P.; Teodoro, O.; Schwarz, R.

    2009-01-01

    We have studied the properties of ZnO thin films grown by laser ablation of ZnO targets on (0 0 0 1) sapphire (Al 2 O 3 ), under substrate temperatures around 400 deg. C. The films were characterized by different methods including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM). XPS analysis revealed that the films are oxygen deficient, and XRD analysis with θ-2θ scans and rocking curves indicate that the ZnO thin films are highly c-axis oriented. All the films are ultraviolet (UV) sensitive. Sensitivity is maximum for the films deposited at lower temperature. The films deposited at higher temperatures show crystallite sizes of typically 500 nm, a high dark current and minimum photoresponse. In all films we observe persistent photoconductivity decay. More densely packed crystallites and a faster decay in photocurrent is observed for films deposited at lower temperature

  15. Transverse and longitudinal electrooptic properties of highly (100) oriented Pb(Zr,Ti)O{sub 3} films grown on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jong-Jin [Department of Future Technology, Korea Institute of Machinery and Materials, 66 Sang-Nam Dong, Chang-Won, Gyeong-Nam, 641-010 (Korea, Republic of) and School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of)]. E-mail: finaljin@kmail.kimm.re.kr; Park, Gun-Tae [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Hyoun-Ee [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Dal-Young [Department of Visual Optics, Seoul National University of Technology, 172 Gongreung 2-dong, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2006-12-05

    The Pb(Zr,Ti)O{sub 3} [PZT] films with a preferred orientation generally have columnar texture. Because the properties of a PZT film are strongly dependent on its crystallographic direction, the electrooptic properties along the longitudinal and transverse direction are expected to be anisotropic. In this study, highly (100) oriented PZT films were grown on glass and ITO (Indium Tin Oxide) coated glass substrates using the sol-gel multi-coating method with lanthanum nitrate and lanthanum nickel nitrate as buffer layers. The longitudinal and transverse electrooptic properties of the textured films were characterized by transmission-mode measuring equipment with a Senarmont compensator using a sample tilting method. The calculated longitudinal and transverse electrooptic coefficients of the highly (100) oriented PZT films were 147 and 250 pm/V, respectively.

  16. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  17. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  18. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  19. Stoichiometry control of SrVO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Scheiderer, Philipp; Schmitt, Matthias; Sing, Michael; Claessen, Ralph [Universitaet Wuerzburg, Physikalisches Institut and Roentgen Center for Complex Material Systems (RCCM), 97074 Wuerzburg (Germany)

    2016-07-01

    Oxide heterostructures exhibit fascinating properties, e.g., the coexistence of superconductivity and ferromagnetism at the interface of LaAlO{sub 3}/SrTiO{sub 3}, but the extraordinary electronic properties of transition metal oxides caused by electron correlation yet wait to be fully harnessed. One suitable candidate for future device applications is the correlated metal SrVO{sub 3}, which can be prepared by pulsed laser deposition (PLD) on commonly used substrates such as SrTiO{sub 3}. Sample fabrication by PLD offers a wide variety of possibilities to manipulate the structural and electronic properties of the grown films in a controlled way. Here we report on the manipulation of the cation and oxygen stoichiometry of SrVO{sub 3} thin films by tuning the laser flux density of the PLD-ablation process and the oxygen background pressure during growth, respectively. In situ photoemission, x-ray diffraction, and temperature dependent resistivity measurements enable us to monitor the structural and electronic changes: Cation off-stoichiometry causes a strong increase of the out-of-plane lattice constant as well as a lower residual resistivity ratio, while excess oxygen is found to induce a shift to higher vanadium valences. After exposure to air a similar shift is detected, indicating an overoxidation of the SrVO{sub 3} film.

  20. n-VO{sub 2}/p-GaN based nitride–oxide heterostructure with various thickness of VO{sub 2} layer grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Minhuan [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Bian, Jiming, E-mail: jmbian@dlut.edu.cn [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Sun, Hongjun; Liu, Weifeng [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Zhang, Yuzhi [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Luo, Yingmin [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2016-12-15

    Graphical abstract: The significant influences of VO{sub 2} layer thickness on the structural, electrical and contact properties of the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure were investigated systemically. - Highlights: • High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). • A distinct reversible SMT phase transition was observed for the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure. • The clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer. • The XPS analyses confirmed the valence state of V in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. • The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure devices will benefit significantly from these achievements. - Abstract: High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO{sub 2} layer thickness on the SMT properties of the as-grown n-VO{sub 2}/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure

  1. Impact of reduced graphene oxide on MoS{sub 2} grown by sulfurization of sputtered MoO{sub 3} and Mo precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Pacley, Shanee, E-mail: shanee.pacley@us.af.mil; Brausch, Jacob; Beck-Millerton, Emory [U.S. Air Force Research Laboratory (AFRL)/Wright Patterson Air Force Base, Wright Patterson, Ohio 45433-7707 (United States); Hu, Jianjun; Jespersen, Michael [University of Dayton Research Institute, 300 College Park, Dayton, Ohio 45469 (United States); Hilton, Al [Wyle Laboratories, 4200 Colonel Glenn Hwy, Beavercreek, Ohio 45431 (United States); Waite, Adam [University Technology Corporation, 1270 N Fairfield Rd., Beavercreek, Ohio 45432 (United States); Voevodin, Andrey A. [Department of Materials Science and Engineering, University of North Texas, 1155 Union Circle, Denton, Texas 76203 (United States)

    2016-07-15

    Monolayer molybdenum disulfide (MoS{sub 2}), a two dimensional semiconducting dichalcogenide material with a bandgap of 1.8–1.9 eV, has demonstrated promise for future use in field effect transistors and optoelectronics. Various approaches have been used for MoS{sub 2} processing, the most common being chemical vapor deposition. During chemical vapor deposition, precursors such as Mo, MoO{sub 3}, and MoCl{sub 5} have been used to form a vapor reaction with sulfur, resulting in thin films of MoS{sub 2}. Currently, MoO{sub 3} ribbons and powder, and MoCl{sub 5} powder have been used. However, the use of ribbons and powder makes it difficult to grow large area-continuous films. Sputtering of Mo is an approach that has demonstrated continuous MoS{sub 2} film growth. In this paper, the authors compare the structural properties of MoS{sub 2} grown by sulfurization of pulse vapor deposited MoO{sub 3} and Mo precursor films. In addition, they have studied the effects that reduced graphene oxide (rGO) has on MoS{sub 2} structure. Reports show that rGO increases MoS{sub 2} grain growth during powder vaporization. Herein, the authors report a grain size increase for MoS{sub 2} when rGO was used during sulfurization of both sputtered Mo and MoO{sub 3} precursors. In addition, our transmission electron microscopy results show a more uniform and continuous film growth for the MoS{sub 2} films produced from Mo when compared to the films produced from MoO{sub 3}. Atomic force microscopy images further confirm this uniform and continuous film growth when Mo precursor was used. Finally, x-ray photoelectron spectroscopy results show that the MoS{sub 2} films produced using both precursors were stoichiometric and had about 7–8 layers in thickness, and that there was a slight improvement in stoichiometry when rGO was used.

  2. Optical properties of tungsten oxide thin films by non-reactive sputtering

    International Nuclear Information System (INIS)

    Acosta, M.; Gonzalez, D.; Riech, I.

    2008-01-01

    Tungsten oxide thin films were grown on glass substrates by RF sputtering at room temperature using a tungsten trioxide target for several values of the Argon pressure (PAr). The structural and morphological properties of these films were studied using X-ray diffraction and Atomic Force Microscopy. The as-deposited films were amorphous irrespective of the Argon pressure, and crystallized in a mixture of hexagonal and monoclinic phases after annealing at a temperature of 3500 C in air. Surface-Roughness increased by an order of magnitude (from 1 nm to 20 nm) after thermal treatment. The Argon pressure, however, had a strong influence on the optical properties of the films. Three different regions are clearly identified: deep blue films for PAr 40 mTorr with high transmittance values. We suggest that the observed changes in optical properties are due to an increasing number of Oxygen vacancies as the growth Argon pressure decreases. (Full text)

  3. Optimization of synthesis protocols to control the nanostructure and the morphology of metal oxide thin films for memristive applications

    Energy Technology Data Exchange (ETDEWEB)

    Baldi, G., E-mail: giacomo.baldi@cnr.it; Bosi, M.; Attolini, G.; Berzina, T.; Mosca, R.; Ponraj, J. S.; Iannotta, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, I-43124 Parma (Italy); Giusti, G.; Nozar, P.; Toccoli, T.; Verucchi, R. [IMEM-CNR Institute, Via alla Cascata 56/C, Povo – I-38123 Trento (Italy); Collini, C.; Lorenzelli, L. [FBK Bruno Kessler Foundation, Via Sommarive 18, I-38123 Trento (Italy)

    2015-03-10

    We propose a multi-technique approach based on in-vacuum synthesis of metal oxides to optimize the memristive properties of devices that use a metal oxide thin film as insulating layer. Pulsed Microplasma Cluster Source (PMCS) is based on supersonic beams seeded by clusters of the metal oxide. Nanocrystalline TiO{sub 2} thin films can be grown at room temperature, controlling the oxide stoichiometry from titanium metal up to a significant oxygen excess. Pulsed Electron beam Deposition (PED) is suitable to grow crystalline thin films on large areas, a step towards producing device arrays with controlled morphology and stoichiometry. Atomic Layer Deposition (ALD) is a powerful technique to grow materials layer-by-layer, finely controlling the chemical and structural properties of the film up to thickness of 50-80 nm. We will present a few examples of metal-insulator-metal structures showing a pinched hysteresis loop in their current-voltage characteristic. The structure, stoichiometry and morphology of the metal oxide layer, either aluminum oxide or titanium dioxide, is investigated by means of scanning electron microscopy (SEM) and by Raman scattering.

  4. Preparation and characterization of indium tin oxide thin films for their application as gas sensors

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    The structural and electrical properties of indium tin oxide (In 2 O 3 /SnO 2 ) thin films grown using direct evaporation technique on various substrates at different temperatures were studied. The effect of annealing, of films with different weight percent concentration of SnO 2 in In 2 O 3 and of different thickness on the structural and electrical properties were studied and optimized for use as gas sensor. The stability of the films against time and temperature variations was studied. The effect of the catalytic layers on the sensor microstructure and its performance towards the gas sensing application was observed

  5. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  6. Structure and magnetism of ultrathin Co and Fe films epitaxially grown on Pd/Cu(0 0 1)

    International Nuclear Information System (INIS)

    Lu, Y.F.; Przybylski, M.; Yan, L.; Barthel, J.; Meyerheim, H.L.; Kirschner, J.

    2005-01-01

    A contribution originating from the Co/Pd and Fe/Pd interfaces to the magneto-optical Kerr effect (MOKE) rotation is analyzed for Co and/or Fe films grown on a Pd-buffer-monolayer on Cu(0 0 1). A clear increase of the MOKE signal in comparison to the Co(Fe) films grown directly on Cu(0 0 1) is detected. An interpretation is supported by similar observations for Co films grown on Pd(1 1 0) and Pd(0 0 1). In particular, the sign reversal of the Kerr loops with increasing thickness of the Co(Fe) films is discussed. Magneto-optical effects are separated from the real magnetization and its dependence on the film thickness

  7. Stabilized chromium oxide film

    Science.gov (United States)

    Garwin, Edward L.; Nyaiesh, Ali R.

    1988-01-01

    Stabilized air-oxidized chromium films deposited on high-power klystron ceramic windows and sleeves having a thickness between 20 and 150.ANG. are useful in lowering secondary electron emission yield and in avoiding multipactoring and window failure due to overheating. The ceramic substrate for the film is chosen from alumina, sapphire or beryllium oxide.

  8. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D., E-mail: l_chandrakant@yahoo.com

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z{sub 1}) and nanograins by SILAR (Z{sub 2}). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10{sup 2} Ω cm) is lower than that of SILAR deposited films (10{sup 5} Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method.

  9. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    International Nuclear Information System (INIS)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D.

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z 1 ) and nanograins by SILAR (Z 2 ). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10 2 Ω cm) is lower than that of SILAR deposited films (10 5 Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method

  10. Thin films of metal oxides on metal single crystals: Structure and growth by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Galloway, H.C.

    1995-12-01

    Detailed studies of the growth and structure of thin films of metal oxides grown on metal single crystal surfaces using Scanning Tunneling Microscopy (STM) are presented. The oxide overlayer systems studied are iron oxide and titanium oxide on the Pt(III) surface. The complexity of the metal oxides and large lattice mismatches often lead to surface structures with large unit cells. These are particularly suited to a local real space technique such as scanning tunneling microscopy. In particular, the symmetry that is directly observed with the STM elucidates the relationship of the oxide overlayers to the substrate as well as distinguishing, the structures of different oxides

  11. Physical properties characterization of WO{sub 3} films grown by hot-filament metal oxide deposition

    Energy Technology Data Exchange (ETDEWEB)

    Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.com [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico); Delgado-Macuil, R.J. [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico); Dorantes-Garcia, V. [Preparatoria ' Simon Bolivar' de la Benemerita Universidad Autonoma de Puebla, 4 Oriente 408, Col. Centro, Atlixco, Puebla, C. P. 74200 (Mexico); Perez-Benitez, A. [Facultad de Ciencias Quimicas de la Benemerita Universidad Autonoma Puebla, 14 Sur y Av. San Claudio, Col. San Manuel, Puebla, Puebla, C. P. 72570 (Mexico); Balderas-Lopez, J.A. [Unidad Profesional Interdisciplinaria de Biotecnologia del Instituto Politecnico Nacional, Avenida Acueducto S/N, Col. Barrio la Laguna, Ticoman, Del. Gustavo A. Madero, Mexico, D.F. 07340 (Mexico); Ariza-Ortega, J.A. [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico)

    2010-10-25

    WO{sub 3} is grown by hot-filament metal oxide deposition (HFMOD) technique under atmospheric pressure and an oxygen atmosphere. By X-ray diffraction obtains that WO{sub 3} presents mainly monoclinic crystalline phase. The chemical stoichiometry is obtained by X-ray Photoelectron Spectroscopy (XPS). The IR spectrum of the as-grown WO{sub 3} presents broad peaks in the range of 1100 to 3600 cm{sup -1}. A broad band in the 2200 to 3600 cm{sup -1} region and the peaks sited at 1645 and 1432 cm{sup -1} are well resolved, which are originated from moisture and are assigned to {nu}(OH) and {delta}(OH) modes of adsorbed water and the corresponding tungsten oxide vibrations are in infrared region from 400 to 1453 cm{sup -1} and around 3492 cm{sup -1}, which correspond to tungsten-oxygen (W-O) stretching, bending and lattice modes. The Raman spectrum shows intense peaks at 801, 710, 262 and 61 cm{sup -1} that are typical Raman peaks of crystalline WO{sub 3} (m-phase) that correspond to stretching vibrations of the bridging oxygen, which are assigned to W-O stretching ({nu}) and W-O bending ({delta}) modes, respectively. By transmittance measurements obtains that the WO{sub 3} band gap can be varied from 2.92 to 3.13 eV in the investigated annealing temperature range.

  12. Optical properties of tungsten oxide thin films by non-reactive sputtering

    International Nuclear Information System (INIS)

    Acosta, M.; Gonzalez, D.; Riech, I.

    2009-01-01

    Tungsten oxide thin films were grown on glass substrates by RF sputtering at room temperature using a tungsten trioxide target for several values of the argon pressure (P Ar ). The structural and morphological properties of these films were studied using X-ray diffraction and atomic force microscopy. The as-deposited films were amorphous irrespective of the argon pressure, and crystallized in a mixture of hexagonal and monoclinic phases after annealing at a temperature of 350 o C in air. Surface-roughness increased by an order of magnitude (from 1 nm to 20 nm) after thermal treatment. The argon pressure, however, had a strong influence on the optical properties of the films. Three different regions are clearly identified: deep blue films for P Ar ≤ 2.67 Pa with low transmittance values, light blue films for 2.67 Pa Ar Ar ≥ 6 Pa with high transmittance values. We suggest that the observed changes in optical properties are due to an increasing number of oxygen vacancies as the growth argon pressure decreases.

  13. Critical thickness of high structural quality SrTiO3 films grown on orthorhombic (101) DyScO3

    International Nuclear Information System (INIS)

    Biegalski, M. D.; Trolier-McKinstry, S.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Nelson, C. T.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.

    2008-01-01

    Strained epitaxial SrTiO 3 films were grown on orthorhombic (101) DyScO 3 substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 A were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 A. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018 deg.). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 deg. C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO 3 films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films

  14. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung, E-mail: ccling@hku.hk [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Anwand, W.; Wagner, A. [Institute of Radiation Physics, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden (Germany)

    2014-07-21

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm{sup −1} and 584 cm{sup −1} are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  15. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    Science.gov (United States)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung; Anwand, W.; Wagner, A.

    2014-07-01

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm-1 and 584 cm-1 are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  16. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung; Anwand, W.; Wagner, A.

    2014-01-01

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm −1 and 584 cm −1 are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  17. Atomic Structure Control of Silica Thin Films on Pt(111)

    KAUST Repository

    Crampton, Andrew S; Ridge, Claron J.; Rö tzer, Marian David; Zwaschka, Gregor; Braun, Thomas; D'Elia, Valerio; Basset, Jean-Marie; Schweinberger, Florian Frank; Gü nther, Sebastian; Heiz, Ueli

    2015-01-01

    Metal oxide thin films grown on metal single crystals are commonly used to model heterogeneous catalyst supports. The structure and properties of thin silicon dioxide films grown on metal single crystals have only recently been thoroughly

  18. Effects of the wet air on the properties of the lanthanum oxide and lanthanum aluminate thin films

    International Nuclear Information System (INIS)

    Jun, Jin Hyung; Choi, Doo Jin

    2006-01-01

    Lanthanum oxide and lanthanum aluminate thin films were deposited on Si substrates. The as-grown films were stored in wet ambient and dry ambient for days and annealed after storage and also the structural and the electrical properties of the films were investigated. As the storage time increased, the La 2 O 3 films stored in wet ambient showed rapid reaction with moisture and the properties degraded. In case of the LAO films, although the thickness of the film also increased during hydration, the properties of the film did not so much changed due to the role of the incorporated aluminum. The LAO films showed better hydration resistance characteristics and so more suitable for conventional wet cleaning process in semiconductor fabrication

  19. Deformation of confined poly(ethylene oxide) in multilayer films.

    Science.gov (United States)

    Lai, Chuan-Yar; Hiltner, Anne; Baer, Eric; Korley, LaShanda T J

    2012-04-01

    The effect of confinement on the deformation behavior of poly(ethylene oxide) (PEO) was studied using melt processed coextruded poly(ethylene-co-acrylic acid) (EAA) and PEO multilayer films with varying PEO layer thicknesses from 3600 to 25 nm. The deformation mechanism was found to shift as layer thickness was decreased between 510 and 125 nm, from typical axial alignment of the crystalline fraction, as seen in bulk materials, to nonuniform micronecking mechanisms found in solution-grown single crystals. This change was evaluated via tensile testing, wide-angle X-ray diffraction (WAXD), atomic force microscopy (AFM), and differential scanning calorimetry (DSC). With the commercially relevant method of melt coextrusion, we were able to overcome the limitations to the testing of solution-grown single crystals, and the artifacts that occur from their handling, and bridged the gap in knowledge between thick bulk materials and thin single crystals.

  20. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  1. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  2. Oxidant effect of La(NO{sub 3}){sub 3}·6H{sub 2}O solution on the crystalline characteristics of nanocrystalline ZrO{sub 2} films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Nam Khen [Graduate School of Energy Science and Technology, Chungnam National University, 99 Daehak-ro, Yuseong-gu, Daejeon 34134 (Korea, Republic of); Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kim, Jin-Tae [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Department of Nanomaterials Science and Engineering, University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kang, Goru; An, Jong-Ki; Nam, Minwoo [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kim, So Yeon [Graduate School of Energy Science and Technology, Chungnam National University, 99 Daehak-ro, Yuseong-gu, Daejeon 34134 (Korea, Republic of); Park, In-Sung, E-mail: parkis77@hanyang.ac.kr [Institute of Nano Science and Technology, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 04763 (Korea, Republic of); Yun, Ju-Young, E-mail: jyun@kriss.re.kr [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Department of Nanomaterials Science and Engineering, University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of)

    2017-02-01

    Highlights: • The La(NO{sub 3}){sub 3}·6H{sub 2}O aqua solution is introduced as an oxidant in ALD process. • The H{sub 2}O and La(NO{sub 3}){sub 3}·6H{sub 2}O lead different crystalline properties of ZrO{sub 2} films. • Concentration of La(NO{sub 3}){sub 3}·6H{sub 2}O solution minimally influences crystalline status. - Abstract: Nanocrystalline ZrO{sub 2} films were synthesized by atomic layer deposition method using CpZr[N(CH{sub 3}){sub 2}]{sub 3} (Cp = C{sub 5}H{sub 5}) as the metal precursor and La(NO{sub 3}){sub 3}·6H{sub 2}O solution as the oxygen source. La element in the deposited ZrO{sub 2} films could not be detected as its content was below the resolution limit of the X-ray photoelectron spectroscopy. The alternative introduction of La(NO{sub 3}){sub 3}·6H{sub 2}O solution to conventionally used H{sub 2}O as the oxidant effectively altered the crystalline structure, grain size, and surface roughness of the grown ZrO{sub 2} films. Specifically, the crystalline structure of the ZrO{sub 2} film changed from a mixture of tetragonal and monoclinic phases to monoclinic phase. The average grain size also increased, and the resulting film surface became rougher. The average grain sizes of the ZrO{sub 2} films prepared from La(NO{sub 3}){sub 3}·6H{sub 2}O solution at concentrations of 10, 20, 30, and 40% were 280, 256, 208, and 200 nm, respectively, whereas that prepared using H{sub 2}O oxidant was 142 nm. However, the concentration of La(NO{sub 3}){sub 3}·6H{sub 2}O solution minimally influenced the crystalline characteristics of the nanocrystalline ZrO{sub 2} films i.e., the crystalline structure, grain size, and surface roughness except for crystallite size.

  3. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  4. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  5. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  6. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  7. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  8. Oxide films at the nanoscale: new structures, new functions, and new materials.

    Science.gov (United States)

    Giordano, Livia; Pacchioni, Gianfranco

    2011-11-15

    We all make use of oxide ultrathin films, even if we are unaware of doing so. They are essential components of many common devices, such as mobile phones and laptops. The films in these ubiquitous electronics are composed of silicon dioxide, an unsurpassed material in the design of transistors. But oxide films at the nanoscale (typically just 10 nm or less in thickness) are integral to many other applications. In some cases, they form under normal reactive conditions and confer new properties to a material: one example is the corrosion protection of stainless steel, which is the result of a passive film. A new generation of devices for energy production and communications technology, such as ferroelectric ultrathin film capacitors, tunneling magnetoresistance sensors, solar energy materials, solid oxide fuel cells, and many others, are being specifically designed to exploit the unusual properties afforded by reduced oxide thickness. Oxide ultrathin films also have tremendous potential in chemistry, representing a rich new source of catalytic materials. About 20 years ago, researchers began to prepare model systems of truly heterogeneous catalysts based on thin oxide layers grown on single crystals of metal. Only recently, however, was it realized that these systems may behave quite differently from their corresponding bulk oxides. One of the phenomena uncovered is the occurrence of a spontaneous charge transfer from the metal support to an adsorbed species through the thin insulating layer (or vice versa). The importance of this property is clear: conceptually, the activation and bond breaking of adsorbed molecules begin with precisely the same process, electron transfer into an antibonding orbital. But electron transfer can also be harnessed to make a supported metal particle more chemically active, increase its adhesion energy, or change its shape. Most importantly, the basic principles underlying electron transfer and other phenomena (such as structural

  9. Hydrophobic and optical characteristics of graphene and graphene oxide films transferred onto functionalized silica particles deposited glass surface

    Science.gov (United States)

    Yilbas, B. S.; Ibrahim, A.; Ali, H.; Khaled, M.; Laoui, T.

    2018-06-01

    Hydrophobic and optical transmittance characteristics of the functionalized silica particles on the glass surface prior and after transfer of graphene and graphene oxide films on the surface are examined. Nano-size silica particles are synthesized and functionalized via chemical grafting and deposited onto a glass surface. Graphene film, grown on copper substrate, was transferred onto the functionalized silica particles surface through direct fishing method. Graphene oxide layer was deposited onto the functionalized silica particles surface via spin coating technique. Morphological, hydrophobic, and optical characteristics of the functionalized silica particles deposited surface prior and after graphene and graphene oxide films transfer are examined using the analytical tools. It is found that the functionalized silica particles are agglomerated at the surface forming packed structures with few micro/nano size pores. This arrangement gives rise to water droplet contact angle and contact angle hysteresis in the order of 163° and 2°, respectively, and remains almost uniform over the entire surface. Transferring graphene and depositing graphene oxide films over the functionalized silica particles surface lowers the water droplet contact angle slightly (157-160°) and increases the contact angle hysteresis (4°). The addition of the graphene and graphene oxide films onto the surface of the deposited functionalized silica particles improves the optical transmittance.

  10. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  11. Growth of tin oxide thin films composed of nanoparticles on hydrophilic and hydrophobic glass substrates by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Paloly, Abdul Rasheed; Satheesh, M. [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Martínez-Tomás, M. Carmen; Muñoz-Sanjosé, Vicente [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, c/Dr Moliner 50, Burjassot, Valencia 46100 (Spain); Rajappan Achary, Sreekumar [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Bushiri, M. Junaid, E-mail: junaidbushiri@gmail.com [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India)

    2015-12-01

    Highlights: • SnO{sub 2} thin films were grown on hydrophilic and hydrophobic glass substrates. • Samples on hydrophobic substrates are having comparatively larger lattice volume. • Films on hydrophobic substrates have larger particles and low density distribution. • Substrate dependent photoluminescence emission is observed and studied. • SnO{sub 2} thin films grown over hydrophobic substrates may find potential applications. - Abstract: In this paper, we have demonstrated the growth of tin oxide (SnO{sub 2}) thin films composed of nanoparticles on hydrophobic (siliconized) and hydrophilic (non-siliconized) glass substrates by using the spray pyrolysis technique. X-ray diffraction (XRD) analysis confirmed the formation of SnO{sub 2} thin films with tetragonal rutile-phase structure. Average particle size of nanoparticles was determined to be in the range of 3–4 nm measured from the front view images obtained by a field emission gun scanning electron microscope (FESEM), while the size of nanoparticle clusters, when present, were in the range of 11–20 nm. Surface morphology of SnO{sub 2} films grown over hydrophobic substrates revealed larger isolated particles which are less crowded compared to the highly crowded and agglomerated smaller particles in films on hydrophilic substrates. Blue shift in the band gap is observed in samples in which the average particle size is slightly larger than the exciton Bohr radius. Photoluminescence (PL) analysis of samples grown over hydrophobic substrates exhibited an intense defect level emission and a weak near band edge emission. The enhanced visible emission from these SnO{sub 2} thin films is attributed to lattice defects formed during the film growth due to the mismatch between the film and the hydrophobic substrate surface.

  12. Optical constants and band edge of amorphous zinc oxide thin films

    International Nuclear Information System (INIS)

    Khoshman, Jebreel M.; Kordesch, Martin E.

    2007-01-01

    The optical characteristics of amorphous zinc oxide (a-ZnO) thin films grown by radio frequency reactive magnetron sputtering on various substrates at temperature -8 -0.32, respectively. The band edge of the films on Si (100) and quartz has been determined by spectroscopic ellipsometry (3.39 ± 0.05 eV) and spectrophotometric (3.35 ± 0.05 eV) methods, respectively. From the angle dependence of the p-polarized reflectivity we deduce a Brewster angle of 60.5 deg. Measurement of the polarized optical properties shows a high transmissivity (81%-99%) and low absorptivity (< 5%) in the visible and near infrared regions at different angles of incidence. Also, we found that there was a higher absorptivity for wavelength < 370 nm. This wavelength, ∼ 370 nm, therefore indicated that the band edge for a-ZnO thin films is about 3.35 eV

  13. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  14. Suppression of oxygen diffusion by thin Al2O3 films grown on SrTiO3 studied using a monoenergetic positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Kiyohara, M.; Yasui, N.; Yamabe, K.

    2005-01-01

    The annealing behaviors of oxygen vacancies introduced by the epitaxial growth of thin SrTiO 3 and Al 2 O 3 films on SrTiO 3 substrates were studied by using a monoenergetic positron beam. The films were grown by molecular-beam epitaxy without using an oxidant. The Doppler broadening spectra of the annihilation radiation were measured as a function of the incident positron energy for samples fabricated under various growth conditions. The line-shape parameter S, corresponding to the annihilation of positrons in the substrate, was increased by the film growth, suggesting diffusion of oxygen from the substrate into the film and a resultant introduction of vacancies (mainly oxygen vacancies). A clear correlation between the value of S and the substrate conductivity was obtained. From isochronal annealing experiments, the Al 2 O 3 thin film was found to suppress the penetration of oxygen from the atmosphere for annealing temperatures below 600 deg. C. Degradation of the film's oxygen blocking property occurred due to the annealing at 700 deg. C, and this was attributed to the oxidation of the Al 2 O 3 by the atmosphere and the resultant introduction of vacancy-type defects

  15. Synthesis of high-oxidation Y-Ba-Cu-O phases in superoxygenated thin films

    Science.gov (United States)

    Zhang, H.; Gauquelin, N.; McMahon, C.; Hawthorn, D. G.; Botton, G. A.; Wei, J. Y. T.

    2018-03-01

    It is known that solid-state reaction in high-pressure oxygen can stabilize high-oxidation phases of Y-Ba-Cu-O superconductors in powder form. We extend this superoxygenation concept of synthesis to thin films which, due to their large surface-to-volume ratio, are more reactive thermodynamically. Epitaxial thin films of YBa2Cu3O7 -δ grown by pulsed laser deposition are annealed at up to 700 atm O2 and 900 ∘C , in conjunction with Cu enrichment by solid-state diffusion. The films show the clear formation of Y2Ba4Cu7O15 -δ and Y2Ba4Cu8O16 as well as regions of YBa2Cu5O9 -δ and YBa2Cu6O10 -δ phases, according to scanning transmission electron microscopy, x-ray diffraction, and x-ray absorption spectroscopy. Similarly annealed YBa2Cu3O7 -δ powders show no phase conversion. Our results demonstrate a route of synthesis towards discovering more complex phases of cuprates and other superconducting oxides.

  16. Effects of deposition and annealing atmospheres on phase transition of tungsten oxide films grown by ultra-high-vacuum reactive sputtering

    International Nuclear Information System (INIS)

    Ghen, G.S.; Liao, W.L.; Chen, S.T.; Su, W.C.; Lin, C.K.

    2005-01-01

    A series of oxygen-contained tungsten films were grown on Si(100) substrates without intentional heating by ultra-high-vacuum reactive magnetron sputtering at a constant argon pressure (P Ar ) of 1.33 x 10 -1 Pa mixed with a wide range of O 2 partial pressures (P O ) from 1.33 x 10 -4 to 4 x 10 -1 Pa, equivalent to P O -to-P Ar ratios (P O/Ar ) from 1 x 10 -3 to 3. The effect of varying P O/Ar on phase evolution was evaluated by annealing the films in a controlled atmosphere (argon or oxygen) at 500 or 700 deg. C for 1 h. Grazing incident X-ray diffraction and transmission electron microscopy, together with the data of electrical resistivity and deposition rate, reveal that gradually increasing P O/Ar induces a sequence of phase transitions from nanocrystalline β-W(O) (P O/Ar ≤ 0.1), amorphous WO 2 (P O/Ar = 0.6) to amorphous WO 3 (P O/Ar ≥ 2). When annealed in argon atmosphere, the amorphous WO 2 and WO 3 exhibit a very different magnitude of crystallization temperature (T c ) and can be transformed, respectively, into monoclinic WO 2 (T c = 500 deg. C) and tetragonal WO 3 (T c = 700 deg. C). However, the oxidizing atmosphere plays a role to accelerate significantly the crystallization of the amorphous WO 2 into a completely different phase (monoclinic WO 3 ) at a significantly reduced T c of 500 deg. C

  17. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  19. Flexible indium zinc oxide/Ag/indium zinc oxide multilayer electrode grown on polyethersulfone substrate by cost-efficient roll-to-roll sputtering for flexible organic photovoltaics

    International Nuclear Information System (INIS)

    Park, Yong-Seok; Kim, Han-Ki

    2010-01-01

    The authors describe the preparation and characteristics of flexible indium zinc oxide (IZO)-Ag-IZO multilayer electrodes grown on flexible polyethersulfone (PES) substrates using a roll-to-roll sputtering system for use in flexible organic photovoltaics. By the continuous roll-to-roll sputtering of the bottom IZO, Ag, and top IZO layers at room temperature, they were able to fabricate a high quality IZO-Ag-IZO multilayer electrode with a sheet resistance of 6.15 ε/square, optical transmittance of 87.4%, and figure of merit value of 42.03x10 -3 Ω -1 on the PES substrate. In addition, the IZO-Ag-IZO multilayer electrode exhibited superior flexibility to the roll-to-roll sputter grown single ITO electrode due to the existence of a ductile Ag layer between the IZO layers and stable amorphous structure of the IZO film. Furthermore, the flexible organic solar cells (OSCs) fabricated on the roll-to-roll sputter grown IZO-Ag-IZO electrode showed higher power efficiency (3.51%) than the OSCs fabricated on the roll-to-roll sputter grown single ITO electrode (2.67%).

  20. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  1. Relationship between electrical properties and crystallization of indium oxide thin films using ex-situ grazing-incidence wide-angle x-ray scattering

    Science.gov (United States)

    González, G. B.; Okasinski, J. S.; Buchholz, D. B.; Boesso, J.; Almer, J. D.; Zeng, L.; Bedzyk, M. J.; Chang, R. P. H.

    2017-05-01

    Grazing-incidence, wide-angle x-ray scattering measurements were conducted on indium oxide thin films grown on silica substrates via pulsed laser deposition. Growth temperatures (TG) in this study ranged from -50 °C to 600 °C, in order to investigate the thermal effects on the film structure and its spatial homogeneity, as well as their relationship to electrical properties. Films grown below room temperature were amorphous, while films prepared at TG = 25 °C and above crystallized in the cubic bixbyite structure, and their crystalline fraction increased with deposition temperature. The electrical conductivity (σ) and electrical mobility (μ) were strongly enhanced at low deposition temperatures. For TG = 25 °C and 50 °C, a strong ⟨100⟩ preferred orientation (texture) occurred, but it decreased as the deposition temperature, and consequential crystallinity, increased. Higher variations in texture coefficients and in lattice parameters were measured at the film surface compared to the interior of the film, indicating strong microstructural gradients. At low crystallinity, the in-plane lattice spacing expanded, while the out-of-plane spacing contracted, and those values merged at TG = 400 °C, where high μ was measured. This directional difference in lattice spacing, or deviatoric strain, was linear as a function of both deposition temperature and the degree of crystallinity. The crystalline sample with TG = 100 °C had the lowest mobility, as well as film diffraction peaks which split into doublets. The deviatoric strains from these doublet peaks differ by a factor of four, supporting the presence of both a microstructure and strain gradient in this film. More isotropic films exhibit larger μ values, indicating that the microstructure directly correlates with electrical properties. These results provide valuable insights that can help to improve the desirable properties of indium oxide, as well as other transparent conducting oxides.

  2. Critical thickness of high structural quality SrTiO{sub 3} films grown on orthorhombic (101) DyScO{sub 3}.

    Energy Technology Data Exchange (ETDEWEB)

    Biegalski, M. D.; Trolier-McKinstry, S.; Nelson, C. T.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.; Pennsylvania State Univ.; Forschungszentrum Julich; Univ. Michigan; LANL; Max-Born-Strabe

    2008-12-01

    Strained epitaxial SrTiO{sub 3} films were grown on orthorhombic (101) DyScO{sub 3} substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 {angstrom} were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 {angstrom}. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018{sup o}). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO{sub 3} films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films.

  3. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  4. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji; Boccard, Mathieu; Jeangros, Quentin; Rodkey, Nathan; Vresilovic, Daniel; Hessler-Wyser, Aï cha; Dö beli, Max; Franta, Daniel; De Wolf, Stefaan; Morales-Masis, Monica; Ballif, Christophe

    2018-01-01

    demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband

  5. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji

    2018-03-02

    Owing to the wide application of metal oxides in energy conversion devices, the fabrication of these oxides using conventional, damage-free, and upscalable techniques is of critical importance in the optoelectronics community. Here, the authors demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband transparency, wide band gap (3.5-4 eV), and low refractive index (1.6 at 500 nm). The authors link this low refractive index to the presence of nanoscale voids enclosing H, as indicated by electron energy-loss spectroscopy. This work opens the path for further metal-oxide developments by low-temperature, scalable and damage-free PECVD processes.

  6. Electrically conductive aluminum oxide thin film used as cobalt catalyst-support layer in vertically aligned carbon nanotube growth

    International Nuclear Information System (INIS)

    Azam, Mohd Asyadi; Ismail, Syahriza; Mohamad, Noraiham; Isomura, Kazuki; Shimoda, Tatsuya

    2015-01-01

    This paper will present the unique characteristics of aluminum oxide (Al–O) and cobalt catalyst included in aligned carbon nanotube (CNT) electrode system of energy storage device, namely electrochemical capacitor. Electrical conductivity and nanostructure of the thermally oxidized Al–O used as catalyst-support layer in vertically grown single-walled CNTs were studied. Al–O films were characterized by means of current–voltage measurement and high resolution transmission electron microscopy analysis. The Al–O support layer was found to be conductive, with a relatively low resistance and, approximately 20 nm film thickness of Al–O is suggested to be too thin to form insulating barrier. The scanning TEM—annular dark field analysis confirmed that the nanosized cobalt catalyst particles distributed on Al–O surfaces and also embedded inside the Al–O film structure. (paper)

  7. Electronic properties of thermally formed thin iron oxide films

    International Nuclear Information System (INIS)

    Wielant, J.; Goossens, V.; Hausbrand, R.; Terryn, H.

    2007-01-01

    The oxide layer, present between an organic coating and the substrate, guarantees adhesion of the coating and plays a determinating role in the delamination rate of the organic coating. The purpose of this study is to compare the resistive and semiconducting properties of thermal oxides formed on steel in two different atmospheres at 250 deg. C: an oxygen rich atmosphere, air, and an oxygen deficient atmosphere, N 2 . In N 2 , a magnetite layer grows while in air a duplex oxide film forms composed by an inner magnetite layer and a thin outer hematite scale. The heat treatment for different amounts of time at high temperature was used as method to sample the thickness variation and change in electronic and semiconducting properties of the thermal oxide layers. Firstly, linear voltammetric measurements were performed to have a first insight in the electrochemical behavior of the thermal oxides in a borate buffer solution. Electrochemical impedance spectroscopy in the same buffer combined with the Mott-Schottky analysis were used to determine the semiconducting properties of the thermal oxides. By spectroscopic ellipsometry (SE) and atomic force microscopy (AFM), respectively, the thickness and roughness of the oxide layers were determined supporting the physical interpretation of the voltammetric and EIS data. These measurements clearly showed that oxide layers with different constitution, oxide resistance, flatband potential and doping concentration can be grown by changing the atmosphere

  8. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  9. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  10. Defects in zinc oxide grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ling, Francis C.C., E-mail: ccling@hku.hk [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Wang, Zilan; Ping Ho, Lok; Younas, M. [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Anwand, W.; Wagner, A. [Institute of Radiation Physics, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden (Germany); Su, S.C. [Institute of Optoelectronic Material and Technology, South China Normal University, Guangzhou 510631 (China); Shan, C.X. [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130033 (China)

    2016-01-01

    ZnO films are grown on c-plane sapphire using the pulsed laser deposition method. Systematic studies on the effects of annealing are performed to understand the thermal evolutions of the defects in the films. Particular attention is paid to the discussions of the ZnO/sapphire interface thermal stability, the Zn-vacancy related defects having different microstructures, the origins of the green luminescence (∼2.4–2.5 eV) and the near band edge (NBE) emission at 3.23 eV.

  11. UV photodissociation spectroscopy of oxidized undecylenic acid films.

    Science.gov (United States)

    Gomez, Anthony L; Park, Jiho; Walser, Maggie L; Lin, Ao; Nizkorodov, Sergey A

    2006-03-16

    Oxidation of thin multilayered films of undecylenic (10-undecenoic) acid by gaseous ozone was investigated using a combination of spectroscopic and mass spectrometric techniques. The UV absorption spectrum of the oxidized undecylenic acid film is significantly red-shifted compared to that of the initial film. Photolysis of the oxidized film in the tropospheric actinic region (lambda > 295 nm) readily produces formaldehyde and formic acid as gas-phase products. Photodissociation action spectra of the oxidized film suggest that organic peroxides are responsible for the observed photochemical activity. The presence of peroxides is confirmed by mass-spectrometric analysis of the oxidized sample and an iodometric test. Significant polymerization resulting from secondary reactions of Criegee radicals during ozonolysis of the film is observed. The data strongly imply the importance of photochemistry in aging of atmospheric organic aerosol particles.

  12. Laser patterning of superconducting oxide films

    International Nuclear Information System (INIS)

    Gupta, A.; Hussey, B.W.; Koren, G.; Cooper, E.I.; Jagannathan, R.

    1988-01-01

    The focused output of an argon ion laser (514.5 nm) has been used for wiring superconducting lines of Y/sub 1/Ba/sub 2/CU/sub 3/O/sub 7-δ/ using films prepared from nitrate and trifluoroacetate solution precursors. A stoichiometric solution of the precursors is sprayed or spun on to the substrate to form a film. The film is patterned by irradiating in selected areas to convert the irradiated layers to an intermediate oxide or fluoride state, the nonirradiated areas being unchanged. The nonirradiated areas are then dissolved away, leaving a pattern of the oxide or fluoride material. This patterned layer is converted to the superconducting 1-2-3 oxide in a subsequent annealing step. Maskless patterning of superconducting films has also been demonstrated by laser-assisted etching of the films in aqueous KOH solution. Although superconductivity is destroyed when the films are placed in solution, it can be restored after a brief anneal in oxygen

  13. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  14. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  15. Structural and Optical Properties of ZnO Thin Film Prepared by Oxidation of Zn Metal Powders

    International Nuclear Information System (INIS)

    Hassan, N.K.; Hashim, M.R.

    2013-01-01

    High quality ZnO nano structures have been fabricated at room temperature by a simple vacuum thermal evaporator from metallic Zn powders (99.999 % purity) on a silicon (100) substrate. The Zn thin films were then transferred into a thermal tube furnace for oxidation at 700 degree Celsius for different time durations. Time was found to be a critical factor in the synthesis. This was followed by characterization of their morphological, structural and optical properties. The morphology of the grown ZnO nano structures exhibited several large grains, which increased gradually with increasing oxidation time. The crystallinity of the grown nano structures was investigated using X-ray diffraction, revealing that the synthesized ZnO was in hexagonal wurtzite phase. The photoluminescence (PL) spectra of the fabricated ZnO nano structures showed high intensity peak in the UV region due to near-band-edge (NBE) emission in which the structures oxidized for 30 min showing highest intensity. (author)

  16. Optoelectronic properties of sprayed transparent and conducting indium doped zinc oxide thin films

    International Nuclear Information System (INIS)

    Shinde, S S; Shinde, P S; Bhosale, C H; Rajpure, K Y

    2008-01-01

    Indium doped zinc oxide (IZO) thin films are grown onto Corning glass substrates using the spray pyrolysis technique. The effect of doping concentration on the structural, electrical and optical properties of IZO thin films is studied. X-ray diffraction studies show a change in preferential orientation from the (0 0 2) to the (1 0 1) crystal planes with increase in indium doping concentration. Scanning electron microscopy studies show polycrystalline morphology of the films. Based on the Hall-effect measurements and analysis, impurity scattering is found to be the dominant mechanism determining the diminished mobility in ZnO thin films having higher indium concentration. The addition of indium also induces a drastic decrease in the electrical resistivity of films; the lowest resistivity (4.03 x 10 -5 Ω cm) being observed for the film deposited with 3 at% indium doping. The effect of annealing on the film properties has been reported. Films deposited with 3 at% In concentration have relatively low resistivity with 90% transmittance at 550 nm and the highest value of figure of merit 7.9 x 10 -2 □ Ω -1

  17. Electrical and optical properties of zinc oxide: thin films

    International Nuclear Information System (INIS)

    Zuhairusnizam Md Darus; Abdul Jalil Yeop Majlis; Anis Faridah Md Nor; Burhanuddin Kamaluddin

    1992-01-01

    Zinc oxide films have been prepared by high temperature oxidation of thermally evaporated zinc films on glass substrates. The resulting films are characterized using X-ray diffraction, optical absorption and electrical conductivity measurements. These zinc oxide films are very transparent and photoconductive

  18. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  19. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  20. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  1. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  2. Low-Temperature, Chemically Grown Titanium Oxide Thin Films with a High Hole Tunneling Rate for Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Yu-Tsu Lee

    2016-05-01

    Full Text Available In this paper, we propose a chemically grown titanium oxide (TiO2 on Si to form a heterojunction for photovoltaic devices. The chemically grown TiO2 does not block hole transport. Ultraviolet photoemission spectroscopy was used to study the band alignment. A substantial band offset at the TiO2/Si interface was observed. X-ray photoemission spectroscopy (XPS revealed that the chemically grown TiO2 is oxygen-deficient and contains numerous gap states. A multiple-trap-assisted tunneling (TAT model was used to explain the high hole injection rate. According to this model, the tunneling rate can be 105 orders of magnitude higher for holes passing through TiO2 than for flow through SiO2. With 24-nm-thick TiO2, a Si solar cell achieves a 33.2 mA/cm2 photocurrent on a planar substrate, with a 9.4% power conversion efficiency. Plan-view scanning electron microscopy images indicate that a moth-eye-like structure formed during TiO2 deposition. This structure enables light harvesting for a high photocurrent. The high photocurrent and ease of production of chemically grown TiO2 imply that it is a suitable candidate for future low-cost, high-efficiency solar cell applications.

  3. Electrodeposition of zinc oxide/tetrasulfonated copper phthalocyanine hybrid thin film for dye-sensitized solar cell application

    International Nuclear Information System (INIS)

    Luo Xinze; Xu Lin; Xu Bingbing; Li Fengyan

    2011-01-01

    Hybrid film of zinc oxide (ZnO) and tetrasulfonated copper phthalocyanine (TSPcCu) was grown on an indium tin oxide (ITO) glass by one-step cathodic electrodeposition from aqueous mixtures of Zn(NO 3 ) 2 , TSPcCu and KCl. The addition of TSPcCu strongly influences the morphology and crystallographic orientation of the ZnO. The nanosheets stack of ZnO leads to a porous surface structure which is advantageous to further adsorb organic dyes. The photovoltaic properties were investigated by assembling the DSSC device based on both the only ZnO film and the ZnO/TSPcCu hybrid films. Photoelectrochemical analysis revealed that the optimized DSSC device with TSPcCu represented a more than three-fold improvement in power conversion efficiency than the device without TSPcCu. The DSSC based on ZnO/TSPcCu hybrid films demonstrates an open circuit voltage of 0.308 V, a short circuit current of 90 μA cm -2 , a fill factor of 0.26, and a power conversion efficiency of 0.14%.

  4. Electrodeposition of zinc oxide/tetrasulfonated copper phthalocyanine hybrid thin film for dye-sensitized solar cell application

    Energy Technology Data Exchange (ETDEWEB)

    Luo Xinze [Key Laboratory of Polyoxometalates Science of Ministry of Education, College of Chemistry, Northeast Normal University, Changchun 130024 (China); College of Chemistry and Biological Science, Yili Normal University, Yining 835000, (China); Xu Lin, E-mail: linxu@nenu.edu.cn [Key Laboratory of Polyoxometalates Science of Ministry of Education, College of Chemistry, Northeast Normal University, Changchun 130024 (China); Xu Bingbing; Li Fengyan [Key Laboratory of Polyoxometalates Science of Ministry of Education, College of Chemistry, Northeast Normal University, Changchun 130024 (China)

    2011-05-15

    Hybrid film of zinc oxide (ZnO) and tetrasulfonated copper phthalocyanine (TSPcCu) was grown on an indium tin oxide (ITO) glass by one-step cathodic electrodeposition from aqueous mixtures of Zn(NO{sub 3}){sub 2}, TSPcCu and KCl. The addition of TSPcCu strongly influences the morphology and crystallographic orientation of the ZnO. The nanosheets stack of ZnO leads to a porous surface structure which is advantageous to further adsorb organic dyes. The photovoltaic properties were investigated by assembling the DSSC device based on both the only ZnO film and the ZnO/TSPcCu hybrid films. Photoelectrochemical analysis revealed that the optimized DSSC device with TSPcCu represented a more than three-fold improvement in power conversion efficiency than the device without TSPcCu. The DSSC based on ZnO/TSPcCu hybrid films demonstrates an open circuit voltage of 0.308 V, a short circuit current of 90 {mu}A cm{sup -2}, a fill factor of 0.26, and a power conversion efficiency of 0.14%.

  5. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin filmsOxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  6. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  7. Penetrating the oxide barrier in situ and separating freestanding porous anodic alumina films in one step.

    Science.gov (United States)

    Tian, Mingliang; Xu, Shengyong; Wang, Jinguo; Kumar, Nitesh; Wertz, Eric; Li, Qi; Campbell, Paul M; Chan, Moses H W; Mallouk, Thomas E

    2005-04-01

    A simple method for penetrating the barrier layer of an anodic aluminum oxide (AAO) film and for detaching the AAO film from residual Al foil was developed by reversing the bias voltage in situ after the anodization process is completed. With this technique, we have been able to obtain large pieces of free-standing AAO membranes with regular pore sizes of sub-10 nm. By combining Ar ion milling and wetting enhancement processes, Au nanowires were grown in the sub-10 nm pores of the AAO films. Further scaling down of the pore size and extension to the deposition of nanowires and nanotubes of materials other than Au should be possible by further optimizing this procedure.

  8. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    Energy Technology Data Exchange (ETDEWEB)

    Guimond, Sebastien

    2009-06-04

    The growth and the surface structure of well-ordered V{sub 2}O{sub 3}, V{sub 2}O{sub 5} and MoO{sub 3} thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V{sub 2}O{sub 3}(0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V{sub 2}O{sub 3} bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V{sub 2}O{sub 5}(001) and MoO{sub 3}(010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O{sub 2} in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V{sub 2}O{sub 5} and MoO{sub 3} layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a

  9. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    International Nuclear Information System (INIS)

    Guimond, Sebastien

    2009-01-01

    The growth and the surface structure of well-ordered V 2 O 3 , V 2 O 5 and MoO 3 thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V 2 O 3 (0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V 2 O 3 bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V 2 O 5 (001) and MoO 3 (010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O 2 in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V 2 O 5 and MoO 3 layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a monolayer is formed dur-ing the preparation of supported vanadia

  10. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  11. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  12. Annealing effect on physical properties of evaporated molybdenum oxide thin films for ethanol sensing

    Energy Technology Data Exchange (ETDEWEB)

    Touihri, S., E-mail: s_touihri@yahoo.fr [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Arfaoui, A.; Tarchouna, Y. [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Labidi, A. [Laboratoire Matériaux, Molécules et Applications, IPEST, BP 51 La Marsa 2070, Tunis (Tunisia); Amlouk, M. [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Bernede, J.C. [LUNAM, Universite de Nantes, Moltech Anjou, CNRS, UMR 6200, FSTN, 2 Rue de la houssiniere, BP 92208, Nantes F-44322 (France)

    2017-02-01

    Highlights: • Thermally grown molybdenum oxide films are amorphous, oxygen deficient and gas sensing. • Air or vacuum annealing transforms them into a sub-stoichiometric MoO{sub 3−x} phase. • The samples annealed at 500 °C in oxygen were crystallized and identified as pure orthorhombic MoO{sub 3} phase. • The conduction process and sensing mechanism of MoO{sub 3-x} to ethanol have been studied. - Abstract: This paper deals with some physical investigations on molybdenum oxide thin films growing on glass substrates by the thermal evaporation method. These films have been subjected to an annealing process under vacuum, air and oxygen at various temperatures 673, 723 and 773 K. First, the physical properties of these layers were analyzed by means of X-ray diffraction, Raman spectroscopy, scanning electron microscopy (SEM) and optical measurements. These techniques have been used to investigate the oxygen index in MoO{sub x} properties during the heat treatment. Second, from the reflectance and transmittance optical measurements, it was found that the direct band gap energy value increased from 3.16 to 3.90 eV. Finally, the heat treatments reveal that the oxygen index varies in such molybdenum oxides showing noticeably sensitivity toward ethanol gas.

  13. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  14. Thermal activation of nitrogen acceptors in ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K.; Talla, K.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth (South Africa)

    2010-06-15

    Nitrogen doping in ZnO is inhibited by spontaneous formation of compensating defects. Perfect control of the nitrogen doping concentration is required, since a high concentration of nitrogen could induce the formation of donor defects involving nitrogen. In this work, the effect of post-growth annealing in oxygen ambient on ZnO thin films grown by Metalorganic Chemical Vapor Deposition, using NO as both oxidant and nitrogen dopant, is studied. After annealing at 700 C and above, low-temperature photoluminescence shows the appearance of a transition at {proportional_to}3.23 eV which is interpreted as pair emission involving a nitrogen acceptor. A second transition at {proportional_to}3.15 eV is also discussed. This work suggests annealing as a potential means for p-type doping using nitrogen (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Chitosan/graphene oxide biocomposite film from pencil rod

    Science.gov (United States)

    Gea, S.; Sari, J. N.; Bulan, R.; Piliang, A.; Amaturrahim, S. A.; Hutapea, Y. A.

    2018-03-01

    Graphene Oxide (GO) has been succesfully synthesized using Hummber method from graphite powder of pencil rod. The excellent solubility of graphene oxide (GO)in water imparts its feasibilty as new filler for reinforcement hydrophilic biopolymers. In this research, the biocomposite film was fabricated from chitosan/graphene oxide. The characteristics of graphene oxide were investigated using Fourier Transform Infrared (FT-IR) and X-ray Diffraction (XRD). The results of the XRD showed graphene structur in 2θ, appeared at 9.0715°with interlayer spacing was about 9.74063Å. Preparation films with several variations of chitosan/graphene oxide was done by casting method and characterized by mechanical and morphological analysis. The mechanical properties of the tensile test in the film show that the film CS/GO (85: 15)% has the optimum Young’s modulus size of 2.9 GPa compared to other variations of CS / GO film. Morphological analysis film CS/GO (85:15)% by Scanning Electron Microscopy (SEM), the obtained biocomposites film showed fine dispersion of GO in the CS matrix and could mix each other homogeneously.

  16. Characterization of oxide film layers formed on A106 B carbon steel in simulated secondary coolant conditions of nuclear power plants

    International Nuclear Information System (INIS)

    Strack, M.; Bordoni, R.; Chocron, M.; Olmedo, A.M.; Zampieri, G.

    2011-01-01

    The water chemistry of the secondary coolant in the majority Nuclear Power Plants is controlled by AVT (All Volatile Treatment) procedure, wherein volatile amines are use to maintain the alkaline pH required for minimizing the corrosion of structural materials which one of them is Carbon Steel. In this procedure: hydrazine, morpholine and ethanolamine are used commonly as conditioning reagents. In this context, experiments were carried out by exposing carbon steel A106 B samples in a simulated secondary coolant in order to study the nature of the oxide films. The tests were performed in a static autoclave at 260 ºC using two media: 1) Hydrazine + morpholine and 2) Hydrazine + ethanolamine during different exposure periods up to ≈1020 h. The composition, surface morphology, X-ray diffraction, a chemical descaling procedure were used- XPS, was also employed, to analyze the films grown during ≈1020 h in both media. The characterization showed that magnetite was the main corrosion product formed in the films grown in the two media. The material weight loss (W) could be fitted by a law of the type W = k t n , up to 1020 h of exposure, resulting in n =0,42, k = 6,24 for films grown in medium 1) and n = 0,39, k =6,08 for films grown in medium 2); where W is in mg/d m 2 and t in h. (author) [es

  17. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  18. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  19. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  20. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  1. Surface oxidation phenomena of boride coatings grown on iron

    International Nuclear Information System (INIS)

    Carbucicchio, M.; Palombarini, G.; Sambogna, G.

    1992-01-01

    Very hard boride coatings are grown on various metals using thermochemical as well as chemical vapour deposition techniques. In this way many surface properties, and in particular the wear resistance, can be considerably improved. Usually, also the corrosion behaviour of the treated components is important. In particular, oxidizing atmospheres are involved in many applications where, therefore, coating-environment interactions can play a relevant role. In a previous work, the early stages of the oxidation of iron borides were studied by treating single phase compacted powders in flowing oxygen at low temperatures (300-450deg C). In the present paper, the attention is addressed to the oxidation of both single phase and polyphase boride coatings thermochemically grown on iron. The single phase boride coatings were constituted by Fe 2 B, while the polyphase coatings were constituted by an inner Fe 2 B layer and an outer FeB-base layer. All the boride layers displayed strong (002) preferred crystallographic orientations. (orig.)

  2. The effect of Mg dopants on magnetic and structural properties of iron oxide and zinc ferrite thin films

    Science.gov (United States)

    Saritaş, Sevda; Ceviz Sakar, Betul; Kundakci, Mutlu; Yildirim, Muhammet

    2018-06-01

    Iron oxide thin films have been obtained significant interest as a material that put forwards applications in photovoltaics, gas sensors, biosensors, optoelectronic and especially in spintronics. Iron oxide is one of the considerable interest due to its chemical and thermal stability. Metallic ion dopant influenced superexchange interactions and thus changed the structural, electrical and magnetic properties of the thin film. Mg dopped zinc ferrite (Mg:ZnxFe3-xO4) crystal was used to avoid the damage of Fe3O4 (magnetite) crystal instead of Zn2+ in this study. Because the radius of the Mg2+ ion in the A-site (tetrahedral) is almost equal to that of the replaced Fe3+ ion. Inverse-spinel structure in which oxygen ions (O2-) are arranged to form a face-centered cubic (FCC) lattice where there are two kinds of sublattices, namely, A-site and B-site (octahedral) interstitial sites and in which the super exchange interactions occur. In this study, to increase the saturation of magnetization (Ms) value for iron oxide, inverse-spinal ferrite materials have been prepared, in which the iron oxide was doped by multifarious divalent metallic elements including Zn and Mg. Triple and quaternary; iron oxide and zinc ferrite thin films with Mg metal dopants were grown by using Spray Pyrolysis (SP) technique. The structural, electrical and magnetic properties of Mg dopped iron oxide (Fe2O3) and zinc ferrite (ZnxFe3-xO4) thin films have been investigated. Vibrating Sample Magnetometer (VSM) technique was used to study for the magnetic properties. As a result, we can say that Mg dopped iron oxide thin film has huge diamagnetic and of Mg dopped zinc ferrite thin film has paramagnetic property at bigger magnetic field.

  3. Photo-electrochemical and impedance investigation of passive layers grown anodically on titanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, N.T.C. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Biaggio, S.R. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Piazza, S. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)]. E-mail: piazza@dicpm.unipa.it; Sunseri, C. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy); Di Quarto, F. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)

    2004-10-15

    The anodic behaviour of two titanium cast alloys, obtained by fusion in a voltaic arc under argon atmosphere, was analyzed in aerated aqueous solutions having different pH values. In all solutions the alloys, having nominal compositions Ti-50Zr at.% and Ti-13Zr-13Nb wt.%, displayed a valve-metal behaviour, owing to the formation of barrier-type oxide films. Passive films, grown potentiodynamically up to about 9 V, were investigated by photocurrent spectroscopy (PCS) and electrochemical impedance spectroscopy (EIS). These passive layers show photoactivity under anodic polarizations, with optical gaps close to 3.55 and 3.25 eV for the binary and the ternary alloy, respectively, independent of the anodizing electrolyte. Films grown on the binary alloy present insulating behaviour and anodic impedance spectra with one time constant; this was interpreted in terms of a single-layer mixed Ti-Zr oxide enriched in Ti with respect to the alloy composition. Also for the ternary alloy the results are consistent with the formation, upon anodization, of Ti-Nb-Zr mixed oxide films, but they display n-type semiconducting behaviour, owing to their poor content of ZrO{sub 2} groups.

  4. Effect of Ag film thickness on the optical and the electrical properties in CuAlO2/Ag/CuAlO2 multilayer films grown on glass substrates

    International Nuclear Information System (INIS)

    Oh, Dohyun; No, Young Soo; Kim, Su Youn; Cho, Woon Jo; Kwack, Kae Dal; Kim, Tae Whan

    2011-01-01

    Research highlights: The CuAlO 2 /Ag/CuAlO 2 multilayer films were grown on glass substrates using radio-frequency magnetron sputtering at room temperature. Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. The morphology Ag films with a thickness of 8 nm was uniform. The morphology of the Ag films inserted in the CuAlO 2 films significantly affected the optical transmittance and the resistivity of the CuAlO 2 films deposited on glass substrates. The maximum transmittance of the CuAlO 2 /Ag/CuAlO 2 multilayer films with a thickness of 8 nm was 89.16%. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films with an Ag film thickness of 18 nm was as small as about 2.8 x 10 -5 Ω cm. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films was decreased as a result of the thermal annealing treatment. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as TCO films in solar cells. - Abstract: Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. The resistivity of the 40 nm-CuAlO 2 /18 nm-Ag/40 nm-CuAlO 2 multilayer films was 2.8 x 10 -5 Ω cm, and the transmittance of the multilayer films with an Ag film thickness of 8 nm was approximately 89.16%. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as

  5. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  6. Positron beam study of indium tin oxide films on GaN

    International Nuclear Information System (INIS)

    Cheung, C K; Wang, R X; Beling, C D; Djurisic, A B; Fung, S

    2007-01-01

    Variable energy Doppler broadening spectroscopy has been used to study open-volume defects formed during the fabrication of indium tin oxide (ITO) thin films grown by electron-beam evaporation on n-GaN. The films were prepared at room temperature, 200 and 300 deg. C without oxygen and at 200 deg. C under different oxygen partial pressures. The results show that at elevated growth temperatures the ITO has fewer open volume sites and grows with a more crystalline structure. High temperature growth, however, is not sufficient in itself to remove open volume defects at the ITO/GaN interface. Growth under elevated temperature and under partial pressure of oxygen is found to further reduce the vacancy type defects associated with the ITO film, thus improving the quality of the film. Oxygen partial pressures of 6 x 10 -3 mbar and above are found to remove open volume defects associated with the ITO/GaN interface. The study suggests that, irrespective of growth temperature and oxygen partial pressure, there is only one type of defect in the ITO responsible for trapping positrons, which we tentatively attribute to the oxygen vacancy

  7. Structural and optical properties of nano-structured tungsten-doped ZnO thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngom, B.D. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa)], E-mail: bdngom@tlabs.ac.za; Mpahane, T. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Manyala, N. [Department of Physics and Electronics National University of Lesotho (Lesotho); Nemraoui, O. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Buttner, U. [Engineering Department, University of Stellenbosch (South Africa); Kana, J.B. [Department of Physique University of Yaounde 1 (Cameroon); Fasasi, A.Y. [Centre for Energy Research and Development, Obafemi Awolowo University, Ile-Ife, Osun State (Nigeria); Maaza, M. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Beye, A.C. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal)

    2009-01-15

    Novel highly c-oriented tungsten-doped zinc oxide (WZO) thin films with 1 wt% were grown by pulsed laser deposition (PLD) technique on corning 1737F glass substrate. The effects of laser energy on the structural, morphological as well as optical transmission properties of the films were studied. The films were highly transparent with average transmittance exceeding 87% in the wavelength region lying between 400 and 2500 nm. X-ray diffraction analysis (XRD) results indicated that the WZO films had c-axis preferred orientation with wurtzite structure. Film thickness and the full width at half maximum (FWHM) of the (0 0 2) peaks of the films were found to be dependent on laser fluence. The composition determined through Rutherford backscattering spectroscopy (RBS) appeared to be independent of the laser fluence. By assuming a direct band gap transition, the band gap values of 3.36, 3.34 and 3.31 eV were obtained for corresponding laser fluence of 1, 1.7 and 2.7 J cm{sup -2}, respectively. Compared with the reported undoped ZnO band gap value of 3.37 eV, it is conjectured that the observed low band gap values obtained in this study may be attributable to tungsten incorporation in the films as well as the increase in laser fluence. The high transparency makes the films useful as optical windows while the high band gap values support the idea that the films could be good candidates for optoelectronic applications.

  8. Effect of magnetic field on the growth of Be films prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Li, Kai; Luo, Bing-chi; Tan, Xiu-lan; Zhang, Ji-qiang; Wu, Wei-dong; Liu, Ying

    2014-01-01

    Highlights: • The Be films were prepared on Si (1 0 0) substrates with and without a magnetic field by thermal evaporation, respectively. • The grain diameter in the Be film transited from 300 nm to 18 nm by application of the magnetic field. • The surface roughness of the Be film decreased from 61 nm to 3 nm by application of the magnetic field. • The Be film grown with the magnetic field was easily oxidized due to its refined grains and the oxidation was gradually decreased with increasing the etching depth in the film. - Abstract: Grain refinement of beryllium deposits is studied as a significant subject for beryllium capsule in the Inertial Confinement Fusion project. The Be films were prepared on the Si (1 0 0) substrates by thermal evaporation with and without a magnetic field, respectively. The two separate groups of prepared Be films were characterized. The results showed the grain diameter in the Be film transited from 300 nm to 18 nm and the surface roughness of the Be film decreased from 61 nm to 3 nm by application of the magnetic field during the deposition process of Be coating. However, the Be film grown with the magnetic field was easily oxidized in comparison with that grown without magnetic field due to the refined grains, and the oxidation was gradually decreased with the increase of etching depth in the Be film. The reason for grain refinement of Be film was also qualitatively described

  9. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  10. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  11. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  12. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  13. Aspects of 'low field' magnetotransport in epitaxial thin films of the ferromagnetic metallic oxide SrRuO3

    International Nuclear Information System (INIS)

    Moran, O.; Saldarriaga, W.; Baca, E.

    2007-01-01

    Epitaxial thin films of the conductive ferromagnetic oxide SrRuO 3 were grown on an (001) SrTiO 3 (STO) substrate by using DC sputtering technique. The magnetic and magnetoresistive properties of the films were measured by applying the magnetic field both perpendicular (out-of-plane) and parallel (in-plane) to the film plane and ever maintaining the direction of the applied field perpendicular to that of the transport current. The films grown on an (001) STO substrate showed identical magnetization properties in two orthogonal crystallographic directions of the substrate, [100] S and [001] S (in-plane and out-of-plane geometry), which suggests the presence of a multi domain structure within the plane of the film. For such samples, no anisotropic field (hard axis) along de [001]s direction, i.e., perpendicular to the film-plane could be detected. Nevertheless, a distinguishable temperature dependent out-of-plane anisotropic magnetoresistance (MR) along with strong temperature dependent low field hysteretic MR(H) behavior was detected for the studied films. A negative MR ratio MR(T)=[ρ(μ 0 H=9T; T)-ρ( μ 0 H=0T; T)]/ρ( μ 0 H=0T; T) on the order of a few percent, with maximums of ∼6% and ∼4% (right at the Curie temperature, T C ∼160K) was calculated for an in-plane and out-of plane measuring geometry, respectively. In addition there is an equally strong MR effect at low temperatures, which might be related to the temperature dependence of the magnetocrystalline anisotropy together with a magnetization rotation. Both the MR(T) behavior and the achieved values (except for T 3 films grown on 2 o miscut (001) STO substrates with the current parallel to the field and parallel to the [1-bar11] direction, which was identified as the easier axis for magnetization

  14. Electrochemistry of hydrous oxide films

    International Nuclear Information System (INIS)

    Burke, L.D.; Lyons, M.E.G.

    1986-01-01

    The formation, acid-base properties, structural aspects, and transport processes of hydrous oxide films are discussed. Classical and nonclassical theoretical models of the oxide-solution interface are compared. Monolayer oxidation, behavior, and crystal growth of oxides on platinum, palladium, gold, iridium, rhodium, ruthenium, and some non-noble metals, including tungsten, are reviewed and compared

  15. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  16. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  17. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  18. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  19. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    Science.gov (United States)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  20. Electroless plating of Ni–B film as a binder-free highly efficient electrocatalyst for hydrazine oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Wen, Xiao-Ping; Dai, Hong-Bin, E-mail: mshbdai@scut.edu.cn; Wu, Lin-Song; Wang, Ping, E-mail: mspwang@scut.edu.cn

    2017-07-01

    Graphical abstract: A Ni–B film was grown on Ni foam to form a binder-free highly efficient electrocatalyst for hydrazine oxidation in alkaline medium. The newly-developed Ni–B/Ni foam electrocatalyst may promote the practical application of hydrazine as a viable energy carrier for fuel cells. - Highlights: • A Ni–B film grown on Ni foam electrocatalyst is prepared by the electrless plating. • The Ni–B film shows high activity and stability for N{sub 2}H{sub 4} electrooxidation reaction. • The improved catalytic property is ascribed to B-tuned electronic structure of Ni. • The resultant catalyst may promote application of N{sub 2}H{sub 4} as a viable energy carrier. - Abstract: Hydrazine is a promising energy carrier for fuel cells owing to its combined advantages of high theoretical cell voltage, high-power density, and no greenhouse gas emission. By using an electroless plating process, we have prepared a robust Ni–B film grown on Ni foam that is highly effective for hydrazine electrooxidation in alkaline media. The effects of reaction temperature, concentrations of hydrous hydrazine and sodium hydroxide in the fuel solution on performance of hydrazine electrooxidation reaction are investigated. The mechanistic reason for the property advantage of as-prepared Ni–B/Ni foam catalyst over the relevant catalysts is discussed based on careful kinetics studies and characterization. The facile synthesis of Ni-based catalyst with high activity and good stability is of clear significance for the development of hydrous hydrazine as a viable energy carrier.

  1. Electroless plating of Ni–B film as a binder-free highly efficient electrocatalyst for hydrazine oxidation

    International Nuclear Information System (INIS)

    Wen, Xiao-Ping; Dai, Hong-Bin; Wu, Lin-Song; Wang, Ping

    2017-01-01

    Graphical abstract: A Ni–B film was grown on Ni foam to form a binder-free highly efficient electrocatalyst for hydrazine oxidation in alkaline medium. The newly-developed Ni–B/Ni foam electrocatalyst may promote the practical application of hydrazine as a viable energy carrier for fuel cells. - Highlights: • A Ni–B film grown on Ni foam electrocatalyst is prepared by the electrless plating. • The Ni–B film shows high activity and stability for N_2H_4 electrooxidation reaction. • The improved catalytic property is ascribed to B-tuned electronic structure of Ni. • The resultant catalyst may promote application of N_2H_4 as a viable energy carrier. - Abstract: Hydrazine is a promising energy carrier for fuel cells owing to its combined advantages of high theoretical cell voltage, high-power density, and no greenhouse gas emission. By using an electroless plating process, we have prepared a robust Ni–B film grown on Ni foam that is highly effective for hydrazine electrooxidation in alkaline media. The effects of reaction temperature, concentrations of hydrous hydrazine and sodium hydroxide in the fuel solution on performance of hydrazine electrooxidation reaction are investigated. The mechanistic reason for the property advantage of as-prepared Ni–B/Ni foam catalyst over the relevant catalysts is discussed based on careful kinetics studies and characterization. The facile synthesis of Ni-based catalyst with high activity and good stability is of clear significance for the development of hydrous hydrazine as a viable energy carrier.

  2. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  4. Unidirectional oxide hetero-interface thin-film diode

    International Nuclear Information System (INIS)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee; Kim, Youn Sang

    2015-01-01

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10 5 at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10 2  Hz < f < 10 6  Hz, providing a high feasibility for practical applications

  5. Unidirectional oxide hetero-interface thin-film diode

    Energy Technology Data Exchange (ETDEWEB)

    Park, Youngmin; Lee, Eungkyu; Lee, Jinwon; Lim, Keon-Hee [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Youn Sang, E-mail: younskim@snu.ac.kr [Program in Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Seoul 151-742 (Korea, Republic of); Advanced Institute of Convergence Technology, Gyeonggi-do 443-270 (Korea, Republic of)

    2015-10-05

    The unidirectional thin-film diode based on oxide hetero-interface, which is well compatible with conventional thin-film fabrication process, is presented. With the metal anode/electron-transporting oxide (ETO)/electron-injecting oxide (EIO)/metal cathode structure, it exhibits that electrical currents ohmically flow at the ETO/EIO hetero-interfaces for only positive voltages showing current density (J)-rectifying ratio of ∼10{sup 5} at 5 V. The electrical properties (ex, current levels, and working device yields) of the thin-film diode (TFD) are systematically controlled by changing oxide layer thickness. Moreover, we show that the oxide hetero-interface TFD clearly rectifies an AC input within frequency (f) range of 10{sup 2} Hz < f < 10{sup 6} Hz, providing a high feasibility for practical applications.

  6. Influence of Na diffusion on thermochromism of vanadium oxide films and suppression through mixed-alkali effect

    Energy Technology Data Exchange (ETDEWEB)

    Miller, Mark J.; Wang, Junlan, E-mail: junlan@u.washington.edu

    2015-10-15

    Highlights: • Vanadium oxide films were reactively sputtered on three types of glass substrates. • Na diffusion from soda-lime glass undesirably inhibited thermochromism. • Na diffusion was suppressed by replacing half of sodium in glass with potassium. • Mixed-alkali effect promotes thermochromic VO{sub 2} films on glass substrates. - Abstract: Vanadium(IV) oxide possesses a reversible first-order phase transformation near 68 °C. Potential applications of the material include advanced optical devices and thermochromic smart windows. In this study, vanadium oxide films were grown on three types of glass substrates using reactive DC magnetron sputtering and were then annealed in air. The substrates were characterized with energy-dispersive X-ray spectroscopy, and the films were characterized with X-ray photoelectron spectroscopy, X-ray diffraction, scanning electron microscopy, atomic force microscopy, transmission electron microscopy, and UV-Vis-NIR spectrophotometry. The results show that the composition of the substrate has a major impact on the microstructure and optical properties of the deposited films. Sodium (Na) in the glass can undesirably inhibit thermochromism; however, replacing half of the Na with potassium (K) suppresses the Na diffusion and promotes the nucleation of pure VO{sub 2} with superior thermochromic functionality. The improved performance is attributed to the mixed-alkali effect between Na and K. These findings are both scientifically and technologically important since soda (Na{sub 2}O) is an essential flux material in glass products such as windows.

  7. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  8. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  9. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  10. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  11. Solution-Grown Monocrystalline Hybrid Perovskite Films for Hole-Transporter-Free Solar Cells

    KAUST Repository

    Peng, Wei

    2016-03-02

    High-quality perovskite monocrystalline films are successfully grown through cavitation-triggered asymmetric crystallization. These films enable a simple cell structure, ITO/CH3NH3PbBr3/Au, with near 100% internal quantum efficiency, promising power conversion efficiencies (PCEs) >5%, and superior stability for prototype cells. Furthermore, the monocrystalline devices using a hole-transporter-free structure yield PCEs ≈6.5%, the highest among other similar-structured CH3NH3PbBr3 solar cells to date.

  12. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  13. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  14. Oxidation phase growth diagram of vanadium oxides film fabricated by rapid thermal annealing

    Institute of Scientific and Technical Information of China (English)

    Tamura KOZO; Zheng-cao LI; Yu-quan WANG; Jie NI; Yin HU; Zheng-jun ZHANG

    2009-01-01

    Thermal evaporation deposited vanadium oxide films were annealed in air by rapid thermal annealing (RTP). By adjusting the annealing temperature and time, a series of vanadium oxide films with various oxidation phases and surface morphologies were fabricated, and an oxidation phase growth diagram was established. It was observed that different oxidation phases appear at a limited and continuous annealing condition range, and the morphologic changes are related to the oxidation process.

  15. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  16. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  17. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  18. Effect of hydrogen on stresses in anodic oxide film on titanium

    International Nuclear Information System (INIS)

    Kim, Joong-Do; Pyun, Su-Il; Seo, Masahiro

    2003-01-01

    Stresses in anodic oxide film on titanium thin film/glass electrode in pH 8.4 borate solution were investigated by a bending beam method. The increases in compressive stress observed with cathodic potential sweeps after formation of anodic oxide film were attributed to the volume expansion due to the compositional change of anodic oxide film from TiO 2 to TiO 2-x (OH) x . The instantaneous responses of changes in stress, Δσ, in the anodic oxide film to potential steps demonstrated the reversible characteristic of the TiO 2-x (OH) x formation reaction. In contrast, the transient feature of Δσ for the titanium without anodic oxide film represented the irreversible formation of TiH x at the metal/oxide interphase. The large difference in stress between with and without the oxide film, has suggested that most of stresses generated during the hydrogen absorption/desorption reside in the anodic oxide film. A linear relationship between changes in stress, Δ(Δσ) des , and electric charge, ΔQ des , during hydrogen desorption was found from the current and stress transients, manifesting that the stress changes were crucially determined by the amount of hydrogen desorbed from the oxide film. The increasing tendency of -Δ(Δσ) des with increasing number of potential steps and film formation potential were discussed in connection with the increase in desorption amount of hydrogen in the oxide film with increasing absorption/desorption cycles and oxide film thickness

  19. Characteristics of zinc oxide nanorod array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Hong, Min-Hsuan; Li, Bo-Wei

    2016-07-01

    The characteristics of a ZnO nanorod array/TiO2 film heterojunction were investigated. A TiO2 film was prepared on glass by aqueous solution deposition with precursors of ammonium hexafluorotitanate and boric acid at 40 °C. Then, a ZnO seed layer was prepared on a TiO2 film/glass substrate by RF sputtering. A vertically oriented ZnO nanorod array was grown on a ZnO seed layer/TiO2 film/glass substrate by aqueous solution deposition with precursors of zinc nitrate and hexamethylenetetramine (HMT) at 70 °C. After thermal annealing in N2O ambient at 300 °C, this heterojunction used as an oxygen gas sensor shows much better rise time, decay time, and on/off current ratio than as-grown and annealed ZnO nanorods.

  20. SPH based modelling of oxide and oxide film formation in gravity die castings

    International Nuclear Information System (INIS)

    Ellingsen, K; M'Hamdi, M; Coudert, T

    2015-01-01

    Gravity die casting is an important casting process which has the capability of making complicated, high-integrity components for e.g. the automotive industry. Oxides and oxide films formed during filling affect the cast product quality. The Smoothed particle hydrodynamics (SPH) method is particularly suited to follow complex flows. The SPH method has been used to study filling of a gravity die including the formation and transport of oxides and oxide films for two different filling velocities. A low inlet velocity leads to a higher amount of oxides and oxide films in the casting. The study demonstrates the usefulness of the SPH method for an increased understanding of the effect of different filling procedures on the cast quality. (paper)

  1. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  2. Oxidation behavior of steels and Alloy 800 in supercritical water

    International Nuclear Information System (INIS)

    Olmedo, A.M.; Bordoni, R.; Dominguez, G.; Alvarez, M.G.

    2011-01-01

    The oxidation behavior of a ferritic-martensitic steel T91 and a martensitic steel AISI 403 up to 750 h, and of AISI 316L and Alloy 800 up to 336 h in deaerated supercritical water, 450ºC-25 MPa, was investigated in this paper. After exposure up to 750 h, the weight gain data, for steels T91 and AISI 403, was fitted by ∆W=k t n , were n are similar for both steels and k is a little higher for T91. The oxide films grown in the steels were characterized using gravimetry, scanning electron microscopy/energy dispersive X-ray spectroscopy (SEM/EDS) and X-ray diffraction. The films were adherent and exhibited a low porosity. For this low oxygen content supercritical water exposure, the oxide scale exhibited a typical duplex structure, in which the scale is composed of an outer iron oxide layer of magnetite (Fe 3 O 4 ) and an inner iron/chromium oxide layer of a non-stoichiometric iron chromite (Fe,Cr) 3 O 4 . Preliminary results, with AISI 316L and Alloy 800, for two exposure periods (168 and 336 h), are also reported. The morphology shown for the oxide films grown on both materials up to 336 h of oxidation in supercritical water, resembles that of a duplex layer film like that shown by stainless steels and Alloy 800 oxide films grown in a in a high temperature and pressure (220-350ºC) of a primary or secondary coolant of a plant. (author) [es

  3. Highly transparent and conductive double-layer oxide thin films as anodes for organic light-emitting diodes

    International Nuclear Information System (INIS)

    Yang Yu; Wang Lian; Yan He; Jin Shu; Marks, Tobin J.; Li Shuyou

    2006-01-01

    Double-layer transparent conducting oxide thin film structures containing In-doped CdO (CIO) and Sn-doped In 2 O 3 (ITO) layers were grown on glass by metal-organic chemical vapor deposition and ion-assisted deposition (IAD), respectively, and used as anodes for polymer light-emitting diodes (PLEDs). These films have a very low overall In content of 16 at. %. For 180-nm-thick CIO/ITO films, the sheet resistance is 5.6 Ω/□, and the average optical transmittance is 87.1% in the 400-700 nm region. The overall figure of merit (Φ=T 10 /R sheet ) of the double-layer CIO/ITO films is significantly greater than that of single-layer CIO, IAD-ITO, and commercial ITO films. CIO/ITO-based PLEDs exhibit comparable or superior device performance versus ITO-based control devices. CIO/ITO materials have a much lower sheet resistance than ITO, rendering them promising low In content electrode materials for large-area optoelectronic devices

  4. Formation of corrosion-resistant oxide film on uranium

    International Nuclear Information System (INIS)

    Petit, G.S.

    1976-01-01

    A vacuum heat-treatment method was developed for coating metallic uranium with an adherent protective film of uranium oxide. The film is prepared by vacuum heat-treating the metallic uranium at 625 0 C for 1 h while controlling the amount of oxygen being metered into the furnace. Uranium coupons with the protective film were exposed for several hundred hours in a corrosion test bath at 95 0 C and 100 percent RH without corroding. Film thicknesses ranging from 5 to 25 μm (0.0002 to 0.001 in.) were prepared and corrosion tested; the film thickness can be controlled to less than +-2.5 μm (+-0.0001 in.). The oxide film is hard, nonwetting, and very adherent. The resulting surface finish of the metal is equivalent to that of the original finish. The advantages of the oxide films over other protective coatings are given. 12 fig

  5. Influence of sputtering gas pressure on properties of transparent conducting Si-doped zinc oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Hua; Liu, Hunfa; Lei, Chengxin [Shandong Univ. of Technology, Zibo (China). Dept. of Sciences

    2013-10-15

    Si-doped zinc oxide (SZO, Si 3%) thin films were deposited on glass substrates by means of direct current magnetron sputtering under different pressures. The influence of sputtering pressure on structure, morphology, optical and electrical properties of SZO thin films was investigated. The results reveal that the sputtering pressures have a significant impact on the growth rate, crystal quality and electrical properties of the films, but have little impact on the optical properties of the films. SZO thin film samples grown on glasses are polycrystalline with a hexagonal wurtzite structure and have a preferred orientation along the c-axis perpendicular to the substrate. When the sputtering pressure increases from 2 to 8 Pa, the film surface becomes compact and smooth, the degree of crystallization of the films increases, and the resistivity of films decreases. However, when the sputtering pressure continues to increase from 8 to 10 Pa, the degree of crystallization of the films decreases, the grain size decreases, and the resistivity of the films increases. SZO(3%) thin film deposited at a sputtering pressure of 8 Pa shows the largest carrier concentration, the largest mobility, the lowest resistivity of 3.0 x 10{sup -4} {Omega} cm and a high overall transmission of 93.3% in the visible range. (orig.)

  6. Evaluation of the optical axis tilt of zinc oxide films via noncollinear second harmonic generation

    International Nuclear Information System (INIS)

    Bovino, F. A.; Larciprete, M. C.; Belardini, A.; Sibilia, C.

    2009-01-01

    We investigated noncollinear second harmonic generation form zinc oxide films, grown on glass substrates by dual ion beam sputtering technique. At a fixed incidence angle, the generated signal is investigated by scanning the polarization state of both fundamental beams. We show that the map of the generated signal as a function of polarization states of both pump beams, together with the analytical curves, allows to retrieve the orientation of the optical axis and eventually, its angular tilt, with respect to the surface normal.

  7. Thickness dependence of Hall mobility of HWE grown PbTe films

    International Nuclear Information System (INIS)

    Vaya, P.R.; Majhi, J.; Gopalam, B.S.V.; Dattatreyan, C.

    1985-01-01

    Thin epitaxial n-PbTe films of various thicknesses are grown on KCl substrates by hot wall epitaxy (HWE) technique. The X-ray, SEM and TEM studies of these films revealed their single crystalline nature. The Hall mobility (μ/sub H/) of these films is measured by Van der Pauw technique and compared with the numerically calculated values of PbTe. It is observed that μ/sub H/ very strongly depends on thickness for thin films but becomes independent of film thickness beyond 5 μm approaching its bulk value. The constant value of Hall coefficient in the temperature range 77 to 300 K show the extrinsic nature of these films. It is also noticed that the rate of increase of mobility with decreasing temperature becomes higher with film thickness. The diffused scattering mobility due to the size effect is calculated and compared with experimental data. A large discrepancy observed between these two is explained on the basis of the residual mobility contribution. The residual mobility is attributed to overall scattering due to grain boundaries, dislocations, defects, cleavage steps, and other surface effects. (author)

  8. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  9. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  10. Influence of oxygen flow rate on metal-insulator transition of vanadium oxide thin films grown by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Xu; Liu, Xinkun; Li, Haizhu; Huang, Mingju [Henan University, Key Lab of Informational Opto-Electronical Materials and Apparatus, School of Physics and Electronics, Kaifeng (China); Zhang, Angran [South China Normal University, Institute of Electronic Paper Displays, South China Academy of Advanced Optoelectronics, Guangzhou (China)

    2017-03-15

    High-quality vanadium oxide (VO{sub 2}) films have been fabricated on Si (111) substrates by radio frequency (RF) magnetron sputtering deposition method. The sheet resistance of VO{sub 2} has a significant change (close to 5 orders of magnitude) in the process of the metal-insulator phase transition (MIT). The field emission-scanning electron microscope (FE-SEM) results show the grain size of VO{sub 2} thin films is larger with the increase of oxygen flow. The X-ray diffraction (XRD) results indicate the thin films fabricated at different oxygen flow rates grow along the (011) crystalline orientation. As the oxygen flow rate increases from 3 sccm to 6 sccm, the phase transition temperature of the films reduces from 341 to 320 K, the width of the thermal hysteresis loop decreases from 32 to 9 K. The thin films fabricated in the condition of 5 sccm have a high temperature coefficient of resistance (TCR) -3.455%/K with a small resistivity of 2.795 ρ/Ω cm. (orig.)

  11. Comparative study about Al-doped zinc oxide thin films deposited by Pulsed Electron Deposition and Radio Frequency Magnetron Sputtering as Transparent Conductive Oxide for Cu(In,Ga)Se{sub 2}-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Pattini, F., E-mail: pattini@imem.cnr.it [IMEM-CNR, Institute of Materials for Electronics and Magnetism, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Annoni, F.; Bissoli, F.; Bronzoni, M. [IMEM-CNR, Institute of Materials for Electronics and Magnetism, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Garcia, J.P. [Delft University of Technology, Faculty of Applied Sciences, Delft Product and Process Design Institute, Julianalaan 67, 2628 BC Delft (Netherlands); Gilioli, E.; Rampino, S. [IMEM-CNR, Institute of Materials for Electronics and Magnetism, Parco Area delle Scienze 37/A, 43124 Parma (Italy)

    2015-05-01

    In this study, a comparison between Al-doped ZnO (AZO) as Transparent Conductive Oxide for Cu(In,Ga)Se{sub 2}-based solar cells grown by Pulsed Electron Deposition (PED) and Radio Frequency Magnetron Sputtering (RFMS) was performed. PED yielded polycrystalline [002] mono-oriented thin films with low electrical resistivity and high optical transparency with heater temperatures ranging from room temperature (RT) to 250 °C. The electrical resistivity of these films can be tuned by varying the heater temperature, reaching a minimum value of 3.5 × 10{sup −4} Ωcm at 150 °C and an average transmittance over 90% in the visible range. An AZO film grown at RT was deposited by PED on an actual Cu(In,Ga)Se{sub 2}-based solar cell, resulting to an efficiency value of 15.2% on the best device. This result clearly shows that PED is a suitable technique for growing ZnO-based thin films for devices/applications where low deposition temperature is required. On the other hand, an optimized AZO thin film front contact for thin film solar cells was studied and fabricated via RFMS. The parameters of this technique were tweaked to obtain highly conductive and transparent AZO thin films. The lowest resistivity value of 3.7 × 10{sup −4} Ωcm and an average transmittance of 86% in the 400-1100 nm wavelength range was obtained with a heater temperature of 250 °C. A thick sputtered AZO film was deposited at RT onto an identical cell used for PED-grown AZO, reaching the highest conversion efficiency value of 14.7%. In both cases, neither antireflection coatings nor pure ZnO layer was used. - Highlights: • Pulsed Electron Deposition (PED) lets high quality films grow at low temperature. • Al:ZnO (AZO) thin films grown by PED present high optical and electrical quality. • AZO electrical resistivity can be tuned from 10{sup −4} to 10{sup −2} Ωcm in proper condition. • Cu(In,Ga)Se{sub 2}-based simplified solar cells achieved efficiency of 15.2% for PED-grown AZO.

  12. Pulsed laser deposition of ITO thin films and their characteristics

    International Nuclear Information System (INIS)

    Zuev, D. A.; Lotin, A. A.; Novodvorsky, O. A.; Lebedev, F. V.; Khramova, O. D.; Petuhov, I. A.; Putilin, Ph. N.; Shatohin, A. N.; Rumyanzeva, M. N.; Gaskov, A. M.

    2012-01-01

    The indium tin oxide (ITO) thin films are grown on quartz glass substrates by the pulsed laser deposition method. The structural, electrical, and optical properties of ITO films are studied as a function of the substrate temperature, the oxygen pressure in the vacuum chamber, and the Sn concentration in the target. The transmittance of grown ITO films in the visible spectral region exceeds 85%. The minimum value of resistivity 1.79 × 10 −4 Ω cm has been achieved in the ITO films with content of Sn 5 at %.

  13. Study of film graphene/graphene oxide obtained by partial reduction chemical of oxide graphite

    International Nuclear Information System (INIS)

    Gascho, J.L.S.; Costa, S.F.; Hoepfner, J.C.; Pezzin, S.H.

    2014-01-01

    This study investigated the morphology of graphene/graphene oxide film obtained by partial chemical reduction of graphite oxide (OG) as well as its resistance to solvents. Films of graphene/graphene oxide are great candidates for replacement of indium oxide doped with tin (ITO) in photoelectric devices. The OG was obtained from natural graphite, by Hummer's method modified, and its reduction is made by using sodium borohydride. Infrared spectroscopy analysis of Fourier transform (FTIR), Xray diffraction (XRD) and scanning electron microscopy, high-resolution (SEM/FEG) for the characterization of graphene/graphene oxide film obtained were performed. This film proved to be resilient, not dispersing in any of the various tested solvents (such as ethanol, acetone and THF), even under tip sonication, this resistance being an important property for the applications. Furthermore, the film had a morphology similar to that obtained by other preparation methods.(author)

  14. Synthesis and electrical characterization of Graphene Oxide films

    International Nuclear Information System (INIS)

    Yasin, Muhammad; Tauqeer, T.; Zaidi, Syed M.H.; San, Sait E.; Mahmood, Asad; Köse, Muhammet E.; Canimkurbey, Betul; Okutan, Mustafa

    2015-01-01

    In this work, we have synthesized Graphene Oxide (GO) using modified Hummers method and investigated its electrical properties using parallel plate impedance spectroscopic technique. Graphene Oxide films were prepared using drop casting method on Indium Tin Oxide (ITO) coated glass substrate. Atomic force microscopy was used to characterize the films' microstructure and surface topography. Electrical characterization was carried out using LCR meter in frequency regime (100 Hz to 10 MHz) at different temperatures. AC conductivity σ ac of the films was observed to be varied with angular frequency, ω as ω S , with S < 1. The electrical properties of GO were found to be both frequency and temperature dependent. Analysis showed that GO film contains direct current (DC) and Correlated Barrier Hopping (CBH) conductivity mechanisms at low and high frequency ranges, respectively. Photon absorption and transmittance capability in the visible range and excellent electrical parameters of solution processed Graphene Oxide suggest its suitability for the realization of low cost flexible organic solar cells and organic Thin Film Transistors, respectively. - Highlights: • Synthesize and electrical characterization of Graphene Oxide (GO) Film was undertaken. • Temperature dependent impedance spectroscopy was used for electrical analysis. • AFM was used to characterize films' microstructure and surface topography. • Electrical parameters were found to vary with both temperature and frequency. • GO showed DC and CBH conductivity mechanisms at low and high frequency, respectively

  15. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  16. Infrared reflectance studies of hillock-like porous zinc oxide thin films

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ng, S.S.; Hassan, Z.; Abu Hassan, H.

    2013-01-01

    We investigated the infrared (IR) reflectance characteristics of hillock-like porous zinc oxide (ZnO) thin films on silicon substrates. The IR reflectance spectra of the porous samples exhibited an extra resonance hump in the reststrahlen region of ZnO compared with the as-grown sample. Oscillation fringes with different behaviors were also observed in the non-reststrahlen region of ZnO. Standard multilayer optic technique was used with the effective medium theory to analyze the observations. Results showed that the porous ZnO layer consisted of several sublayers with different porosities and thicknesses. These findings were confirmed by scanning electron microscopy measurements. - Highlights: • Multilayer porous assumption qualitatively increased the overall spectra fitting. • IR reflectance is a sensitive method to probe the multilayer porous structure. • Hillock-like porous ZnO thin films fabricated using electrochemical etching method. • The thickness and porosity of the samples were determined. • Formation of extra resonance hump was due to splitting of reststrahlen band

  17. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  18. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  19. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  1. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  2. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Science.gov (United States)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Thin films of tin(IV) oxide (SnO2) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au8+ using 1 pnA current at normal incidence with ion fluences varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV-Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm-1 in FTIR spectrum confirmed the O-Sn-O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO2 were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  3. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  4. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  5. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  6. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  7. Nuclear microanalysis of oxide films on structural steel

    International Nuclear Information System (INIS)

    Istomin, I.V.; Karabash, V.A.; Maisyukov, V.D.; Sosnin, A.N.; Shorin, V.S.

    1989-01-01

    Studies of the behavior of structural materials in nuclear power plants have indicated the important role of oxide films on metals, especially metals of the iron group. The films may be formed as a result of the corrosion of the metal in an aggressive coolant. At the same time, some oxide films have anticorrosive properties and can be produced specially by the introduction of inhibitor-passivators, e.g., molecular oxygen, into the aggressive medium. Experimental data on the film growth rate make it possible to determine the kinetics of the oxidation process, the nature of the diffusion of the main components through the film, and the role of the phase transitions (crystal-chemical transformations) and point defects during the migration of oxygen and metal ions through the oxide. In this study nuclear microanalysis is used to measure the parameters of oxide films formed on 10Cr2Mo and 1Cr18Ni10Ti steels in steam in the temperature range 320-620C. In this method the film parameters in the general analysis of the energy spectra of deuterons back-scattered from iron nuclei and protons in the case of the 16 O(d,p 1 ) 17 O nuclear reaction. With this approach and an initial deuteron energy E o = 0.9 MeV the range of the measurable thickness t of the films is 0.001-1.5 mg/cm 2 . The data obtained not only confirm the high sensitivity of the nuclear microanalysis method but also demonstrate that it can be used for nondestructive quality control of the surface

  8. Films based on oxidized starch and cellulose from barley.

    Science.gov (United States)

    El Halal, Shanise Lisie Mello; Colussi, Rosana; Deon, Vinícius Gonçalves; Pinto, Vânia Zanella; Villanova, Franciene Almeida; Carreño, Neftali Lenin Villarreal; Dias, Alvaro Renato Guerra; Zavareze, Elessandra da Rosa

    2015-11-20

    Starch and cellulose fibers were isolated from grains and the husk from barley, respectively. Biodegradable films of native starch or oxidized starches and glycerol with different concentrations of cellulose fibers (0%, 10% and 20%) were prepared. The films were characterized by morphological, mechanical, barrier, and thermal properties. Cellulose fibers isolated from the barley husk were obtained with 75% purity and high crystallinity. The morphology of the films of the oxidized starches, regardless of the fiber addition, was more homogeneous as compared to the film of the native starch. The addition of cellulose fibers in the films increased the tensile strength and decreased elongation. The water vapor permeability of the film of oxidized starch with 20% of cellulose fibers was lower than the without fibers. However the films with cellulose fibers had the highest decomposition with the initial temperature and thermal stability. The oxidized starch and cellulose fibers from barley have a good potential for use in packaging. The addition of cellulose fibers in starch films can contribute to the development of films more resistant that can be applied in food systems to maintain its integrity. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  10. Monodispersed macroporous architecture of nickel-oxide film as an anode material for thin-film lithium-ion batteries

    International Nuclear Information System (INIS)

    Wu, Mao-Sung; Lin, Ya-Ping

    2011-01-01

    A nickel-oxide film with monodispersed open macropores was prepared on a stainless-steel substrate by electrophoretic deposition of a polystyrene-sphere monolayer followed by anodic electrodeposition of nickel oxy-hydroxide. The deposited films convert to cubic nickel oxide after annealing at 400 o C for 1 h. Galvanostatic charge and discharge results indicate that the nickel-oxide film with monodispersed open macropores is capable of delivering a higher capacity than the bare nickel-oxide film, especially in high-rate charge and discharge processes. The lithiation capacity of macroporous nickel oxide reaches 1620 mA h g -1 at 1 C current discharge and decreases to 990 mA h g -1 at 15 C current discharge. The presence of monodispersed open macropores in the nickel-oxide film might facilitate the electrolyte penetration, diffusion, and migration. Electrochemical reactions between nickel oxide and lithium ions are therefore markedly improved by this tailored film architecture.

  11. Optical and Electrical Properties of Copper Oxide Thin Films Synthesized by Spray Pyrolysis Technique

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-08-01

    Full Text Available Copper oxide (CuO thin films have been synthesized on to glass substrates at different temperatures in the range 250-450 °C by spray pyrolysis technique from aqueous solution using cupric acetate Cu(CH3COO2·H2O as a precursor. The structure of the deposited CuO thin films characterized by X-ray diffraction, the surface morphology was observed by a scanning electron microscope, the presence of elements was detected by energy dispersive X-ray analysis, the optical transmission spectra was recorded by ultraviolet-visible spectroscopy and electrical resistivity was studied by Van-der Pauw method. All the CuO thin films, irrespective of growth temperature, showed a monoclinic structure with the main CuO (111 orientation, and the crystallite size was about 8.4784 Å for the thin film synthesized at 350 °C. The optical transmission of the as-deposited film is found to decrease with the increase of substrate temperature, the optical band gap of the thin films varies from 1.90 to 1.60 eV and the room temperature electrical resistivity varies from 30 to18 Ohm·cm for the films grown at different substrate temperatures.

  12. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  13. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  14. Structural and optical properties of Ag-doped copper oxide thin films on polyethylene napthalate substrate prepared by low temperature microwave annealing

    Energy Technology Data Exchange (ETDEWEB)

    Das, Sayantan; Alford, T. L. [Department of Chemistry and Biochemistry, Arizona State University, Tempe, Arizona 85287, USA and School for Engineering of Matter, Transport and Energy, Arizona State University, Tempe, Arizona 85287 (United States)

    2013-06-28

    Silver doped cupric oxide thin films are prepared on polyethylene naphthalate (flexible polymer) substrates. Thin films Ag-doped CuO are deposited on the substrate by co-sputtering followed by microwave assisted oxidation of the metal films. The low temperature tolerance of the polymer substrates led to the search for innovative low temperature processing techniques. Cupric oxide is a p-type semiconductor with an indirect band gap and is used as selective absorption layer solar cells. X-ray diffraction identifies the CuO phases. Rutherford backscattering spectrometry measurements confirm the stoichiometry of each copper oxide formed. The surface morphology is determined by atomic force microscopy. The microstructural properties such as crystallite size and the microstrain for (-111) and (111) planes are calculated and discussed. Incorporation of Ag led to the lowering of band gap in CuO. Consequently, it is determined that Ag addition has a strong effect on the structural, morphological, surface, and optical properties of CuO grown on flexible substrates by microwave annealing. Tauc's plot is used to determine the optical band gap of CuO and Ag doped CuO films. The values of the indirect and direct band gap for CuO are found to be 2.02 eV and 3.19 eV, respectively.

  15. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  16. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  17. Flexible Al-doped ZnO films grown on PET substrates using linear facing target sputtering for flexible OLEDs

    International Nuclear Information System (INIS)

    Jeong, Jin-A; Shin, Hyun-Su; Choi, Kwang-Hyuk; Kim, Han-Ki

    2010-01-01

    We report the characteristics of flexible Al-doped zinc oxide (AZO) films prepared by a plasma damage-free linear facing target sputtering (LFTS) system on PET substrates for use as a flexible transparent conducting electrode in flexible organic light-emitting diodes (OLEDs). The electrical, optical and structural properties of LFTS-grown flexible AZO electrodes were investigated as a function of dc power. We obtained a flexible AZO film with a sheet resistance of 39 Ω/□ and an average transmittance of 84.86% in the visible range although it was sputtered at room temperature without activation of the Al dopant. Due to the effective confinement of the high-density plasma between the facing AZO targets, the AZO film was deposited on the PET substrate without plasma damage and substrate heating caused by bombardment of energy particles. Moreover, the flexible OLED fabricated on the AZO/PET substrate showed performance similar to the OLED fabricated on a ITO/PET substrate in spite of a lower work function. This indicates that LFTS is a promising plasma damage-free and low-temperature sputtering technique for deposition of flexible and indium-free AZO electrodes for use in cost-efficient flexible OLEDs.

  18. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  19. Properties of CoSb{sub 3} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Christen, H M; Mandrus, D G; Norton, D P; Boatner, L A; Sales, B C

    1997-07-01

    Polycrystalline CoSb{sub 3} films were grown on a variety of electrically insulating substrates by pulsed laser ablation from a stoichiometric hot-pressed target. These films are fully crystallized in the skutterudite structure, and the grains exhibit a strongly preferred alignment of the cubic [310]-axis perpendicular to the substrate surface. The film quality is studied for different single-crystal substrates and as a function of growth temperature and background gas. Hall measurements show that the films are p-type semiconducting with a room-temperature carrier density of 3 x 10{sup 20} holes/cm{sup 3}. The Hall mobility is found to be 50 to 60 cm{sup 2}/Vs, which is high for such a heavily-doped material. The Seebeck coefficient and the resistivity are measured as a function of temperature and are compared to bulk measurements.

  20. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  1. Photoluminescence studies of ZnO thin films on R-plane sapphire substrates grown by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Nam, Giwoong; Kim, Soaram [Department of Nano Engineering, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Kim, Do Yeob [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Lee, Dong-Yul [LED R and D team, Samsung Electronics Co. Ltd., Yongin 446-711 (Korea, Republic of); Kim, Jin Soo [Research Center of Advanced Materials Development (RCAMD), Division of Advanced Materials Engineering, Chonbuk National University, Jeonju, Chonbuk 561-756 (Korea, Republic of); Kim, Sung-O [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Kim, Jong Su [Department of Physics, Yeungnam University, Gyeongsan, Gyeongsangbuk-do 712-749 (Korea, Republic of); Son, Jeong-Sik [Department of Visual Optics, Kyungwoon University, Gumi, Gyeongsangbuk-do 730-850 (Korea, Republic of); Leem, Jae-Young, E-mail: jyleem@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of)

    2012-10-15

    Zinc oxide (ZnO) thin films on R-plane sapphire substrates were grown by the sol-gel spin-coating method. The optical properties of the ZnO thin films were investigated using photoluminescence. In the UV range, the asymmetric near-band-edge emission was observed at 300 K, which consisted of two emissions at 3.338 and 3.279 eV. Eight peaks at 3.418, 3.402, 3.360, 3.288, 3.216, 3.145, 3.074, and 3.004 eV, which respectively correspond to the free exciton (FX), bound exciton, transverse optical (TO) phonon replica of FX recombination, and first-order longitudinal optical phonon replica of FX and the TO (1LO+TO), 2LO+TO, 3LO+TO, 4LO+TO, and 5LO+TO, were obtained at 12 K. From the temperature-dependent PL, it was found that the emission peaks at 3.338 and 3.279 eV corresponded to the FX and TO, respectively. The activation energy of the FX and TO emission peaks was found to be about 39.3 and 28.9 meV, respectively. The values of the fitting parameters of Varshni's empirical equation were {alpha}=4 Multiplication-Sign 10{sup -3} eV/K and {beta}=4.9 Multiplication-Sign 10{sup 3} K, and the S factor of the ZnO thin films was 0.658. With increasing temperature, the exciton radiative lifetime of the FX and TO emissions increased. The temperature-dependent variation of the exciton radiative lifetime for the TO emission was slightly higher than that for the FX emission. - Highlights: Black-Right-Pointing-Pointer ZnO thin films on R-plane sapphire substrates were grown by sol-gel method. Black-Right-Pointing-Pointer Two emission peaks at 3.338 and 3.279 eV were observed at 300 K Black-Right-Pointing-Pointer Activation energies of the two peaks were 39.3 and 28.9 meV,respectively. Black-Right-Pointing-Pointer Exciton radiative lifetime of the two peaks increased with increasing temperature.

  2. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  3. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    Farrell, R.; Pagan, V.R.; Kabulski, A.; Kuchibhatla, S.; Harman, J.; Kasarla, K.R.; Rodak, L.E.; Hensel, J.P.; Famouri, P.; Korakakis, D.

    2008-01-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE-grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  4. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    R. Farrell; V. R. Pagan; A. Kabulski; Sridhar Kuchibhatl; J. Harman; K. R. Kasarla; L. E. Rodak; P. Famouri; J. Peter Hensel; D. Korakakis

    2008-05-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  5. Characterization of carbon nanotubes grown on Fe70Pd30 film

    International Nuclear Information System (INIS)

    Khan, Zishan H.; Islam, S.S.; Kung, S.C.; Perng, T.P.; Khan, Samina; Tripathi, K.N.; Agarwal, Monika; Zulfequar, M.; Husain, M.

    2006-01-01

    Carbon nanotubes have been synthesized by a LPCVD on nanocrystalline Fe-Pd film. CNTs are grown for 30min and 1h respectively. From the SEM images, the diameter of these nanotubes varies from 40-80nm and the length is several micro-meter approximately. TEM observations suggest that the CNTs are multi-walled and the structure changes from ordinary geometry of CNTs to bamboo shaped. We have observed sharp G and D bands in the Raman spectra of these carbon nanotubes. Higher D-band is observed for the carbon nanotubes grown for longer time (1h), showing that these nanotubes contain more amorphous carbon. The field emission measurements for these CNTs are also performed. For CNTs grown for longer time (1h), a superior turn-on field of 4.88V/μm (when the current density achieves 10μA/cm 2 ) is obtained and a current density of 29.36mA/cm 2 can be generated at 9.59V/μm

  6. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  7. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  8. Composition, structure and electrical properties of alumina barrier layers grown in fluoride-containing oxalic acid solutions

    Energy Technology Data Exchange (ETDEWEB)

    Jagminas, A. [Institute of Chemistry, A. Gostauto 9, LT-01108 Vilnius (Lithuania)], E-mail: jagmin@ktl.mii.lt; Vrublevsky, I. [Department of Microelectricals, Belarusian State University of Informatics and Radioelectricals, 6 Brovka Street, Minsk 220013 (Belarus); Kuzmarskyte, J.; Jasulaitiene, V. [Institute of Chemistry, A. Gostauto 9, LT-01108 Vilnius (Lithuania)

    2008-04-15

    The composition, structure and electrical properties of alumina barrier layers grown by anodic oxidation in F{sup -}-containing (FC) and F{sup -}-free (FF) oxalic acid solutions were studied using the re-anodizing/dissolution technique, Fourier-transformed infrared and X-ray photoelectron spectroscopy. These results confirmed formation in FC anodizing solutions of films structurally different from ones grown in FF oxalic acid baths. It was found that the barrier layer of FC alumina films is composed of two layers differing in the dissolution rate. These differences are related to the formation in the FC electrolyte of a barrier layer composed of a more microporous outer part and a thin, non-porous and non-scalloped inner part consisting of aluminum oxide and aluminum fluoride.

  9. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  10. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  11. Chemically abrupt interface between Ce oxide and Fe films

    International Nuclear Information System (INIS)

    Lee, H.G.; Lee, D.; Kim, S.; Kim, S.G.; Hwang, Chanyong

    2005-01-01

    A chemically abrupt Fe/Ce oxide interface can be formed by initial oxidation of an Fe film followed by deposition of Ce metal. Once a Ce oxide layer is formed on top of Fe, it acts a passivation barrier for oxygen diffusion. Further deposition of Ce metal followed by its oxidation preserve the abrupt interface between Ce oxide and Fe films. The Fe and Ce oxidation states have been monitored at each stage using X-ray photoelectron spectroscopy

  12. Degradation of superconducting Nb/NbN films by atmospheric oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Henry, Michael David; Wolfley, Steven L.; Young, Travis Ryan; Monson, Todd; Pearce, Charles Joseph; Lewis, Rupert M.; Clark, Blythe; Brunke, Lyle Brent; Missert, Nancy A.

    2017-03-01

    Niobium and niobium nitride thin films are transitioning from fundamental research toward wafer scale manufacturing with technology drivers that include superconducting circuits and electronics, optical single photon detectors, logic, and memory. Successful microfabrication requires precise control over the properties of sputtered superconducting films, including oxidation. Previous work has demonstrated the mechanism in oxidation of Nb and how film structure could have deleterious effects upon the superconducting properties. This study provides an examination of atmospheric oxidation of NbN films. By examination of the room temperature sheet resistance of NbN bulk oxidation was identified and confirmed by secondary ion mass spectrometry. As a result, Meissner magnetic measurements confirmed the bulk oxidation not observed with simple cryogenic resistivity measurements.

  13. Influence of grain size on lithium storage performance of germanium oxide films

    International Nuclear Information System (INIS)

    Feng, J.K.; Lai, M.O.; Lu, L.

    2012-01-01

    Highlights: ► We deposited GeO 2 thin films at different temperatures to form different grain sizes. ► Li storage of GeO 2 as anode was studied, which shows that the GeO 2 with grain size of about 10 nm reveals high capacity retention. ► Nanograined GeO 2 also shows better rate capability and cyclability. - Abstract: Germanium oxide (GeO 2 ) films with two different grain sizes of 10 nm (GeO 2 (10 nm)) and 100 nm (GeO 2 (100 nm)) were grown via reactive radio frequency sputtering at different temperatures. Electrochemical measurements of the GeO 2 (10 nm) thin film used as an anode in Li ion rechargeable batteries show superior capacity retention and rate capability compared to those of GeO 2 (100 nm). The GeO 2 (10 nm) thin film possesses an initial capacity of 930 mAh g −1 with 89% capacity retention after 100 cycles, compared with 455 mAh g −1 with 53% of GeO 2 (100 nm) and 225 mAh g −1 , 30% (10 cycles) of common macro-size GeO 2 . Microstructural studies reveal that the GeO 2 (10 nm) thin film can better accommodate volume changes during Li–Ge alloying and de-alloying processes.

  14. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  15. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  16. Improving the electrical properties of lanthanum silicate films on ge metal oxide semiconductor capacitors by adopting interfacial barrier and capping layers.

    Science.gov (United States)

    Choi, Yu Jin; Lim, Hajin; Lee, Suhyeong; Suh, Sungin; Kim, Joon Rae; Jung, Hyung-Suk; Park, Sanghyun; Lee, Jong Ho; Kim, Seong Gyeong; Hwang, Cheol Seong; Kim, HyeongJoon

    2014-05-28

    The electrical properties of La-silicate films grown by atomic layer deposition (ALD) on Ge substrates with different film configurations, such as various Si concentrations, Al2O3 interfacial passivation layers, and SiO2 capping layers, were examined. La-silicate thin films were deposited using alternating injections of the La[N{Si(CH3)3}2]3 precursor with O3 as the La and O precursors, respectively, at a substrate temperature of 310 °C. The Si concentration in the La-silicate films was further controlled by adding ALD cycles of SiO2. For comparison, La2O3 films were also grown using [La((i)PrCp)3] and O3 as the La precursor and oxygen source, respectively, at the identical substrate temperature. The capacitance-voltage (C-V) hysteresis decreased with an increasing Si concentration in the La-silicate films, although the films showed a slight increase in the capacitance equivalent oxide thickness. The adoption of Al2O3 at the interface as a passivation layer resulted in lower C-V hysteresis and a low leakage current density. The C-V hysteresis voltages of the La-silicate films with Al2O3 passivation and SiO2 capping layers was significantly decreased to ∼0.1 V, whereas the single layer La-silicate film showed a hysteresis voltage as large as ∼1.0 V.

  17. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jaiswal, Manoj Kumar [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Kumar, Rajesh, E-mail: rajeshkumaripu@gmail.com [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India)

    2013-11-01

    Thin films of tin(IV) oxide (SnO{sub 2}) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au{sup 8+} using 1 pnA current at normal incidence with ion fluences varying from 1 × 10{sup 11} ions/cm{sup 2} to 5 × 10{sup 13} ions/cm{sup 2}. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV–Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm{sup −1} in FTIR spectrum confirmed the O–Sn–O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO{sub 2} were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  18. Nanocylindrical confinement imparts highest structural order in molecular self-assembly of organophosphonates on aluminum oxide.

    Science.gov (United States)

    Pathak, Anshuma; Bora, Achyut; Braunschweig, Björn; Meltzer, Christian; Yan, Hongdan; Lemmens, Peter; Daum, Winfried; Schwartz, Jeffrey; Tornow, Marc

    2017-05-18

    We report the impact of geometrical constraint on intramolecular interactions in self-assembled monolayers (SAMs) of alkylphosphonates grown on anodically oxidized aluminum (AAO). Molecular order in these films was determined by sum frequency generation (SFG) spectroscopy, a more sensitive measure of order than infrared absorption spectroscopy. Using SFG we show that films grown on AAO are, within detection limits, nearly perfectly ordered in an all-trans alkyl chain configuration. In marked contrast, films formed on planar, plasma-oxidized aluminum oxide or α-Al 2 O 3 (0001) are replete with gauche defects. We attribute these differences to the nanocylindrical structure of AAO, which enforces molecular confinement.

  19. Structure and electronic properties of Zn-tetra-phenyl-porphyrin single- and multi-layers films grown on Fe(001)-p(1 × 1)O

    Energy Technology Data Exchange (ETDEWEB)

    Bussetti, Gianlorenzo, E-mail: gianlorenzo.bussetti@polimi.it; Calloni, Alberto; Celeri, Matteo; Yivlialin, Rossella; Finazzi, Marco; Bottegoni, Federico; Duò, Lamberto; Ciccacci, Franco

    2016-12-30

    Highlights: • ZnTPP/Fe(001)-p(1 × 1)O is a prototypical system to investigate the porphyrin/thin metal oxide film interaction. • Oxygen layer plays a crucial role in decreasing the porphyrin-substrate interaction. • An ordered ZnTPP (5 × 5) reconstruction is found on the nominal 1 ML-thick film. • On Fe(001)-p(1 × 1)O the electronic properties of the ZnTPP film are preserved with respect to other substrates. - Abstract: The structure and the electronic properties of thin (1 molecular layer) and thick (20 molecular layers) Zn-tetra-phenyl-porphyrin (ZnTPP) films grown on a single metal oxide (MO) layer, namely Fe(001)-p(1 × 1)O, are shown and discussed. During the first stages of deposition, the ultra-thin MO layer reduces the molecule-substrate interaction enhancing the molecular diffusivity with the respect to other investigated substrates [namely, Si(111), Au(001) and oxygen-free Fe(001)]. On Fe(001)-p(1 × 1)O, ZnTPP molecules form an ordered and stable square-lattice array. The photoemission analysis of the valence bands reveals that all the characteristic features of the molecule are already visible in the 1 monolayer-thick sample spectrum. Similarly, the core level investigation suggests a weak molecule perturbation. The ZnTPP/Fe(001)-p(1 × 1)O interface represents a prototypical system to investigate the organic film adhesion on ultra-thin MO layers and the processes involved during the film growth.

  20. Surface morphology study on chromium oxide growth on Cr films by Nd-YAG laser oxidation process

    International Nuclear Information System (INIS)

    Dong Qizhi; Hu Jiandong; Guo Zuoxing; Lian Jianshe; Chen Jiwei; Chen Bo

    2002-01-01

    Grain sized (60-100 nm) Cr 2 O 3 thin films were prepared on Cr thin film surfaces by Nd-YAG laser photothermal oxidation process. Surface morphology study showed crack-free short plateau-like oxide films formed. Increase of dislocation density after pulsed laser irradiation was found. Thin film external surfaces, grain boundaries and dislocations are main paths of laser surface oxidation. Pinning and sealing of grain boundary was the reason that deeper oxidation did not produce. Grain growth and agglomeration of Cr sub-layer yielded tensile stress on the surface Cr 2 O 3 thin film. It was the reason that short plateau-like surface morphology formed and cracks appeared sometimes. In oxygen annealing at 700 deg. C, grain boundaries were considered not to be pinned at the surface, mixture diffusion was main mechanism in growth of oxide. Compression stress development in whole film led to extrusion of grains that was the reason that multiple appearances such as pyramid-like and nutshell-like morphology formed

  1. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  2. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  3. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  4. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  5. Raman and XPS characterization of vanadium oxide thin films with temperature

    Energy Technology Data Exchange (ETDEWEB)

    Ureña-Begara, Ferran, E-mail: ferran.urena@uclouvain.be [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium); Crunteanu, Aurelian [XLIM Research Institute, UMR 7252, CNRS/Université de Limoges, Limoges (France); Raskin, Jean-Pierre [Université catholique de Louvain, Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM), Louvain-la-Neuve (Belgium)

    2017-05-01

    Highlights: • Comprehensive study of the oxidation of VO{sub 2} thin films from R.T. up to 550 °C. • Phase changes and mixed-valence vanadium oxides formed during the oxidation process. • Reported Raman and XPS signatures for each vanadium oxide. • Monitoring of the current and resistance evolution at the surface of the films. • Oxidation model describing the evolution of the vanadium oxides and phase changes. - Abstract: The oxidation mechanisms and the numerous phase transitions undergone by VO{sub 2} thin films deposited on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates when heated from room temperature (R.T.) up to 550 °C in air are investigated by Raman and X-ray photoelectron spectroscopy. The results show that the films undergo several intermediate phase transitions between the initial VO{sub 2} monoclinic phase at R.T. and the final V{sub 2}O{sub 5} phase at 550 °C. The information about these intermediate phase transitions is scarce and their identification is important since they are often found during the synthesis of vanadium dioxide films. Significant changes in the film conductivity have also been observed to occur associated to the phase transitions. In this work, current and resistance measurements performed on the surface of the films are implemented in parallel with the Raman measurements to correlate the different phases with the conductivity of the films. A model to explain the oxidation mechanisms and phenomena occurring during the oxidation of the films is proposed. Peak frequencies, full-width half-maxima, binding energies and oxidation states from the Raman and X-ray photoelectron spectroscopy experiments are reported and analyzed for all the phases encountered in VO{sub 2} films prepared on SiO{sub 2}/Si and Al{sub 2}O{sub 3} substrates.

  6. Microstructure of oxides in thermal barrier coatings grown under dry/humid atmosphere

    International Nuclear Information System (INIS)

    Zhou Zhaohui; Guo Hongbo; Wang Juan; Abbas, Musharaf; Gong Shengkai

    2011-01-01

    Graphical abstract: The presence of water vapor promoted the formation of spinels in the TBC. Highlights: → Thermal barrier coatings are produced by electron beam physical vapour deposition. → Oxidation behaviour of the coatings at 1100 deg. C has been investigated in dry/humid O 2 . → Thermally grown oxides formed in the coatings are characterized. → The presence of water vapour promotes the formation of spinel in the TBCs. - Abstract: The microstructure of thermally grown oxide (TGO) in thermal barrier coatings (TBCs) oxidized under dry/humid atmosphere at 1100 deg. C has been characterized by transmission electron microscopy. A thin and continuous oxide layer is formed in the as-deposited TBCs produced by electron beam physical vapor deposition. The TGO formed in dry atmosphere consists of an outer layer of fine α-alumina, zirconia grains and an inner layer of columnar α-alumina grains. However, a small amount of spinel is observed in the TGO under humid atmosphere. The presence of water vapour promotes the formation of spinel.

  7. Manipulating oxygen sublattice in ultrathin cuprates: a new direction to engineer oxides

    NARCIS (Netherlands)

    Samal, D.; Koster, Gertjan

    2015-01-01

    Atomic engineering of complex oxide thin films is now reaching a new paradigm: the possibility to control the cation coordination by oxygen anions. Here, we show two examples of stabilization of novel structural phases by manipulating the oxygen sublattices in complex Cu-based oxide thin films grown

  8. Water clustering on nanostructured iron oxide films

    DEFF Research Database (Denmark)

    Merte, Lindsay Richard; Bechstein, Ralf; Peng, G.

    2014-01-01

    , but it is not well-understood how these hydroxyl groups and their distribution on a surface affect the molecular-scale structure at the interface. Here we report a study of water clustering on a moire-structured iron oxide thin film with a controlled density of hydroxyl groups. While large amorphous monolayer...... islands form on the bare film, the hydroxylated iron oxide film acts as a hydrophilic nanotemplate, causing the formation of a regular array of ice-like hexameric nanoclusters. The formation of this ordered phase is localized at the nanometre scale; with increasing water coverage, ordered and amorphous...

  9. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    Science.gov (United States)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  10. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  11. Band alignment and defects of the diamond zinc oxide heterojunction; Bandstruktur und Defekte der Diamant-Zinkoxid-Heterostruktur

    Energy Technology Data Exchange (ETDEWEB)

    Geithner, Peter

    2008-09-12

    Zinc oxide films were grown on diamond single crystals by rf sputtering of zinc oxide. The valence and conduction band offset was determined by photoelectron spectroscopy. A deep defect occurring in the zinc oxide films on diamond was characterized by cathodoluminescence spectroscopy. (orig.)

  12. MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films

    Science.gov (United States)

    Ben-Yaacov, Tammy

    In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs

  13. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  14. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  15. Thick-film effects in the oxidation and hydriding of zirconium alloys

    International Nuclear Information System (INIS)

    Johnson, A.B. Jr.

    1989-08-01

    One of the fundamental discoveries involving radiation effects on the oxidation of Zircaloy in low-oxygen aqueous environments is the influence of thick oxide films. Zircaloy oxidation rates in low-oxygen (hydrogen-rich) coolants initially proceed at relatively low rates, often almost uninfluenced by radiation. Marked upturns in oxidation rate have signaled the onset of radiation effects. The radiation effects appear to correlate with a threshold oxide thickness. Results of the test reactor experiments lead to formulation of the Thick-Film Hypothesis: beyond a threshold oxide thickness, radiolysis of water that infiltrates oxide cracks and pores controls the oxidation rate; radiation creates microenvironments inside the oxide film, producing highly oxidizing conditions, that are no longer suppressed by the coolant-borne hydrogen. Upturns in oxidation rate on high-exposure Zircaloy pressure tubes add confirmatory evidence for the thick-film effect. This paper summarizes the early evidence for thick-film behavior, including oxidation and hydriding trends, updates confirmatory evidence from Zircaloy reactor and fuel assembly components, and highlights other observations from the test reactor series that have potential fundamental significance to explanations of radiation effects on Zircaloy. 23 refs., 10 figs

  16. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  17. Sputtered indium oxide films

    International Nuclear Information System (INIS)

    Gillery, F.H.

    1986-01-01

    A method is described for depositing on a substrate multiple layer films comprising at least one primary layer of a metal oxide and at least one primary layer of a metal other than the metal of the oxide layer. The improvement described here comprises improving the adhesion between the metal oxide and metal layers by depositing between the layers an intermediate metal-containing layer having an affinity for both the metal and metal oxide layers. An article of manufacture is described comprising a nonmetallic substrate, and deposited thereon in any order: a. at least one coating layer of metal; b. at least one coating layer of an oxide of a metal other than the metal of the metal layer; and c. deposited between the metal and metal oxide layers an intermediate metal-containing layer having an affinity for both the metal and metal oxide layers

  18. Second order nonlinear optical properties of zinc oxide films deposited by low temperature dual ion beam sputtering

    International Nuclear Information System (INIS)

    Larciprete, M.C.; Passeri, D.; Michelotti, F.; Paoloni, S.; Sibilia, C.; Bertolotti, M.; Belardini, A.; Sarto, F.; Somma, F.; Lo Mastro, S.

    2005-01-01

    We investigated second order optical nonlinearity of zinc oxide thin films, grown on glass substrates by the dual ion beam sputtering technique under different deposition conditions. Linear optical characterization of the films was carried out by spectrophotometric optical transmittance and reflectance measurements, giving the complex refractive index dispersion. Resistivity of the films was determined using the four-point probe sheet resistance method. Second harmonic generation measurements were performed by means of the Maker fringes technique where the fundamental beam was originated by nanosecond laser at λ=1064 nm. We found a relatively high nonlinear optical response, and evidence of a dependence of the nonlinear coefficient on the deposition parameters for each sample. Moreover, the crystalline properties of the films were investigated by x-ray diffraction measurements and correlation with second order nonlinearity were analyzed. Finally, we investigated the influence of the oxygen flow rate during the deposition process on both the second order nonlinearity and the structural properties of the samples

  19. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  20. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  1. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  2. Method for preparing microstructure arrays on the surface of thin film material

    KAUST Repository

    Wang, Peng; Tang, Bo; Zhang, Lianbin

    2017-01-01

    Methods are provided for growing a thin film of a nanoscale material. Thin films of nanoscale materials are also provided. The films can be grown with microscale patterning. The method can include vacuum filtration of a solution containing the nanostructured material through a porous substrate. The porous substrate can have a pore size that is comparable to the size of the nanoscale material. By patterning the pores on the surface of the substrate, a film can be grown having the pattern on a surface of the thin film, including on the top surface opposite the substrate. The nanoscale material can be graphene, graphene oxide, reduced graphene oxide, molybdenum disulfide, hexagonal boron nitride, tungsten diselenide, molybdenum trioxide, or clays such as montmorillonite or lapnotie. The porous substrate can be a porous organic or inorganic membrane, a silicon stencil membrane, or similar membrane having pore sizes on the order of microns.

  3. Method for preparing microstructure arrays on the surface of thin film material

    KAUST Repository

    Wang, Peng

    2017-02-09

    Methods are provided for growing a thin film of a nanoscale material. Thin films of nanoscale materials are also provided. The films can be grown with microscale patterning. The method can include vacuum filtration of a solution containing the nanostructured material through a porous substrate. The porous substrate can have a pore size that is comparable to the size of the nanoscale material. By patterning the pores on the surface of the substrate, a film can be grown having the pattern on a surface of the thin film, including on the top surface opposite the substrate. The nanoscale material can be graphene, graphene oxide, reduced graphene oxide, molybdenum disulfide, hexagonal boron nitride, tungsten diselenide, molybdenum trioxide, or clays such as montmorillonite or lapnotie. The porous substrate can be a porous organic or inorganic membrane, a silicon stencil membrane, or similar membrane having pore sizes on the order of microns.

  4. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  5. Surface plasmon resonances of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition at different compositions and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Verma, Shweta, E-mail: shwetaverma@rrcat.gov.in; Rao, B. T.; Detty, A. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Ganesan, V.; Phase, D. M. [UGC-DAE Consortium for Scientific Research, Indore 452 001 (India); Rai, S. K. [Indus Synchrotons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bose, A.; Joshi, S. C. [Proton Linac and Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2015-04-07

    We studied localized surface plasmon resonances (LSPR) at different compositions, substrate temperatures, and mass thicknesses of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition. The LSPRs were pronounced at all compositions of the films grown at high substrate temperature of about 300 °C as compared to those grown at room temperature. The alloy formation and composition of the films were determined using X-ray photoelectron and energy dispersive spectroscopy. Films' mass thickness and compositional uniformity along the thickness were determined using X-ray reflectometry and secondary ion mass spectroscopy. Atomic force microscopic analysis revealed the formation of densely packed nanoparticles of increasing size with the number of laser ablation pulses. The LSPR wavelength red shifted with increasing either Au percentage or film mass thickness and corresponding LSPR tuning was obtained in the range of 450 to 690 nm. The alloy dielectric functions obtained from three different models were compared and the optical responses of the nanoparticle films were calculated from modified Yamaguchi effective medium theory. The tuning of LSPR was found to be due to combined effect of change in intrinsic and extrinsic parameters mainly the composition, morphology, particle-particle, and particle-substrate interactions.

  6. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  7. Lithium insertion in sputtered vanadium oxide film

    DEFF Research Database (Denmark)

    West, K.; Zachau-Christiansen, B.; Skaarup, S.V.

    1992-01-01

    were oxygen deficient compared to V2O5. Films prepared in pure argon were reduced to V(4) or lower. The vanadium oxide films were tested in solid-state lithium cells. Films sputtered in oxygen showed electrochemical properties similar to crystalline V2O5. The main differences are a decreased capacity...

  8. Spark counting technique with an aluminium oxide film

    International Nuclear Information System (INIS)

    Kawai, H.; Koga, T.; Morishima, H.; Niwa, T.; Nishiwaki, Y.

    1980-01-01

    Automatic spark counting of etch-pits on a polycarbonate film produced by nuclear fission fragments is now used for neutron monitoring in several countries. A method was developed using an aluminium oxide film instead of a polycarbonate as the neutron detector. Aluminium oxide films were prepared as follows: A cleaned aluminium plate as an anode and a nickel plate as a cathode were immersed in dilute sulfuric acid solution and electric current flowed between the electrodes at 12degC for 10-30 minutes. Electric current density was about 10 mA/cm 2 . The aluminium plate was then kept in boiling water for 10-30 minutes for sealing. The thickness of the aluminium oxide layer formed was about 1μm. The aluminium plate attached to a plate of suitable fissionable material, such as uranium or thorium, was irradiated with neutrons and set in a usual spark counter for fission track counting. One electrode was the aluminium plate and the other was an aluminized polyester sheet. Sparked pulses were counted with a usual scaler. The advantage of using spark counting with an aluminium oxide film for neutron monitoring is rapid measurement of neutron exposure, since chemical etching which is indispensable for spark counting with a polycarbonate detector film, is not needed. (H.K.)

  9. Cd-Te-In oxide thin films as possible transparent buffer layer in CdTe based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Rodriguez, R; Camacho, J M; Pena, J L [Applied Physics Department, CINVESTAV-IPN Merida, C.P. 97310, Merida, Yucatan (Mexico); Martel, A; Mendez-Gamboa, J, E-mail: romano@mda.cinvestav.m [Facultad de Ingenieria, Universidad Autonoma de Yucatan. AP 150 Cordemex, 97310 Merida, Yucatan (Mexico)

    2009-05-01

    Cd-Te-In-oxide thin films were grown by Pulsed Laser Deposition (PLD) technique using CdTe powder embedded in a matrix of indium metallic as target. The films were deposited at different oxygen pressures (P{sub o2}) from 15 to 50 mTorr at substrate temperature of 420{sup 0}C. Sheet resistance (R{sub sheet}) and transmission spectrum were measured as a function of P{sub o2}. From measurements of optical transmission, the Photonic Flux Density (PFD) spectrum were obtained and the integral of these PFD for each film were evaluated between energy range of 1.5 eV and 2.4 eV for obtain the amount of photons that can be transferred across the film in this range of solar energy spectrum. These values were evaluated over the R{sub sheet} to be used as a figure of merit. The best choice in our conditions was the films with P{sub o2} =28.5 mTorr, where the figure of merit reaches the maximum value.

  10. Synthesis and luminescent properties of PEO/lanthanide oxide nanoparticle hybrid films

    International Nuclear Information System (INIS)

    Goubard, F.; Vidal, F.; Bazzi, R.; Tillement, O.; Chevrot, C.; Teyssie, D.

    2007-01-01

    In this study, we investigate the optical properties of lanthanide oxide nanoparticles dispersed in poly(ethylene oxide) (PEO) network as thermally stable polymeric films. The aim of this work is both to keep a good optical transparency in the visible domain and to obtain luminescent materials after incorporation of nanoparticles. For this purpose, we develop luminescent nanocrystals of oxides containing terbium ion as a doping element in Gd 2 O 3 . These sub-5-nm lanthanide oxides nanoparticles have been prepared by direct oxide precipitation in high-boiling polyalcohol solutions and characterized by luminescence spectroscopy. PEO/lanthanide oxide nanohybrid films are prepared by radical polymerization of poly(ethylene glycol) methacrylate after introduction of lanthanide oxide particles. As a first result; the obtained films present interesting luminescence properties with a very low lanthanide oxide content (up to 0.29 wt%). Furthermore, these films are still transparent and keep their original mechanical properties. Prior to describe the specific applications to optical use, we report here the dynamic mechanical analysis (DMA), X-ray diffraction (XRD) analysis, transmission electron microscopy (TEM), and luminescent properties of. nanohybrid films

  11. Surface and sub-surface thermal oxidation of thin ruthenium films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Kokke, S.; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low

  12. P-type SnO thin films and SnO/ZnO heterostructures for all-oxide electronic and optoelectronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Kachirayil J. [Nanostructured Materials Research Laboratory, Department of Materials Science & Engineering, University of Utah, Salt Lake City, UT 84112 (United States); Department of Physics, Govt. Victoria College, University of Calicut, Palakkad 678 001 (India); Venkata Subbaiah, Y.P. [Nanostructured Materials Research Laboratory, Department of Materials Science & Engineering, University of Utah, Salt Lake City, UT 84112 (United States); Department of Physics, Yogi Vemana University, Kadapa, Andhra Pradesh 516003 (India); Tian, Kun [Nanostructured Materials Research Laboratory, Department of Materials Science & Engineering, University of Utah, Salt Lake City, UT 84112 (United States); Tiwari, Ashutosh, E-mail: tiwari@eng.utah.edu [Nanostructured Materials Research Laboratory, Department of Materials Science & Engineering, University of Utah, Salt Lake City, UT 84112 (United States)

    2016-04-30

    Tin monoxide (SnO) is considered as one of the most important p-type oxides available to date. Thin films of SnO have been reported to possess both an indirect bandgap (~ 0.7 eV) and a direct bandgap (~ 2.8 eV) with quite high hole mobility (~ 7 cm{sup 2}/Vs) values. Moreover, the hole density in these films can be tuned from 10{sup 15}–10{sup 19} cm{sup −3} just by controlling the thin film deposition parameters. Because of the above attributes, SnO thin films offer great potential for fabricating modern electronic and optoelectronic devices. In this article, we are reviewing the most recent developments in this field and also presenting some of our own results on SnO thin films grown by pulsed laser deposition technique. We have also proposed a p–n heterostructure comprising of p-type SnO and n-type ZnO which can pave way for realizing next-generation, all-oxide transparent electronic devices. - Highlights: • We reviewed recent developments on p-type SnO thin film research. • Discussed the optical and electrical properties of SnO thin films • Bipolar conduction in SnO is discussed. • Optoelectronic properties of SnO–ZnO composite system are discussed. • Proposed SnO–ZnO heterojunction band structure.

  13. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    Science.gov (United States)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  14. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  15. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  16. Ga and Al doped zinc oxide thin films for transparent conducting oxide applications: Structure-property correlations

    Science.gov (United States)

    Temizer, Namik K.; Nori, Sudhakar; Narayan, Jagdish

    2014-01-01

    We report a detailed investigation on the structure-property correlations in Ga and Al codoped ZnO films on c-sapphire substrates where the thin film microstructure varies from nanocrystalline to single crystal. We have achieved highly epitaxial films with very high optical transmittance (close to 90%) and low resistivity (˜110 μΩ-cm) values. The films grown in an ambient oxygen partial pressure (PO2) of 5 × 10-2 Torr and at growth temperatures from room temperature to 600 °C show semiconducting behavior, whereas samples grown at a PO2 of 1 × 10-3 Torr show metallic nature. The most striking feature is the occurrence of resistivity minima at relatively high temperatures around 110 K in films deposited at high temperatures. The measured optical and transport properties were found to be a strong function of growth conditions implying that the drastic changes are brought about essentially by native point defects. The structure-property correlations reveal that point defects play an important role in modifying the structural, optical, electrical, and magnetic properties and such changes in physical properties are controlled predominantly by the defect content.

  17. Electrochemical characterization of oxide film formed at high temperature on Alloy 690

    Energy Technology Data Exchange (ETDEWEB)

    Abraham, Geogy J., E-mail: gja@barc.gov.in [Materials Science Division, BARC, Mumbai 400 085 (India); Bhambroo, Rajan [Deptt. of Metallurgical Engg. and Mat. Sci., IIT Bombay, Mumbai 400 076 (India); Kain, V. [Materials Science Division, BARC, Mumbai 400 085 (India); Shekhar, R. [CCCM, BARC, Hyderabad 500 062 (India); Dey, G.K. [Materials Science Division, BARC, Mumbai 400 085 (India); Raja, V.S. [Deptt. of Metallurgical Engg. and Mat. Sci., IIT Bombay, Mumbai 400 076 (India)

    2012-02-15

    Highlights: Black-Right-Pointing-Pointer GD-QMS studies of high temperature oxide film formed on Alloy 690. Black-Right-Pointing-Pointer Defect density reduced with increase in temperature. Black-Right-Pointing-Pointer Electrochemical behaviour of oxide film correlated to the Cr-content in oxide. - Abstract: High temperature passivation studies on Alloy 690 were carried out in lithiated water at 250 Degree-Sign C, 275 Degree-Sign C and 300 Degree-Sign C for 72 h. The passive films were characterized by glow discharge-quadrupole mass spectroscopy (GD-QMS) for compositional variation across the depth and micro laser Raman spectroscopy for oxide composition on the surface. The defect density in the oxide films was established from the Mott-Schottky analysis using electrochemical impedance spectroscopy. Electrochemical experiments at room temperature in chloride medium revealed best passivity behaviour by the oxide film formed at 300 Degree-Sign C for 72 h. The electrochemical studies were correlated to the chromium (and oxygen) content of the oxide films. Autoclaving at 300 Degree-Sign C resulted in the best passive film formation on Alloy 690 in lithiated water.

  18. Thermal oxidation of Zr–Cu–Al–Ni amorphous metal thin films

    International Nuclear Information System (INIS)

    Oleksak, R.P.; Hostetler, E.B.; Flynn, B.T.; McGlone, J.M.; Landau, N.P.; Wager, J.F.; Stickle, W.F.; Herman, G.S.

    2015-01-01

    The initial stages of thermal oxidation for Zr–Cu–Al–Ni amorphous metal thin films were investigated using X-ray photoelectron spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy. The as-deposited films had oxygen incorporated during sputter deposition, which helped to stabilize the amorphous phase. After annealing in air at 300 °C for short times (5 min) this oxygen was found to segregate to the surface or buried interface. Annealing at 300 °C for longer times leads to significant composition variation in both vertical and lateral directions, and formation of a surface oxide layer that consists primarily of Zr and Al oxides. Surface oxide formation was initially limited by back-diffusion of Cu and Ni ( 30 min). The oxidation properties are largely consistent with previous observations of Zr–Cu–Al–Ni metallic glasses, however some discrepancies were observed which could be explained by the unique sample geometry of the amorphous metal thin films. - Highlights: • Thermal oxidation of amorphous Zr–Cu–Al–Ni thin films was investigated. • Significant short-range inhomogeneities were observed in the amorphous films. • An accumulation of Cu and Ni occurs at the oxide/metal interface. • Diffusion of Zr was found to limit oxide film growth.

  19. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  20. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  1. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    OpenAIRE

    Birkett, Martin; Penlington, Roger

    2016-01-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10–1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10–25 nm the ...

  2. The influence of Ac parameters in the process of micro-arc oxidation film electric breakdown

    Directory of Open Access Journals (Sweden)

    Ma Jin

    2016-01-01

    Full Text Available This paper studies the electric breakdown discharge process of micro-arc oxidation film on the surface of aluminum alloy. Based on the analysis of the AC parameters variation in the micro-arc oxidation process, the following conclusions can be drawn: The growth of oxide film can be divided into three stages, and Oxide film breakdown discharge occurs twice in the micro-arc oxidation process. The first stage is the formation and disruptive discharge of amorphous oxide film, producing the ceramic oxide granules, which belong to solid dielectric breakdown. In this stage the membrane voltage of the oxide film plays a key role; the second stage is the formation of ceramic oxide film, the ceramic oxide granules turns into porous structure oxide film in this stage; the third stage is the growth of ceramic oxide film, the gas film that forms in the oxide film’s porous structure is electric broken-down, which is the second breakdown discharge process, the current density on the oxide film surface could affect the breakdown process significantly.

  3. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  4. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  5. Controlling plasmonic properties of epitaxial thin films of indium tin oxide in the near-infrared region

    Science.gov (United States)

    Kamakura, R.; Fujita, K.; Murai, S.; Tanaka, K.

    2015-06-01

    Epitaxial thin films of indium tin oxide (ITO) were grown on yttria-stabilized zirconia single-crystal substrates by using a pulsed laser deposition to examine their plasmonic properties. The dielectric function of ITO was characterized by spectroscopic ellipsometry. Through the concentration of SnO2 in the target, the carrier concentration in the films was modified, which directly leads to the tuning of the dielectric function in the near-infrared region. Variable-angle reflectance spectroscopy in the Kretschmann geometry shows the dip in the reflection spectrum of p-polarized light corresponding to the excitation of surface plasmon polaritions (SPPs) in the near-infrared region. The excitation wavelength of the SPPs was shifted with changing the dielectric functions of ITO, which is reproduced by the calculation using transfer matrix method.

  6. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  7. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  8. Effects of Rapid Thermal Annealing on the Structural, Electrical, and Optical Properties of Zr-Doped ZnO Thin Films Grown by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Jingjin Wu

    2016-08-01

    Full Text Available The 4 at. % zirconium-doped zinc oxide (ZnO:Zr films grown by atomic layer deposition (ALD were annealed at various temperatures ranging from 350 to 950 °C. The structural, electrical, and optical properties of rapid thermal annealing (RTA treated ZnO:Zr films have been evaluated to find out the stability limit. It was found that the grain size increased at 350 °C and decreased between 350 and 850 °C, while creeping up again at 850 °C. UV–vis characterization shows that the optical band gap shifts towards larger wavelengths. The Hall measurement shows that the resistivity almost keeps constant at low annealing temperatures, and increases rapidly after treatment at 750 °C due to the effect of both the carrier concentration and the Hall mobility. The best annealing temperature is found in the range of 350–550 °C. The ZnO:Zr film-coated glass substrates show good optical and electrical performance up to 550 °C during superstrate thin film solar cell deposition.

  9. Observation of dopant-profile independent electron transport in sub-monolayer TiO{sub x} stacked ZnO thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Das, Gangadhar [Indus Synchrotrons Utilisation Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-01-18

    Dopant-profile independent electron transport has been observed through a combined study of temperature dependent electrical resistivity and magnetoresistance measurements on a series of Ti incorporated ZnO thin films with varying degree of static-disorder. These films were grown by atomic layer deposition through in-situ vertical stacking of multiple sub-monolayers of TiO{sub x} in ZnO. Upon decreasing ZnO spacer layer thickness, electron transport smoothly evolved from a good metallic to an incipient non-metallic regime due to the intricate interplay of screening of spatial potential fluctuations and strength of static-disorder in the films. Temperature dependent phase-coherence length as extracted from the magnetotransport measurement revealed insignificant role of inter sub-monolayer scattering as an additional channel for electron dephasing, indicating that films were homogeneously disordered three-dimensional electronic systems irrespective of their dopant-profiles. Results of this study are worthy enough for both fundamental physics perspective and efficient applications of multi-stacked ZnO/TiO{sub x} structures in the emerging field of transparent oxide electronics.

  10. Structural, morphological and mechanical properties of niobium nitride thin films grown by ion and electron beams emanated from plasma

    Science.gov (United States)

    Siddiqui, Jamil; Hussain, Tousif; Ahmad, Riaz; Umar, Zeeshan A.; Abdus Samad, Ubair

    2016-05-01

    The influence of variation in plasma deposition parameters on the structural, morphological and mechanical characteristics of the niobium nitride films grown by plasma-emanated ion and electron beams are investigated. Crystallographic investigation made by X-ray diffractometer shows that the film synthesized at 10 cm axial distance with 15 plasma focus shots (PFS) exhibits better crystallinity when compared to the other deposition conditions. Morphological analysis made by scanning electron microscope reveals a definite granular pattern composed of homogeneously distributed nano-spheroids grown as clustered particles for the film synthesized at 10 cm axial distance for 15 PFS. Roughness analysis demonstrates higher rms roughness for the films synthesized at shorter axial distance and by greater number of PFS. Maximum niobium atomic percentage (35.8) and maximum average hardness (19.4 ± 0.4 GPa) characterized by energy-dispersive spectroscopy and nano-hardness analyzer respectively are observed for film synthesized at 10 cm axial distance with 15 PFS.

  11. Influence of oxidation state on the pH dependence of hydrous iridium oxide films

    International Nuclear Information System (INIS)

    Steegstra, Patrick; Ahlberg, Elisabet

    2012-01-01

    Many electrochemical reactions taking place in aqueous solution consume or produce protons. The pH in the diffusion layer can therefore be significantly altered during the reaction and there is a need for in situ pH measurements tracing this near surface pH. In the present paper the rotating ring disc technique was used to measure near surface pH changes during oxygen reduction, utilising hydrous iridium oxide as the pH sensing probe. Before such experiments a good understanding of the pH sensing properties of these films is required and the impact of the oxidation state of the film on the pH sensing properties was investigated as well as the influence of solution redox species. The pH sensitivity (depicted by dE/dpH) was found to depend on the average oxidation state of the film in a manner resembling the cyclic voltammetry response. In all cases the pH response is “supernernstian” with more than one proton per electron. The origin of this behaviour is discussed in the context of acid-base properties of the film and the existence of both hydrous and anhydrous oxide phases. The pH response depends also on the redox properties of the solution but can be optimised for various purposes by conditioning the film at different potentials. This was clearly illustrated by adding hydrogen peroxide, an intermediate in the oxygen reduction reaction, to the solution. It was shown that hydrous iridium oxide can be used as a reliable in situ pH sensor provided that care is taken to optimise the oxidation state of the film.

  12. The role of polymer films on the oxidation of magnetite nanoparticles

    Science.gov (United States)

    Letti, C. J.; Paterno, L. G.; Pereira-da-Silva, M. A.; Morais, P. C.; Soler, M. A. G.

    2017-02-01

    A detailed investigation about the role of polymer films on the oxidation process of magnetite nanoparticles (∼7 nm diameter), under laser irradiation is performed employing micro Raman spectroscopy. To support this investigation, Fe3O4-np are synthesized by the co-precipitation method and assembled layer-by-layer with sodium sulfonated polystyrene (PSS). Polymer films (Fe3O4-np/PSS)n with n=2,3,5,7,10 and 25 bilayers are employed as a model system to study the oxidation process under laser irradiation. Raman data are further processed by principal component analysis. Our findings suggest that PSS protects Fe3O4-np from oxidation when compared to powder samples, even for the sample with the greater number of bilayers. Further, the oxidation of magnetite to maghemite occurs preferably for thinner films up to 7 bilayers, while the onset for the formation of the hematite phase depends on the laser intensity for thicker films. Water takes part on the oxidation processes of magnetite, the oxidation/phase transformation of Fe3O4-np is intensified in films with more bilayers, since more water is included in those films. Encapsulation of Fe3O4-np by PSS in layer-by-layer films showed to be very efficient to avoid the oxidation process in nanosized magnetite.

  13. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  14. The role of polymer films on the oxidation of magnetite nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Letti, C.J. [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil); Paterno, L.G. [Universidade de Brasilia, Instituto de Quimica, 70910-000 Brasilia, DF (Brazil); Pereira-da-Silva, M.A. [Instituto de Fisica de São Carlos, USP, 13560-9700 São Carlos, SP (Brazil); Centro Universitario Central Paulista – UNICEP, 13563-470 São Carlos, SP (Brazil); Morais, P.C. [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil); Soler, M.A.G., E-mail: soler@unb.br [Universidade de Brasilia, Instituto de Fisica, 70910-000 Brasilia, DF (Brazil)

    2017-02-15

    A detailed investigation about the role of polymer films on the oxidation process of magnetite nanoparticles (∼7 nm diameter), under laser irradiation is performed employing micro Raman spectroscopy. To support this investigation, Fe{sub 3}O{sub 4}-np are synthesized by the co-precipitation method and assembled layer-by-layer with sodium sulfonated polystyrene (PSS). Polymer films (Fe{sub 3}O{sub 4}-np/PSS){sub n} with n=2,3,5,7,10 and 25 bilayers are employed as a model system to study the oxidation process under laser irradiation. Raman data are further processed by principal component analysis. Our findings suggest that PSS protects Fe{sub 3}O{sub 4}-np from oxidation when compared to powder samples, even for the sample with the greater number of bilayers. Further, the oxidation of magnetite to maghemite occurs preferably for thinner films up to 7 bilayers, while the onset for the formation of the hematite phase depends on the laser intensity for thicker films. Water takes part on the oxidation processes of magnetite, the oxidation/phase transformation of Fe{sub 3}O{sub 4}-np is intensified in films with more bilayers, since more water is included in those films. Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films showed to be very efficient to avoid the oxidation process in nanosized magnetite. - Graphical abstract: Encapsulation of Fe{sub 3}O{sub 4}-np by PSS in layer-by-layer films avoids the oxidation and phase transformation of nanosized magnetite. - Highlights: • (Fe{sub 3}O{sub 4}-np/PSS){sub n} nanofilms, with n=2 up to 25, where layer-by-layer assembled. • The influence of film architecture on the Fe{sub 3}O{sub 4}-np oxidation was investigated through Raman spectroscopy. • Encapsulation of Fe{sub 3}O{sub 4}-np by PSS showed to be very efficient to avoid the Fe{sub 3}O{sub 4}-np oxidation.

  15. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  16. Chemical vapor deposition and electric characterization of perovskite oxides LaMO3 (M=Co, Fe, Cr and Mn) thin films

    International Nuclear Information System (INIS)

    Ngamou, Patrick Herve Tchoua; Bahlawane, Naoufal

    2009-01-01

    Oxides with a perovskite structure are important functional materials often used for the development of modern devices. In view of extending their applicability, it is necessary to efficiently control their growth as thin films using technologically relevant synthesis methods. Pulsed spray evaporation CVD was used to grow several perovskite-type oxides on planar silicon substrates at temperatures ranging from 500 to 700 deg. C. The optimization of the process control parameters allows the attainment of the perovskite structure as a single phase. The electrical characterization using the temperature-dependent conductivity and thermopower indicates the p-type conduction of the grown films and shows a decreasing concentration of the charge carrier, mobility and band gap energy in the sequence LaCoO 3 >LaMnO 3 >LaCrO 3 >LaFeO 3 . The investigation of the electric properties of the obtained perovskite thin films shows the versatility of CVD as a method for the development of innovative devices. - Graphical abstract: We report a single step deposition of perovskite thin films LaMO 3 (M: Co, Mn, Cr, Fe) using pulsed spray evaporation chemical vapor deposition. Electrical and thermopower properties, similar to these of bulk materials, could promote the development of modern thermoelectric devices based on thin films technology.

  17. Structural and magnetic properties of SmCo-based magnetic films grown by electron-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, P., E-mail: psdrdo@gmail.com [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Vinod, V.T.P.; Černík, Miroslav [Institute for Nanomaterials, Advanced Technologies and Innovation, Department of Natural Sciences, Technical University of Liberec, Studentská 1402/2, Liberec 1, 461 17 (Czech Republic); Vishnuraj, R.; Arout Chelvane, J.; Kamat, S.V. [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Hsu, Jen-Hwa, E-mail: jhhsu@phys.ntu.edu.tw [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China)

    2015-07-01

    Sub-micron thick Sm–Co films (200 and 300 nm) with selective phase composition are grown on Si (100) substrates by electron-beam evaporation using Sm-lean alloy targets such as Sm{sub 4}Co{sub 96} and Sm{sub 8}Co{sub 92}. The structural and magnetic properties of Sm–Co films are characterized by x-ray diffraction (XRD), field-emission scanning electron microscopy (FESEM) and super-conducting quantum interference device (SQUID) magnetometer. The Sm–Co films obtained with the Sm{sub 4}Co{sub 96} target exhibit Sm{sub 2}Co{sub 17} as a prominent phase; while the films produced with the Sm{sub 8}Co{sub 92} target show Sm{sub 2}Co{sub 7} as a major phase. Both the Sm–Co films reveal granular morphology; however, the estimated grain size values are slightly lower in the case of Sm{sub 2}Co{sub 7} films, irrespective of their thicknesses. Coercivity (H{sub c}) values of 1.48 and 0.9 kOe are achieved for the as-grown 200-nm thick Sm{sub 2}Co{sub 17} and Sm{sub 2}Co{sub 7}-films. Temperature-dependent magnetization studies confirm that the demagnetization behaviors of these films are consistent with respect to the identified phase composition. Upon rapid thermal annealing, maximum H{sub c} value of 8.4 kOe is achieved for the 200 nm thick Sm{sub 2}Co{sub 17}-films. As far as e-beam evaporated Sm–Co films are concerned, this H{sub c} value is one of the best values reported so far. - Highlights: • Electron-beam evaporation was exploited to grow sub-μm thick Sm–Co films. • Sm{sub 2}Co{sub 7} and Sm{sub 2}Co{sub 17} magnetic phases were crystallized using Sm-lean alloy targets. • Both 200 and 300-nm thick Sm–Co films revealed distinct granular morphology. • Sm–Co films of lower thickness exhibited high H{sub c} and low M{sub s} and vice-versa. • Coercivity value of 8.4 kOe achieved for the 200-nm thick Sm{sub 2}Co{sub 17}-films after RTA.

  18. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  19. Enhanced resistive switching in forming-free graphene oxide films embedded with gold nanoparticles deposited by electrophoresis

    International Nuclear Information System (INIS)

    Khurana, Geetika; Kumar, Nitu; Katiyar, Ram S; Misra, Pankaj; Kooriyattil, Sudheendran; Scott, James F

    2016-01-01

    Forming-free resistive random access memory (ReRAM) devices having low switching voltages are a prerequisite for their commercial applications. In this study, the forming-free resistive switching characteristics of graphene oxide (GO) films embedded with gold nanoparticles (Au Nps), having an enhanced on/off ratio at very low switching voltages, were investigated for non-volatile memories. The GOAu films were deposited by the electrophoresis method and as-grown films were found to be in the low resistance state; therefore no forming voltage was required to activate the devices for switching. The devices having an enlarged on/off ratio window of ∼10"6 between two resistance states at low voltages (<1 V) for repetitive dc voltage sweeps showed excellent properties of endurance and retention. In these films Au Nps were uniformly dispersed over a large area that provided charge traps, which resulted in improved switching characteristics. Capacitance was also found to increase by a factor of ∼10, when comparing high and low resistance states in GOAu and pristine GO devices. Charge trapping and de-trapping by Au Nps was the mechanism responsible for the improved switching characteristics in the films. (paper)

  20. Soft x-ray photoemission investigation of the oxidation of CuInSe/sub 2/ thin films

    International Nuclear Information System (INIS)

    Zurcher, P.; Nelson, A.J.; Johnson, P.; Lapeyre, G.J.; Noufi, R.

    1987-01-01

    CuInSe/sub 2/ films are used as absorber layers in heterojunction thin film solar cells. It has been demonstrated that, depending on the stoichiometry, oxygen annealing can make CuInSe/sub 2/ films more p-type or even convert n-type films into p-type while subsequent reduction with hydrazine will reverse such processes. Using synchrotron radiation soft x-ray photoemission spectroscopy, the authors found associated with the hydrazine reduced films an In1+ state that converts into In3+ under the influence of oxygen at elevated sample temperatures. The samples investigated were grown in a way that the top several thousand Angstroms are increasingly Cu-poor and In-rich. It is this region which is sampled by the surface sensitive technique of photoemission. The Cu-poor/In-rich top regions will most likely have a large number of intrinsic In on Cu-site defects leaving the In in a 1+ state. All the oxidation and reduction results and the associated changes in majority carrier concentrations and type conversions can be understood in terms of oxygem/In/sub Cu/-defect interactions

  1. Transparent conducting zinc oxide thin film prepared by off-axis rf ...

    Indian Academy of Sciences (India)

    Highly conducting and transparent ZnO : Al thin films were grown by off-axis rf magnetron sputtering on amorphous silica substrates without any post-deposition annealing. The electrical and optical properties of the films deposited at various substrate temperatures and target to substrate distances were investigated in detail ...

  2. Electrical and morphological characterization of transfer-printed Au/Ti/TiO{sub x}/p{sup +}-Si nano- and microstructures with plasma-grown titanium oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Weiler, Benedikt, E-mail: benedikt.weiler@nano.ei.tum.de; Nagel, Robin; Albes, Tim; Haeberle, Tobias; Gagliardi, Alessio; Lugli, Paolo [Institute for Nanoelectronics, Technische Universität München, Arcisstrasse 21, 80333 München (Germany)

    2016-04-14

    Highly-ordered, sub-70 nm-MOS-junctions of Au/Ti/TiO{sub x}/p{sup +}-Si were efficiently and reliably fabricated by nanotransfer-printing (nTP) over large areas and their functionality was investigated with respect to their application as MOS-devices. First, we used a temperature-enhanced nTP process and integrated the plasma-oxidation of a nm-thin titanium film being e-beam evaporated directly on the stamp before the printing step without affecting the p{sup +}-Si substrate. Second, morphological investigations (scanning electron microscopy) of the nanostructures confirm the reliable transfer of Au/Ti/TiO{sub x}-pillars of 50 nm, 75 nm, and 100 nm size of superior quality on p{sup +}-Si by our transfer protocol. Third, the fabricated nanodevices are also characterized electrically by conductive AFM. Fourth, the results are compared to probe station measurements on identically processed, i.e., transfer-printed μm-MOS-structures including a systematic investigation of the oxide formation. The jV-characteristics of these MOS-junctions demonstrate the electrical functionality as plasma-grown tunneling oxides and the effectivity of the transfer-printing process for their large-scale fabrication. Next, our findings are supported by fits to the jV-curves of the plasma-grown titanium oxide by kinetic-Monte-Carlo simulations. These fits allowed us to determine the dominant conduction mechanisms, the material parameters of the oxides and, in particular, a calibration of the thickness depending on applied plasma time and power. Finally, also a relative dielectric permittivity of 12 was found for such plasma-grown TiO{sub x}-layers.

  3. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  4. Synthesis and luminescent properties of PEO/lanthanide oxide nanoparticle hybrid films

    Energy Technology Data Exchange (ETDEWEB)

    Goubard, F. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France)]. E-mail: fabrice.goubard@u-cergy.fr; Vidal, F. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France); Bazzi, R. [LPCML, Universite Lyon 1, 43 Bd. du 11 Novembre 1918, 69622 Villeurbanne (France); Tillement, O. [LPCML, Universite Lyon 1, 43 Bd. du 11 Novembre 1918, 69622 Villeurbanne (France); Nano-H, 23 rue Royal, 69001 Lyon (France); Chevrot, C. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France); Teyssie, D. [LPPI, Universite de Cergy-Pontoise, 5 Mail Gay-Lussac, Neuville-sur-Oise, 95031 Cergy-Pontoise cedex (France)

    2007-10-15

    In this study, we investigate the optical properties of lanthanide oxide nanoparticles dispersed in poly(ethylene oxide) (PEO) network as thermally stable polymeric films. The aim of this work is both to keep a good optical transparency in the visible domain and to obtain luminescent materials after incorporation of nanoparticles. For this purpose, we develop luminescent nanocrystals of oxides containing terbium ion as a doping element in Gd{sub 2}O{sub 3}. These sub-5-nm lanthanide oxides nanoparticles have been prepared by direct oxide precipitation in high-boiling polyalcohol solutions and characterized by luminescence spectroscopy. PEO/lanthanide oxide nanohybrid films are prepared by radical polymerization of poly(ethylene glycol) methacrylate after introduction of lanthanide oxide particles. As a first result; the obtained films present interesting luminescence properties with a very low lanthanide oxide content (up to 0.29 wt%). Furthermore, these films are still transparent and keep their original mechanical properties. Prior to describe the specific applications to optical use, we report here the dynamic mechanical analysis (DMA), X-ray diffraction (XRD) analysis, transmission electron microscopy (TEM), and luminescent properties of. nanohybrid films.

  5. Study of oxide/metal/oxide thin films for transparent electronics and solar cells applications by spectroscopic ellipsometry

    Directory of Open Access Journals (Sweden)

    Mihaela Girtan

    2017-05-01

    Full Text Available A comprehensive study of a class of Oxide/Metal/Oxide (Oxide = ITO, AZO, TiO2 and Bi2O3, Metal = Au thin films was done by correlating the spectrophotometric studies with the ellispometric models. Films were deposited by successive sputtering from metallic targets In:Sn, Zn:Al, Ti and Bi in reactive atmosphere (for the oxide films and respective inert atmosphere (for the metallic Au interlayer films on glass substrates. The measurements of optical constants n—the refractive index and k—the extinction coefficient, at different incident photon energies for single oxide films and also for the three layers films oxide/metal/oxide samples were made using the spectroscopic ellipsometry (SE technique. The ellipsometry modelling process was coupled with the recorded transmission spectra data of a double beam spectrophotometer and the best fitting parameters were obtained not only by fitting the n and k experimental data with the dispersion fitting curves as usual is practiced in the most reported data in literature, but also by comparing the calculated the transmission coefficient from ellipsometry with the experimental values obtained from direct spectrophotometry measurements. In this way the best dispersion model was deduced for each sample. Very good correlations were obtained for the other different thin films characteristics such as the films thickness, optical band gap and electrical resistivity obtained by other measurements and calculation techniques. The ellipsometric modelling, can hence give the possibility in the future to predict, by ellipsometric simulations, the proper device architecture in function of the preferred optical and electrical properties.

  6. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  7. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Thin film ionic conductors based on cerium oxide

    International Nuclear Information System (INIS)

    Haridoss, P.; Hellstrom, E.; Garzon, F.H.; Brown, D.R.; Hawley, M.

    1994-01-01

    Fluorite and perovskite structure cerium oxide based ceramics are a class of materials that may exhibit good oxygen ion and/or protonic conductivity. The authors have successfully deposited thin films of these materials on a variety of substrates. Interesting orientation relationships were noticed between cerium oxide films and strontium titanate bi-crystal substrates. Near lattice site coincidence theory has been used to study these relationships

  9. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  10. The structure and composition of lithium fluoride films grown by off-axis pulsed laser ablation

    International Nuclear Information System (INIS)

    Henley, S.J.; Ashfold, M.N.R.; Pearce, S.R.J.

    2003-01-01

    Alkali halide coatings have been reported to act as effective dipole layers to lower the surface work function and induce a negative electron affinity of diamond surfaces. Here, the results of the analysis of films grown on silicon and quartz substrates by 193 nm pulsed laser ablation from a commercially available sintered disk of LiF are reported. The morphology, composition and crystallinity of films grown are examined and suitable deposition parameters for optimising the growth are suggested. The ablation was shown to be very efficient at removing a large amount of material from the target, even at relatively low fluence. The morphology of the films produced was poor, however, with a high density of asperities categorised as either particulates produced by exfoliation, or as droplets produced by hydrodynamic sputtering. An improved morphology with smaller droplets and fewer particulates could be produced by mounting the substrate at an angle of 65 deg. to the axis of the ablation plume and using a fluence close to the measured ablation threshold of 1.2±0.1 J/cm 2 . The elemental composition of the films was shown to be indistinguishable from that of bulk LiF, despite evidence for significant recondensation of Li back onto the target. Films containing crystal grains oriented with the direction normal to the substrate surface were observed at substrate temperatures in excess of 300 deg. C. An improved extent of orientation was observed on the quartz substrates

  11. Reproducible Biofilm Cultivation of Chemostat-Grown Escherichia coli and Investigation of Bacterial Adhesion on Biomaterials Using a Non-Constant-Depth Film Fermenter

    Science.gov (United States)

    Lüdecke, Claudia; Jandt, Klaus D.; Siegismund, Daniel; Kujau, Marian J.; Zang, Emerson; Rettenmayr, Markus; Bossert, Jörg; Roth, Martin

    2014-01-01

    Biomaterials-associated infections are primarily initiated by the adhesion of microorganisms on the biomaterial surfaces and subsequent biofilm formation. Understanding the fundamental microbial adhesion mechanisms and biofilm development is crucial for developing strategies to prevent such infections. Suitable in vitro systems for biofilm cultivation and bacterial adhesion at controllable, constant and reproducible conditions are indispensable. This study aimed (i) to modify the previously described constant-depth film fermenter for the reproducible cultivation of biofilms at non-depth-restricted, constant and low shear conditions and (ii) to use this system to elucidate bacterial adhesion kinetics on different biomaterials, focusing on biomaterials surface nanoroughness and hydrophobicity. Chemostat-grown Escherichia coli were used for biofilm cultivation on titanium oxide and investigating bacterial adhesion over time on titanium oxide, poly(styrene), poly(tetrafluoroethylene) and glass. Using chemostat-grown microbial cells (single-species continuous culture) minimized variations between the biofilms cultivated during different experimental runs. Bacterial adhesion on biomaterials comprised an initial lag-phase I followed by a fast adhesion phase II and a phase of saturation III. With increasing biomaterials surface nanoroughness and increasing hydrophobicity, adhesion rates increased during phases I and II. The influence of materials surface hydrophobicity seemed to exceed that of nanoroughness during the lag-phase I, whereas it was vice versa during adhesion phase II. This study introduces the non-constant-depth film fermenter in combination with a chemostat culture to allow for a controlled approach to reproducibly cultivate biofilms and to investigate bacterial adhesion kinetics at constant and low shear conditions. The findings will support developing and adequate testing of biomaterials surface modifications eventually preventing biomaterial

  12. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  13. Impedance measurements on oxide films on aluminium obtained by pulsed tensions

    Energy Technology Data Exchange (ETDEWEB)

    Belmokre, K. [Lab. of Applied Chemical, Dept. of Chemie, Skikda University, BP 26 - 21000 Skikda (Algeria); Azzouz, N. [Dept. of Industrial Chemie, Jijel University Center, 18000 Jijel (Algeria); Hannani, A. [Lab. Electrochem. Corros. Institute of Chemical USTHB Alger (Algeria); Pagetti, J. [Lab. LCMI, Franche-Comte - University UFR Sciences and Technical 16, Gray street - 25030 Besancon Cedex (France)

    2003-01-01

    We have performed this study on oxide films sealed or not in boiling water. The films are first obtained on type 1050 A aluminium substrate by pulsed tensions anodizing technique, in a sulfuric acid solution. Afterwards the, Electrochemical Impedance Spectroscopy (EIS) is employed to appreciate the films behaviour in a neutral solution of 3.5% K{sub 2}SO{sub 4}, in which the interface processes interest only the ageing phenomenon of the oxide films and not their corrosion. We have also attempted a correlation between pulse parameters of anodization and the electrical parameters characterizing these films. The sealing influence on ageing has been studied as well. For all films, ageing is appreciated using impedance diagrams evolution versus time. The results show: - the existence of two capacitive loops confirming the presence of two oxide layers characteristic of oxide films obtained in a sulfuric acid medium. The first loop, at high frequencies, is related to the external porous layer and the second one, at lower frequencies, is related to the internal barrier layer. - the thickness of the barrier layer varies between 25 and 40 nm in relation with the electrical pulse parameters. - the sealing acts favorably against anodic oxide films ageing. (Abstract Copyright [2003], Wiley Periodicals, Inc.)

  14. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  15. Device quality ZnO grown using a Filtered Cathodic Vacuum Arc

    International Nuclear Information System (INIS)

    Elzwawi, Salim; Kim, Hyung Suk; Heinhold, Robert; Lynam, Max; Turner, Gary; Partridge, Jim G.; McCulloch, Dougal G.

    2012-01-01

    In this paper we report on the structural, electrical and optical characteristics of unintentionally doped ZnO films grown on a-plane sapphire substrates using the Filtered Cathodic Vacuum Arc (FCVA) technique. The resulting films showed considerable promise for device applications with properties including high transparency, moderate intrinsic carrier concentrations (10 17 -10 19 cm -3 ), electron mobilities up to 30 cm 2 /Vs, low surface roughness (typically <2% of film thickness) and well-structured photoluminescence. Post-annealing in oxygen at temperatures up to 800 °C produced significant improvements in the properties of these films. Silver oxide Schottky diodes fabricated on FCVA ZnO showed ideality factors as low as 1.20 and good sensitivity to ultraviolet light.

  16. Electrodeposited porous and amorphous copper oxide film for application in supercapacitor

    Energy Technology Data Exchange (ETDEWEB)

    Patake, V.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Joshi, S.S. [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of); Lokhande, C.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: l_chandrakant@yahoo.com; Joo, Oh-Shim [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: joocat@kist.rre.kr

    2009-03-15

    In present study, the porous amorphous copper oxide thin films have been deposited from alkaline sulphate bath. The cathodic electrodeposition method was employed to deposit copper oxide film at room temperature on stainless steel substrate. Their structural and surface morphological properties were investigated by means of X-ray diffraction (XRD) and scanning electron micrograph (SEM), respectively. To propose this as a new material for possible application in the supercapacitor, its electrochemical properties have been studied in aqueous 1 M Na{sub 2}SO{sub 4} electrolyte using cyclic voltammetry. The structural analysis from XRD pattern showed the formation of amorphous copper oxide film on the substrate. The surface morphological studies from scanning electron micrographs revealed the formation of porous cauliflower-like copper oxide film. The cyclic voltammetric curves showed symmetric nature and increase in capacitance with increase in film thickness. The maximum specific capacitance of 36 F g{sup -1} was exhibited for the 0.6959 mg cm{sup -2} film thickness. This shows that low-cost copper oxide electrode will be a potential application in supercapacitor.

  17. Characterization of zirconium alloy oxidation films by alternating current impedance

    International Nuclear Information System (INIS)

    Rosecrans, P.M.

    1984-01-01

    Kinetics of zirconium alloy oxidation are highly nonlinear. The results of electrochemical measurements and electron microscopy support the existence of porosity in oxide films formed on zirconium alloys in high temperature aqueous environments. Analytical treatment is presented relating oxidation kinetics to the thickness and distribution of nonporous elements within the oxide. This analysis illustrates that both the level and distribution of porosity within the oxide factor into oxidation kinetics. The barrier layer model can provide a basis for predicting the effect of environmental changes on oxidation rate. In addition, it demonstrates the need for further research into porosity generation mechanisms in oxide films

  18. Characterization of zirconium alloy oxidation films by alternating current impedance

    International Nuclear Information System (INIS)

    Rosecrans, P.M.

    1983-11-01

    Kinetics of zirocnium alloy oxidation are highly nonlinear. The results of electrochemical measurements and electron microscopy support the existence of porosity in oxide films formed on zirconium alloys in high temperature aqueous environments. Analytical treatment is presented relating oxidation kinetics to the thickness and distribution of nonporous elements within the oxide. This analysis illustrates that both the level and distribution of porosity within the oxide factor into oxidation kinetics. The barrier layer model can provide a basis for predicting the effect of environmental changes on oxidation rate. In addition, it demonstrates the need for further research into porosity generation mechanisms in oxide films

  19. On the mechanism of self-deceleration of the thin oxide film growth

    CERN Document Server

    Mukhambetov, D G

    2002-01-01

    The objective of this work was to investigate the kinetics of the two-phase oxide film growth on the alpha-Fe surface at temperatures of 650-750 K. We experimentally determined that the film thickness (h)-time oxidation (tau) relationship in the range denoted above is a logarithmic function, whereas Cabrera and Mott's theory gives a square law of film growth. In our work, analytical treatment of experimental data was made based on this theory, but we propose that self-deceleration of the film growth is caused not by attenuation of the electric intensity in the film because of an increase of h but by the shielding influence of the space charge of diffusing ions and electrons in that oxide film. With that purpose in view, the Debye shielding distance for plasma substance state in the oxide film was taken into consideration. The logarithmic law of oxide film growth was derived. Estimated calculations of this law's parameters were made that quantitatively correspond with literature data. The results obtained were...

  20. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  1. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    Science.gov (United States)

    Georgieva, V.; Aleksandrova, M.; Stefanov, P.; Grechnikov, A.; Gadjanova, V.; Dilova, T.; Angelov, Ts

    2014-12-01

    A study of NO2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO2 concentrations. The QCM-ITO system becomes sensitive at NO2 concentration >= 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO2 concentration. When the NO2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO2 in the air at room temperature.

  2. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    International Nuclear Information System (INIS)

    Georgieva, V; Gadjanova, V; Angelov, Ts; Aleksandrova, M; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Stefanov, P; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Dilova, T; Grechnikov, A

    2014-01-01

    A study of NO 2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO 2 concentrations. The QCM-ITO system becomes sensitive at NO 2 concentration ≥ 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO 2 concentration. When the NO 2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO 2 in the air at room temperature

  3. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  4. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  5. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  6. Growth of Cu thin films by the successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Lindroos, S.; Ruuskanen, T.; Ritala, M.; Leskelae, M.

    2004-01-01

    Copper thin films were grown on reduced indium tin oxide, molybdenum and polymer substrates using successive ionic layer adsorption and reaction (SILAR) method. Copper films were grown sequentially in a controlled way using simple copper salt and basic solution of formaldehyde as precursors. The copper films were polycrystalline with no preferred orientation as characterised by X-ray diffraction. On all substrates, the growth was clearly island growth in the beginning but after the whole surface was covered, the growth was more homogeneous

  7. Oxygen Partial Pressure Impact on Characteristics of Indium Titanium Zinc Oxide Thin Film Transistor Fabricated via RF Sputtering.

    Science.gov (United States)

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi

    2017-06-26

    Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of -0.9 V, mobility of 0.884 cm²/Vs, on-off ratio of 5.5 × 10⁵, and subthreshold swing of 0.41 V/dec.

  8. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  9. Effect of mass density on surface morphology of electrodeposited manganese oxide films

    Science.gov (United States)

    Singh, Avtar; Kumar, Davinder; Thakur, Anup; Kaur, Raminder

    2018-05-01

    This work focus on high surface area morphology of manganese oxide films which are currently required for electrochemical capacitor electrode to enhance their performance. Electrodeposition of manganese oxide films was carried out using Chronoamperometry for different deposition time ranging from 30 to 120 sec. Cronoamperomertic I-T integrated data have been used to analyze active mass of all electrodeposited films. Morphological study of the deposited films with different mass was carried out through scanning electron microscopy. Film deposited for 30 sec time show highest porous morphology than others. Manganese oxide films with high porosity are suitable for electrochemical capacitor electrode.

  10. Electrochromism in surface modified crystalline WO3 thin films grown by reactive DC magnetron sputtering

    Science.gov (United States)

    Karuppasamy, A.

    2013-10-01

    In the present work, tungsten oxide thin films were deposited at various oxygen chamber pressures (1.0-5.0 × 10-3 mbar) by maintaining the sputtering power density and argon pressure constant at 3.0 W/cm2 and 1.2 × 10-2 mbar, respectively. The role of surface morphology and porosity on the electrochromic properties of crystalline tungsten oxide thin films has been investigated. XRD and Raman studies reveal that all the samples post annealed at 450 ̊C in air for 3.0 h settle in monoclinic crystal system of tungsten oxide (W18O49). Though the phase of material is indifferent to oxygen pressure variations (PO2), morphology and film density shows a striking dependence on PO2. A systematic study on plasma (OES), morphology, optical and electrochromic properties of crystalline tungsten oxide reveal that the films deposited at PO2 of 2.0 × 10-3 mbar exhibit better coloration efficiency (58 cm2/C), electron/ion capacity (Qc: -25 mC/cm2), and reversibility (92%). This is attributed to the enhanced surface properties like high density of pores and fine particulates (100 nm) and to lesser bulk density of the film (ρ/ρo = 0.84) which facilitates the process of intercalation/de-intercalation of protons and electrons. These results show good promise toward stable and efficient crystalline tungsten oxide based electrochromic device applications.

  11. Enhancement of spin-lattice coupling in nanoengineered oxide films and heterostructures by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Xi, Xiaoxing [Temple Univ., Philidelphia, PA (United States)

    2017-06-08

    The objective of the proposed research is to investigate nanoengineered oxide films and multilayer structures that are predicted to show desirable properties. The main focus of the project is an atomic layer-by-layer laser MBE (ALL-Laser MBE ) technique that is superior to the conventional laser MBE in broadening the conditions for the synthesis of high quality nanoscale oxides and new designer materials. In ALL-Laser MBE, separate oxide targets are used instead of one compound target in the conventional laser MBE. The targets are switched back and forth in front of a UV laser beam as they are alternately ablated. The oxide film is thus constructed one atomic layer at a time. The growth of each atomic layer is monitored and controlled by the reflection high energy electron diffraction (RHEED). The intensity of the diffraction spots increases or decreases depending on the chemistry of each atomic layer as well as the surface roughness. This allows us to determine whether the chemical ratio of the different elements in the films meets the desired value and whether each atomic layer is complete. ALL-Laser MBE is versatile: it works for non-polar film on non-polar substrate, polar film on polar substrate, and polar film on non-polar substrate. (In a polar material, each atomic layer is charged whereas in a non-polar material the atomic layers are charge neutral.) It allows one to push the thermodynamic boundary further in stabilizing new phases than reactive MBE and PLD, two of the most successful techniques for oxide thin films. For example, La5Ni4O13, the Ruddlesden-Popper phase with n = 4, has never been reported in the literature because it needs atomic layer-by-layer growth at high oxygen pressures, not possible with other growth techniques. ALL-Laser MBE makes it possible. We have studied the interfacial 2-dimensional electron gas in the LaAlO3/SrTiO3 system, whose mechanism has been a subject of controversy

  12. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  13. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  14. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  15. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin films have been investigated as protective coatings for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å h-l. Etching in liquids...... with pH values in the range from pH 2 to 11 have generally given etch rates below 0.04 Å h-l. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex situ...... annealing O2 in the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallization lines are hard to cover. Sputtered tantalum oxide...

  16. Tantalum oxide thin films as protective coatings for sensors

    DEFF Research Database (Denmark)

    Christensen, Carsten; Reus, Roger De; Bouwstra, Siebe

    1999-01-01

    Reactively sputtered tantalum oxide thin-films have been investigated as protective coating for aggressive media exposed sensors. Tantalum oxide is shown to be chemically very robust. The etch rate in aqueous potassium hydroxide with pH 11 at 140°C is lower than 0.008 Å/h. Etching in liquids with p......H values in the range from pH 2-11 have generally given etch rates below 0.04 Å/h. On the other hand patterning is possible in hydrofluoric acid. Further, the passivation behaviour of amorphous tantalum oxide and polycrystalline Ta2O5 is different in buffered hydrofluoric acid. By ex-situ annealing in O2...... the residual thin-film stress can be altered from compressive to tensile and annealing at 450°C for 30 minutes gives a stress-free film. The step coverage of the sputter deposited amorphous tantalum oxide is reasonable, but metallisation lines are hard to cover. Sputtered tantalum oxide exhibits high...

  17. Aluminum oxide film thickness and emittance

    International Nuclear Information System (INIS)

    Thomas, J.K.; Ondrejcin, R.S.

    1991-11-01

    Aluminum reactor components which are not actively cooled could be subjected to high temperatures due to gamma heating after the core coolant level dropped during the ECS phase of a hypothetical LOCA event. Radiative heat transfer is the dominant heat transfer process in this scenario and therefore the emittance of these components is of interest. Of particular interest are the safety rod thimbles and Mark 60B blanket assemblies; for the K Reactor, these components have been exposed to low temperature (< 55 degrees C) moderator for about a year. The average moderator temperature was assumed to be 30 degrees C. The Al oxide film thickness at this temperature, after one year of exposure, is predicted to be 6.4 μm ± 10%; insensitive to exposure time. Dehydration of the film during the gamma heating accident would result in a film thickness of 6.0 μm ± 11%. Total hemispherical emittance is predicted to be 0.69 at 96 degrees C, decreasing to 0.45 at 600 degrees C. Some phenomena which would tend to yield thicker oxide films in the reactor environment relative to those obtained under experimental conditions were neglected and the predicted film thickness values are therefore conservative. The emittance values predicted for a given film thickness are also conservative. The conservativisms inherent in the predicted emittance are particularly relevant for uncertainty analysis of temperatures generated using these values

  18. Photoconductivity of reduced graphene oxide and graphene oxide composite films

    International Nuclear Information System (INIS)

    Liang, Haifeng; Ren, Wen; Su, Junhong; Cai, Changlong

    2012-01-01

    A photoconductive device was fabricated by patterning magnetron sputtered Pt/Ti electrode and Reduced Graphene Oxide (RGO)/Graphene Oxide (GO) composite films with a sensitive area of 10 × 20 mm 2 . The surface morphology of as-deposited GO films was observed by scanning electronic microscopy, optical microscopy and atomic force microscopy, respectively. The absorption properties and chemical structure of RGO/GO composite films were obtained using a spectrophotometer and an X-ray photoelectron spectroscopy. The photoconductive properties of the system were characterized under white light irradiation with varied output power and biased voltage. The results show that the resistance decreased from 210 kΩ to 11.5 kΩ as the irradiation power increased from 0.0008 mW to 625 mW. The calculated responsiveness of white light reached 0.53 × 10 −3 A/W. Furthermore, the device presents a high photo-conductivity response and displays a photovoltaic response with an open circuit voltage from 0.017 V to 0.014 V with irradiation power. The sources of charge are attributed to efficient excitation dissociation at the interface of the RGO/GO composite film, coupled with cross-surface charge percolation.

  19. Electrochromic properties of bipolar pulsed magnetron sputter deposited tungsten–molybdenum oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tai-Nan [Chemical Engineering Division, Institute of Nuclear Energy Research, Taoyuan 325, Taiwan, ROC (China); Lin, Yi Han; Lee, Chin Tan [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China); Han, Sheng [Center of General Education, National Taichung Institute of Technology, Taichung 404, Taiwan, ROC (China); Weng, Ko-Wei, E-mail: kowei@nqu.edu.tw [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China)

    2015-06-01

    There are great interests in electrochromic technology for smart windows and displays over past decades. In this study, the WMoO{sub x} thin films were deposited onto indium tin oxide glass and silicon substrates by pulsed magnetron sputter system with W and Mo targets. The films were deposited with fixed W target power while the variant parameter of Mo target power in the range 50, 100, 150 and 200 W was investigated. The working pressure was fixed at 1.33 Pa with a gas mixture of Ar (30 sccm) and O{sub 2} (15 sccm). The film thickness increased with the Mo target power. Higher plasma power resulted in a crystalline structure which would reduce the electrochromic property of the film. The influence of plasma powers applied to Mo target on the structural, optical and electrochromic properties of the WMoO{sub x} thin films has been investigated. WMoO{sub x} films grown at Mo target powers less than 100 W were found to be amorphous. The films deposited at 150 W, which is the optimal fabrication condition, exhibit better electrochromic properties with high optical modulation, high coloration efficiency and less color memory effect at wavelength 400, 550 and 800 nm. The improvement resulted from the effect of doping Mo has been tested. The maximum ΔT (%) values are 36.6% at 400 nm, 65.6% at 550 nm, and 66.6% at 800 nm for pure WO{sub 3} film. The addition of Mo content in the WMoO{sub x} films provides better resistance to the short wavelength light source and can be used in the concerned application. - Highlights: • WMoO{sub x} films are deposited by pulsed magnetron sputter with pure W and Mo targets. • Mo addition in WMoO{sub x} provides better resistance to short wavelength light source. • WMoO{sub x} films exhibit electrochemical stability in the cycling test.

  20. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  1. Highly transparent and conducting boron doped zinc oxide films for window of Dye Sensitized Solar Cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Vinod, E-mail: vinod.phy@gmail.com [Materials Science Group, Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Department of Physics, Gurukula Kangri University, Haridwar 249404 (India); Singh, R.G. [Department of Electronic Science, Maharaja Agrasen College University of Delhi, New Delhi 110096 (India); Singh, Fouran [Materials Science Group, Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Purohit, L.P. [Department of Physics, Gurukula Kangri University, Haridwar 249404 (India)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Synthesis of Boron doped ZnO (ZnO:B) films. Black-Right-Pointing-Pointer Minimum of resistivity is observed to be 7.9 Multiplication-Sign 10{sup -4} {Omega} cm. Black-Right-Pointing-Pointer Maximum transmittance {approx}91% for 450 Degree-Sign C annealed films. Black-Right-Pointing-Pointer Applicable for window materials in Dye Sensitized Solar Cell. - Abstract: Highly transparent and conducting boron doped zinc oxide (ZnO:B) films grown by sol-gel method are reported. The annealing temperature is varied from 350 to 550 Degree-Sign C and doping concentration of boron is kept fixed for 0.6 at.% for all the films. At low temperature the stress in the films is compressive, which becomes tensile for the films annealed at higher temperature. A minimum resistivity of 7.9 Multiplication-Sign 10{sup -4} {Omega} cm and maximum transmittance of {approx}91% are observed for the film annealed at 450 Degree-Sign C. This could be attributed to minimum stress of films, which is further evident by the evolution of A{sub 1} and defect related Raman modes without any shifting in its position. Such kind of highly transparent and conducting ZnO:B thin film could be used as window material in Dye Sensitized Solar Cell (DSSC).

  2. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  3. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  4. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  5. Surface cleaning procedures for thin films of indium gallium nitride grown on sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Douglass, K.; Hunt, S. [Department of Chemistry and Biochemistry, University of Delaware, Newark, DE 19716 (United States); Teplyakov, A., E-mail: andrewt@udel.edu [Department of Chemistry and Biochemistry, University of Delaware, Newark, DE 19716 (United States); Opila, R.L. [Department of Material Science and Engineering, University of Delaware, Newark, DE 19716 (United States)

    2010-12-15

    Surface preparation procedures for indium gallium nitride (InGaN) thin films were analyzed for their effectiveness for carbon and oxide removal as well as for the resulting surface roughness. Aqua regia (3:1 mixture of concentrated hydrochloric acid and concentrated nitric acid, AR), hydrofluoric acid (HF), hydrochloric acid (HCl), piranha solution (1:1 mixture of sulfuric acid and 30% H{sub 2}O{sub 2}) and 1:9 ammonium sulfide:tert-butanol were all used along with high temperature anneals to remove surface contamination. X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) were utilized to study the extent of surface contamination and surface roughness, respectively. The ammonium sulfide treatment provided the best overall removal of oxygen and carbon. Annealing over 700 deg. C after a treatment showed an even further improvement in surface contamination removal. The piranha treatment resulted in the lowest residual carbon, while the ammonium sulfide treatment leads to the lowest residual oxygen. AFM data showed that all the treatments decreased the surface roughness (with respect to as-grown specimens) with HCl, HF, (NH{sub 4}){sub 2}S and RCA procedures giving the best RMS values ({approx}0.5-0.8 nm).

  6. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  7. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  8. Thermal transport properties of polycrystalline tin-doped indium oxide films

    International Nuclear Information System (INIS)

    Ashida, Toru; Miyamura, Amica; Oka, Nobuto; Sato, Yasushi; Shigesato, Yuzo; Yagi, Takashi; Taketoshi, Naoyuki; Baba, Tetsuya

    2009-01-01

    Thermal diffusivity of polycrystalline tin-doped indium oxide (ITO) films with a thickness of 200 nm has been characterized quantitatively by subnanosecond laser pulse irradiation and thermoreflectance measurement. ITO films sandwiched by molybdenum (Mo) films were prepared on a fused silica substrate by dc magnetron sputtering using an oxide ceramic ITO target (90 wt %In 2 O 3 and 10 wt %SnO 2 ). The resistivity and carrier density of the ITO films ranged from 2.9x10 -4 to 3.2x10 -3 Ω cm and from 1.9x10 20 to 1.2x10 21 cm -3 , respectively. The thermal diffusivity of the ITO films was (1.5-2.2)x10 -6 m 2 /s, depending on the electrical conductivity. The thermal conductivity carried by free electrons was estimated using the Wiedemann-Franz law. The phonon contribution to the heat transfer in ITO films with various resistivities was found to be almost constant (λ ph =3.95 W/m K), which was about twice that for amorphous indium zinc oxide films

  9. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  10. Spark counting technique of alpha tracks on an aluminium oxide film

    International Nuclear Information System (INIS)

    Morishima, Hiroshige; Koga, Taeko; Niwa, Takeo; Kawai, Hiroshi

    1984-01-01

    We have tried to use aluminium oxide film as a neutron detector film with a spark counter for neutron monitoring in the mixed field of neutron and gamma-rays near a reactor. The merits of this method are that (1) aluminium oxide is good electric insulator, (2) any desired thickness of the film can be prepared, (3) chemical etching of the thin film can be dispensed with. The relation between spark counts and numbers of alpha-particles which entered the aluminium oxide film 1 μm thick was linear in the range of 10 5 -10 7 alpha-particles. The sensitivity(ratio of the spark counts to irradiated numbers of alpha-particles) was approximately 10 -3 . (author)

  11. Optical waveguiding in amorphous tellurium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Nayak, Ranu; Gupta, Vinay; Dawar, A.L.; Sreenivas, K

    2003-11-24

    Optical waveguiding characteristics of amorphous TeO{sub 2-x} films deposited by reactive sputtering under different O{sub 2}:Ar gas mixtures are investigated on fused quartz and Corning glass substrates. Infra-red absorption band in the range 641-658 cm{sup -1} confirmed the formation of a Te-O bond, and a 20:80 O{sub 2}:Ar gas mixture ratio is found to be optimum for achieving highly uniform and transparent films at a high deposition rate. As grown amorphous films exhibited a large band gap (3.76 eV); a high refractive index value (2.042-2.052) with low dispersion over a wide wavelength range of 500-2000 nm. Optical waveguiding with low propagation loss of 0.26 dB/cm at 633 nm is observed on films subjected to a post-deposition annealing treatment at 200 deg. C. Packing density and etch rates have been determined and correlated with the lowering of optical propagation loss in the annealed films.

  12. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  13. The single-phase multiferroic oxides: from bulk to thin film

    International Nuclear Information System (INIS)

    Prellier, W; Singh, M P; Murugavel, P

    2005-01-01

    Complex perovskite oxides exhibit a rich spectrum of properties, including magnetism, ferroelectricity, strongly correlated electron behaviour, superconductivity and magnetoresistance, which have been research areas of great interest among the scientific and technological community for decades. There exist very few materials which exhibit multiple functional properties; one such class of materials is called the multiferroics. Multiferroics are interesting because they exhibit simultaneously ferromagnetic and ferroelectric polarizations and a coupling between them. Due to the nontrivial lattice coupling between the magnetic and electronic domains (the magnetoelectric effect), the magnetic polarization can be switched by applying an electric field; likewise the ferroelectric polarization can be switched by applying a magnetic field. As a consequence, multiferroics offer rich physics and novel devices concepts, which have recently become of great interest to researchers. In this review article the recent experimental status, for both the bulk single phase and the thin film form, has been presented. Current studies on the ceramic compounds in the bulk form including Bi(Fe,Mn)O 3 , REMnO 3 and the series of REMn 2 O 5 single crystals (RE = rare earth) are discussed in the first section and a detailed overview on multiferroic thin films grown artificially (multilayers and nanocomposites) is presented in the second section. (topical review)

  14. Microstructural and magnetic properties of L10 FePt-C (0 0 1) textured nanocomposite films grown on different intermediate layers

    International Nuclear Information System (INIS)

    Chen, J S; Chow, G M; Lim, B C; Hu, J F; Ding, Y F; Ju, G

    2008-01-01

    The FePt : C films with different volume fractions of carbon and different thicknesses were epitaxially grown on a CrRu(2 0 0) underlayer with Pt and MgO intermediate layers. The magnetic properties and microstructure of these FePt : C films were investigated. The FePt : C films grown on the Pt intermediate layer consisted of a continuous layer of FePt, with overlying granular FePt grains, while the FePt : C films grown on the MgO intermediate layer consisted of granular FePt : C layers with overlying granular grains. The formation of the overlying granular FePt grains was attributed to carbon diffusion to the surface which resulted in the second nucleation of FePt. The different interface energies and surface energies of FePt on Pt and MgO intermediate layers caused the formation of an initial continuous FePt layer on the Pt intermediate layer and initial granular FePt layers on the MgO intermediate layer. The coupling between the continuous FePt layer or the granular FePt layer and the overlying granular FePt grains resulted in simultaneous magnetization reversal and thus strong exchange coupling in FePt : C films.

  15. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  16. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  17. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  18. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  19. Anodic films grown on magnesium and magnesium alloys in fluoride solutions

    Energy Technology Data Exchange (ETDEWEB)

    Ono, S. [Dept. of Applied Chemistry, Kogakuin Univ., Tokyo (Japan); Masuko, N. [Dept. of Metallurgical Engineering, Chiba Inst. of Tech., Narashino, Chiba (Japan)

    2003-07-01

    Formation behavior of anodic oxide films on magnesium in fluoride electrolytes was investigated with attention to the effects of anodizing voltage and aluminum content. In the range of voltage between 2 V and 100 V, porous film was formed in alkaline fluoride solution associated with high current density at around 5 V and at breakdown voltage. The critical voltage of breakdown to allow maximum current flow was approximately 60 V and relatively independent on substrate purity. The films formed at breakdown voltage showed a lava-like porous structure similar to those obtained on aluminum and other valve metals. Barrier films or semi-barrier films, which were composed of hydrated outer layer and relatively dense inner layer, were formed at the other voltages. In the case of AZ91D, the critical voltage increased to 70 V and peculiar phenomenon at 5 V was not observed, so that only barrier films were formed at less than the breakdown voltage. These phenomena can be explained by the effects of aluminum incorporation into the film to prevent dissolution and to promote passivation of magnesium. The depth profiles of constituent elements showed that aluminum distributed in whole depth of the film. (orig.)

  20. Dielectric breakdown of ultrathin aluminum oxide films induced by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Magtoto, N. P.; Niu, C.; Ekstrom, B. M.; Addepalli, S.; Kelber, J. A.

    2000-01-01

    Dielectric breakdown of 7-Aa-thick Al 2 O 3 (111) films grown on Ni 3 Al(111) under ultrahigh vacuum conditions is induced by increasing the bias voltage on the scanning tunneling microscopy tip under constant current feedback. Breakdown is marked by the precipitous retreat of the tip from the surface, and the formation of an elevated feature in the scanning tunneling microscopy image, typically greater than 5 nm high and ∼100 nm in diameter. Constant height measurements performed at tip/sample distances of 1 nm or less yield no tip/substrate physical interaction, indicating that such features do not result from mass transport. Consistent with this, current/voltage measurements within the affected regions indicate linear behavior, in contrast to a band gap of 1.5 eV observed at unaffected regions of the oxide surface. A threshold electric field value of 11±1 MV cm -1 is required to induce breakdown, in good agreement with extrapolated values from capacitance measurements on thicker oxides. (c) 2000 American Institute of Physics

  1. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  2. Structural, microstructural and transport properties study of lanthanum lithium titanium perovskite thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Maqueda, O.; Sauvage, F.; Laffont, L.; Martinez-Sarrion, M.L.; Mestres, L.; Baudrin, E.

    2008-01-01

    Lanthanum lithium titanate thin films were grown by Pulsed Laser Deposition. La 0.57 Li 0.29 TiO 3 dense films with smooth surfaces were obtained after optimization of the growth parameters. Such films deposited at 700 deg. C under 15 Pa are nano-crystalline with domains corresponding to the cubic and tetragonal modifications of this phase. In relation to the measured conductivities/activation energy and to previous works, we clearly underlined that the films of practical interest, prepared at relatively low temperature, are predominantly formed from the tetragonal ordered phase

  3. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  4. Mechanical properties of bioplastics cassava starch film with Zinc Oxide nanofiller as reinforcement

    Science.gov (United States)

    Harunsyah; Yunus, M.; Fauzan, Reza

    2017-06-01

    This study focuses on investigating the influence of zinc oxide nanofiller on the mechanical properties of bioplastic cassava starch films. Bioplastic cassava starch film-based zinc oxide reinforced composite biopolymeric films were prepared by casting technique. The content of zinc oxide in the bioplastic films was varied from 0.2%, 0.4%, 0.6%, 0.8% and 1.0% (w/w) by weight of starch. Surface morphologies of the composites bioplastic films were examined by scanning electron microscope (SEM).The result showed that the Tensile strength (TS) was improved significantly with the additional of zinc oxide but the elongation at break (EB %) of the composites was decreased. The maximum tensile strength obtained was 22.30 kgf / mm on the additional of zinc oxide by 0.6% and plastilizer by 25%. Based on data of FTIR, the produced film plastic did not change the group function and it can be concluded that theinteraction in film plastic produced was only a physical interaction. Biodegradable plastic film based on cassava starch-zinc oxide and plasticizer glycerol showed that interesting mechanical properties being transparent, clear, homogeneous, flexible, and easily handled.

  5. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  6. Native oxidation of ultra high purity Cu bulk and thin films

    International Nuclear Information System (INIS)

    Iijima, J.; Lim, J.-W.; Hong, S.-H.; Suzuki, S.; Mimura, K.; Isshiki, M.

    2006-01-01

    The effect of microstructure and purity on the native oxidation of Cu was studied by using angle-resolved X-ray photoelectron spectroscopy (AR-XPS) and spectroscopic ellipsometry (SE). A high quality copper film prepared by ion beam deposition under a substrate bias voltage of -50 V (IBD Cu film at V s = -50 V) showed an oxidation resistance as high as an ultra high purity copper (UHP Cu) bulk, whereas a Cu film deposited without substrate bias voltage (IBD Cu film at V s = 0 V) showed lower oxidation resistance. The growth of Cu 2 O layer on the UHP Cu bulk and both types of the films obeyed in principle a logarithmic rate law. However, the growth of oxide layer on the IBD Cu films at V s = 0 and -50 V deviated upward from the logarithmic rate law after the exposure time of 320 and 800 h, respectively. The deviation from the logarithmic law is due to the formation of CuO on the Cu 2 O layer after a critical time

  7. The disclosed transformation of pre-sputtered Ti films into nanoparticles via controlled thermal oxidation

    Science.gov (United States)

    Awad, M. A.; Raaif, M.

    2018-05-01

    Nanoparticles of TiO2 were successfully prepared from pre-sputtered Ti films using the controlled thermal oxidation. The effect of oxidation temperature on structural, morphological and optical properties in addition to photocatalysis activity of the sputtered films was tested and explained. Analysis of XRD and EDAX elucidated the enhancement in crystallization and oxygen content with the increase of oxidation temperature. SEM depicted the formation of very fine nanoparticles with no specific border on the films oxidized at 550 and 600 °C, whilst crystallites with larger size of approximately from 16 to 23 nm have been observed for the film oxidized at 650 °C. Both optical transmission and refractive index were increased with increasing the oxidation temperature. A red shift in the absorption edge was obtained for the films oxidized at 650 °C compared to that oxidized at 600 °C. The photocatalysis tests demonstrated the priority of 600 °C nanoparticle films to decompose methyl orange (MO) more than 650 °C treated film.

  8. Preparation, characterization and electrocatalytic behavior of zinc oxide/zinchexacyanoferrate and ruthenium oxide hexacyanoferrate hybrid film-modified electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Chu, H.-W.; Thangamuthu, R. [Department of Chemical Engineering and Biotechnology, National Taipei University of Technology, No. 1, Section 3, Chung-Hsiao East Road, Taipei 106, Taiwan (China); Chen, S.-M. [Department of Chemical Engineering and Biotechnology, National Taipei University of Technology, No. 1, Section 3, Chung-Hsiao East Road, Taipei 106, Taiwan (China)], E-mail: smchen78@ms15.hinet.net

    2008-02-15

    Polynuclear mixed-valent hybrid films of zinc oxide/zinchexacyanoferrate and ruthenium oxide hexacyanoferrate (ZnO/ZnHCF-RuOHCF) have been deposited on electrode surfaces from H{sub 2}SO{sub 4} solution containing Zn(NO{sub 3}){sub 2}, RuCl{sub 3} and K{sub 3}[Fe(CN){sub 6}] by potentiodynamic cycling method. Simultaneous cyclic voltammetry and electrochemical quartz crystal microbalance (EQCM) measurements demonstrate the steady growth of hybrid film. Surface morphology of hybrid film was investigated using scanning electron microscopy (SEM). Energy dispersive spectrometer (EDS) data confirm existence of zinc oxide and ruthenium oxide hexacyanoferrate (RuOHCF) in the hybrid film. The effect of type of monovalent cations on the redox behavior of hybrid film was investigated. In pure supporting electrolyte, electrochemical responses of Ru{sup II/III} redox transition occurring at negative potential region resemble with that of a surface immobilized redox couple. The electrocatalytic activity of ZnO/ZnHCF-RuOHCF hybrid film was investigated towards oxidation of epinephrine, dopamine and L-cysteine, and reduction of S{sub 2}O{sub 8}{sup 2-} and SO{sub 5}{sup 2-} as well as IO{sub 3}{sup -} using cyclic voltammetry and rotating ring disc electrode (RRDE) techniques.

  9. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  10. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    Energy Technology Data Exchange (ETDEWEB)

    Tripathy, Sumanta K.; Rajeswari, V. P. [Centre for Nano Science and Technology, GVP College of Engineering (Autonomous), Visakhapatnam- 530048 (India)

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.

  11. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    International Nuclear Information System (INIS)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-01

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn 3 O 4 , corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells

  12. Characterization of anodic barrier films on tantalum and 1100 aluminum by ISS/SIMS

    International Nuclear Information System (INIS)

    McCune, R.C.

    1978-01-01

    Ion scattering spectrometry (ISS) and concurrent secondary ion mass spectrometry (SIMS) were used to determine the depth profiles of anodic barrier oxide films grown on tantalum and type 1100 aluminum. The sputter rate in each case was determined from the film thickness measured by the anodic overvoltage, and the penetration time determined by the decrease in intensity of the metal oxide fragment observed using SIMS. A mixture of helium and neon ions was used to sputter aluminum oxide films in order to observe ion scattering of helium by oxygen, while taking advantage of the higher sputtering rate available with neon. A comparison of sputter rates for helium and neon on tantalum oxide indicated that neon sputtered the film at a rate eight times that of helium. SIMS depth profiling of the residual boron in the anodic aluminum oxide indicated a mixing effect which did not permit adequate resolution of the interface between the oxide film and the underlying metal

  13. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  14. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  15. Effect of silver on the phase transition and wettability of titanium oxide films

    Science.gov (United States)

    Mosquera, Adolfo A.; Albella, Jose M.; Navarro, Violeta; Bhattacharyya, Debabrata; Endrino, Jose L.

    2016-01-01

    The effect of silver on the phase transition and microstructure of titanium oxide films grown by pulsed cathodic arc had been investigated by XRD, SEM and Raman spectroscopy. Following successive thermal annealing up to 1000 °C, microstructural analysis of annealed Ag-TiO2 films reveals that the incorporation of Ag nanoparticles strongly affects the transition temperature from the initial metastable amorphous phase to anatase and stable rutile phase. An increase of silver content into TiO2 matrix inhibits the amorphous to anatase phase transition, raising its temperature boundary and, simultaneously reduces the transition temperature to promote rutile structure at lower value of 600 °C. The results are interpreted in terms of the steric effects produced by agglomeration of Ag atoms into larger clusters following annealing which hinders diffusion of Ti and O ions for anatase formation and constrains the volume available for the anatase lattice, thus disrupting its structure to form rutile phase. The effect of silver on the optical and wetting properties of TiO2 was evaluated to demonstrate its improved photocatalytic performance. PMID:27571937

  16. Modification of oxide films by ion implantation: TiO2-films modified by Ti+ and O+ as example

    International Nuclear Information System (INIS)

    Schultze, J.W.; Elfenthal, L.; Leitner, K.; Meyer, O.

    1988-01-01

    Oxide films can be modified by ion implantation. Changes in the electrochemical properties of the films are due to the deposition profile of the implanted ion, ie doping and stoichiometric changes, as well as to the radiation damage. The latter is due to the formation of Frenkel defects and at high concentrations to a complete amorphization of the oxide film. TiOsub(x)-films with 1 + - and O + -ions into anodic oxide films on titanium. The electrode capacity shows always the behaviour of an n-type semiconductor with an almost constant flatband potential but a strong maximum donor concentration at about 3% Ti + concentration. Oxygen implantation, on the other hand, causes a small increase of donor concentration only at high concentration of O + . Electron transfer reactions show strong modifications of the electronic behaviour of the oxide film with a maximum again at 3% titanium. Photocurrent spectra prove the increasing amorphization and show interband states 2.6 eV above the VB or below the CB. During repassivation measurements at various potentials different defects formed by Ti + - and O + -implantation become mobile. A tentative model of the band structure is constructed which takes into account the interband states due to localised Ti + - and O + -ions. The modification of ion implanted oxide films is compared with the effects of other preparation techniques. (author)

  17. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    Science.gov (United States)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  18. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  19. Formation and dissolution of the anodic oxide film on zirconium in alcoholic aqueous solutions

    International Nuclear Information System (INIS)

    Mogoda, A.S.

    1995-01-01

    The dissolution behavior of the anodic oxide film formed in alcoholic aqueous solutions was studied. Results indicated the dissolution mechanism of the duplex oxide film followed a zero-order rate equation. The increase in methanol concentration in the formation medium (phosphoric acid [H 3 PO 4 ]) resulted in formation of an oxide film that incorporated little phosphate ion and that dissolved at a low rate. The dissolution rate of the oxide film decreased with increasing methanol concentration in the dissolution medium. This was attributed to the increase in the viscosity of the medium, which led to a decrease in the diffusion coefficient of the dissolution product of the zirconium oxide film. Dissolution of the anodic oxide film also was investigated as a function of the chain length of alcohols

  20. Swelling of a Zirconium Oxide Film

    International Nuclear Information System (INIS)

    Henderson, Mark; Hawley, Adrian; White, John; Rennie, Adrian

    2005-01-01

    Full text: The structural changes that cause the change in the interlayer spacing of a surfactanttemplated zirconium oxide film have been studied using neutron diffractometry. We report that the film after drying on a glass substrate swells slightly through the addition of benzene by up to 4 Aangstroem on a lattice parameter of about 36 Aangstroem. The (001) and (002) diffraction peaks positions, widths and areas of a swollen film were then monitored by neutron diffraction as a function of benzene desorption. Disorder of the lamellar mesophase is considered as a cause of the observed effects on the diffraction signals. (authors)