WorldWideScience

Sample records for optimal wafer cutting

  1. Optimal Wafer Cutting in Shuttle Layout Problems

    DEFF Research Database (Denmark)

    Nisted, Lasse; Pisinger, David; Altman, Avri

    2011-01-01

    . The shuttle layout problem is frequently solved in two phases: first, a floorplan of the shuttle is generated. Then, a cutting plan is found which minimizes the overall number of wafers needed to satisfy the demand of each die type. Since some die types require special production technologies, only compatible...

  2. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  3. Laser cutting sandwich structure glass-silicon-glass wafer with laser induced thermal-crack propagation

    Science.gov (United States)

    Cai, Yecheng; Wang, Maolu; Zhang, Hongzhi; Yang, Lijun; Fu, Xihong; Wang, Yang

    2017-08-01

    Silicon-glass devices are widely used in IC industry, MEMS and solar energy system because of their reliability and simplicity of the manufacturing process. With the trend toward the wafer level chip scale package (WLCSP) technology, the suitable dicing method of silicon-glass bonded structure wafer has become necessary. In this paper, a combined experimental and computational approach is undertaken to investigate the feasibility of cutting the sandwich structure glass-silicon-glass (SGS) wafer with laser induced thermal-crack propagation (LITP) method. A 1064 nm semiconductor laser cutting system with double laser beams which could simultaneously irradiate on the top and bottom of the sandwich structure wafer has been designed. A mathematical model for describing the physical process of the interaction between laser and SGS wafer, which consists of two surface heating sources and two volumetric heating sources, has been established. The temperature stress distribution are simulated by using finite element method (FEM) analysis software ABAQUS. The crack propagation process is analyzed by using the J-integral method. In the FEM model, a stationary planar crack is embedded in the wafer and the J-integral values around the crack front edge are determined using the FEM. A verification experiment under typical parameters is conducted and the crack propagation profile on the fracture surface is examined by the optical microscope and explained from the stress distribution and J-integral value.

  4. Examination of optimum test conditions for a 3-point bending and cutting test to evaluate sound emission of wafer during deformation

    Directory of Open Access Journals (Sweden)

    Erdem Carsanba

    2018-04-01

    Full Text Available The purpose of this study was to investigate optimum test conditions of acoustical-mechanical measurement of wafer analysed by Acoustic Envelope Detector attached to the Texture Analyser. Force-displacement and acoustic signals were simultaneously recorded applying two different methods (3-point bending and cutting test. In order to study acoustical-mechanical behaviour of wafers, the parameters “maximum sound pressure”, “total count peaks” and “mean sound value” were used and optimal test conditions of microphone position and test speed were examined. With a microphone position of 45° angle and 1 cm distance and at a low test speed of 0.5 mm/s wafers of different quality could be distinguished best. The angle of microphone did not have significant effect on acoustic results and the number of peaks of the force and acoustic signal decreased with increasing distance and test speed.

  5. Improving scanner wafer alignment performance by target optimization

    Science.gov (United States)

    Leray, Philippe; Jehoul, Christiane; Socha, Robert; Menchtchikov, Boris; Raghunathan, Sudhar; Kent, Eric; Schoonewelle, Hielke; Tinnemans, Patrick; Tuffy, Paul; Belen, Jun; Wise, Rich

    2016-03-01

    In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML's Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.

  6. InGaAs-OI Substrate Fabrication on a 300 mm Wafer

    Directory of Open Access Journals (Sweden)

    Sebastien Sollier

    2016-09-01

    Full Text Available In this work, we demonstrate for the first time a 300-mm indium–gallium–arsenic (InGaAs wafer on insulator (InGaAs-OI substrates by splitting in an InP sacrificial layer. A 30-nm-thick InGaAs layer was successfully transferred using low temperature direct wafer bonding (DWB and Smart CutTM technology. Three key process steps of the integration were therefore specifically developed and optimized. The first one was the epitaxial growing process, designed to reduce the surface roughness of the InGaAs film. Second, direct wafer bonding conditions were investigated and optimized to achieve non-defective bonding up to 600 °C. Finally, we adapted the splitting condition to detach the InGaAs layer according to epitaxial stack specifications. The paper presents the overall process flow that achieved InGaAs-OI, the required optimization, and the associated characterizations, namely atomic force microscopy (AFM, scanning acoustic microscopy (SAM, and HR-XRD, to insure the crystalline quality of the post transferred layer.

  7. Texturization of as-cut p-type monocrystalline silicon wafer using different wet chemical solutions

    Science.gov (United States)

    Hashmi, Galib; Hasanuzzaman, Muhammad; Basher, Mohammad Khairul; Hoq, Mahbubul; Rahman, Md. Habibur

    2018-06-01

    Implementing texturization process on the monocrystalline silicon substrate reduces reflection and enhances light absorption of the substrate. Thus texturization is one of the key elements to increase the efficiency of solar cell. Considering as-cut monocrystalline silicon wafer as base substrate, in this work different concentrations of Na2CO3 and NaHCO3 solution, KOH-IPA (isopropyl alcohol) solution and tetramethylammonium hydroxide solution with different time intervals have been investigated for texturization process. Furthermore, saw damage removal process was conducted with 10% NaOH solution, 20 wt% KOH-13.33 wt% IPA solution and HF/nitric/acetic acid solution. The surface morphology of saw damage, saw damage removed surface and textured wafer were observed using optical microscope and field emission scanning electron microscopy. Texturization causes pyramidal micro structures on the surface of (100) oriented monocrystalline silicon wafer. The height of the pyramid on the silicon surface varies from 1.5 to 3.2 µm and the inclined planes of the pyramids are acute angle. Contact angle value indicates that the textured wafer's surface fall in between near-hydrophobic to hydrophobic range. With respect to base material absolute reflectance 1.049-0.75% within 250-800 nm wavelength region, 0.1-0.026% has been achieved within the same wavelength region when textured with 0.76 wt% KOH-4 wt% IPA solution for 20 min. Furthermore, an alternative route of using 1 wt% Na2CO3-0.2 wt% NaHCO3 solution for 50 min has been exploited in the texturization process.

  8. Analysis and optimization of silicon wafers wire sawing; Analyse et optimisation du procede de decoupe de plaques de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Rouault de Coligny, P.

    2002-09-15

    This work has been done at the Centre de Mise en Forme des Materiaux and supported by the Agence de l'Environnement et la Maitrise de l'Energie and Photowatt International SA. It concerns one of the stages of the production of photovoltaic solar cells: the cutting of multi-crystalline silicon wafers by wire sawing. A review of the literature combined with the observation of rough wafers shows that wire sawing involves 3-body abrasion and that material removal is achieved in a ductile manner and forms micro-chips. Therefore, the depth of indentation which is necessary for the ductile-fragile transition as shown by the review of the literature is not reached. The resulting abrasion can be described thanks to Archard's Law. The subsurface damage is 2.5 {mu}m deep. A thermal study has shown that the temperature of the cutting is no higher than about 50 deg. C and that it depends on how much heat can be evacuated by the wire. Analyzing the flaws of the wafers has enabled us to identify their origins and to find solutions. The study of the wire's wear has proved that its diameter can be reduced only if the wire is drawn continuously. Energy can be saved at various stages, the surface of the wafers can be improved, these three arguments plead for the suppression of the back and forth. A tribological device has been set up which allows us to study the abrasion of silicon in the same conditions as in the wire sawing. A mechanical model linking the bending of the wire to the parameters collected during the wire sawing process can predict how high the wire web will be in the transitional and permanent regimes, the contact pressure and the wire wear. Material removal by plane strain scratch tests has been numerically simulated. The orders of magnitude of wear coefficients are identical to those deduced from tribological simulations and to those measured on the saws. This approach has opened new prospects which will improve the process by optimizing the

  9. High frequency guided wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Pizzolato, M.; Masserey, B.; Robyr, J. L.; Fromme, P.

    2017-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full...

  10. Optimization of corn, rice and buckwheat formulations for gluten-free wafer production.

    Science.gov (United States)

    Dogan, Ismail Sait; Yildiz, Onder; Meral, Raciye

    2016-07-01

    Gluten-free baked products for celiac sufferers are essential for healthy living. Cereals having gluten such as wheat and rye must be removed from the diet for the clinical and histological improvement. The variety of gluten-free foods should be offered for the sufferers. In the study, gluten-free wafer formulas were optimized using corn, rice and buckwheat flours, xanthan and guar gum blend as an alternative product for celiac sufferers. Wafer sheet attributes and textural properties were investigated. Considering all wafer sheet properties in gluten-free formulas, better results were obtained by using 163.5% water, 0.5% guar and 0.1% xanthan in corn formula; 173.3% water, 0.45% guar and 0.15% xanthan gum in rice formula; 176% water, 0.1% guar and 0.5% xanthan gum in buckwheat formula. Average desirability values in gluten-free formulas were between 0.86 and 0.91 indicating they had similar visual and textural profiles to control sheet made with wheat flour. © The Author(s) 2015.

  11. Comparison of laser chemical processing and lasermicrojet for structuring and cutting silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hopman, Sybille; Fell, Andreas; Mayer, Kuno; Mesec, Matthias; Rodofili, Andreas; Kray, Daniel [Fraunhofer Institute for Solar Energy Systems ISE, Freiburg (Germany)

    2009-06-15

    This paper deals with the development of a new cutting method for thin silicon solar wafers with liquid-jet-guided lasers (lasermicrojet {sup registered}, LMJ, and laser chemical processing, LCP). Several laser systems with different wavelengths were tested to find the optimum laser system and processing parameters in terms of efficient material removal and deep laser cutting. Water and potassium hydroxide were used as carrier liquids to enhance laser ablation. The ablation efficiency was defined as a target parameter and experimentally determined by performing single laser grooves. It is demonstrated that the ablation process of LMJ is mainly affected by silicon melting and then removing by the liquid-jet momentum for single laser grooves. Best result for deep laser grooves is achieved if evaporation dominates the ablation process. Better surface quality referred to laser-induced crystalline damage is presented for a cut wafer with LMJ in comparison to a standard multiwire slurry saw. This shows a great potential of wafering with liquid-jet-guided lasers although no optimal liquid media was used. (orig.)

  12. Wafer-level hermetic thermo-compression bonding using electroplated gold sealing frame planarized by fly-cutting

    Science.gov (United States)

    Farisi, Muhammad Salman Al; Hirano, Hideki; Frömel, Jörg; Tanaka, Shuji

    2017-01-01

    In this paper, a novel wafer-level hermetic packaging technology for heterogeneous device integration is presented. Hermetic sealing is achieved by low-temperature thermo-compression bonding using electroplated Au micro-sealing frame planarized by single-point diamond fly-cutting. The proposed technology has significant advantages compared to other established processes in terms of integration of micro-structured wafer, vacuum encapsulation and electrical interconnection, which can be achieved at the same time. Furthermore, the technology is also achievable for a bonding frame width as narrow as 30 μm, giving it an advantage from a geometry perspective, and bonding temperatures as low as 300 °C, making it advantageous for temperature-sensitive devices. Outgassing in vacuum sealed cavities is studied and a cavity pressure below 500 Pa is achieved by introducing annealing steps prior to bonding. The pressure of the sealed cavity is measured by zero-balance method utilizing diaphragm-structured bonding test devices. The leak rate into the packages is determined by long-term sealed cavity pressure measurement for 1500 h to be less than 2.0× {{10}-14} Pa m3s-1. In addition, the bonding shear strength is also evaluated to be higher than 100 MPa.

  13. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  14. Design Expert Supported Mathematical Optimization and Predictability Study of Buccoadhesive Pharmaceutical Wafers of Loratadine

    Directory of Open Access Journals (Sweden)

    Prithviraj Chakraborty

    2013-01-01

    Full Text Available Objective. The objective of this work encompasses the application of the response surface approach in the development of buccoadhesive pharmaceutical wafers of Loratadine (LOR. Methods. Experiments were performed according to a 32 factorial design to evaluate the effects of buccoadhesive polymer, sodium alginate (A, and lactose monohydrate as ingredient, of hydrophilic matrix former (B on the bioadhesive force, disintegration time, percent (% swelling index, and time taken for 70% drug release (t70%. The effect of the two independent variables on the response variables was studied by response surface plots and contour plots generated by the Design-Expert software. The desirability function was used to optimize the response variables. Results. The compatibility between LOR and the wafer excipients was confirmed by differential scanning calorimetry, FTIR spectroscopy, and X-ray diffraction (XRD analysis. Bioadhesion force, measured with TAXT2i texture analyzer, showed that the wafers had a good bioadhesive property which could be advantageous for retaining the drug into the buccal cavity. Conclusion. The observed responses taken were in agreement with the experimental values, and Loratadine wafers were produced with less experimental trials, and a patient compliant product was achieved with the concept of formulation by design.

  15. Design Expert Supported Mathematical Optimization and Predictability Study of Buccoadhesive Pharmaceutical Wafers of Loratadine

    Science.gov (United States)

    Dey, Surajit; Parcha, Versha; Bhattacharya, Shiv Sankar; Ghosh, Amitava

    2013-01-01

    Objective. The objective of this work encompasses the application of the response surface approach in the development of buccoadhesive pharmaceutical wafers of Loratadine (LOR). Methods. Experiments were performed according to a 32 factorial design to evaluate the effects of buccoadhesive polymer, sodium alginate (A), and lactose monohydrate as ingredient, of hydrophilic matrix former (B) on the bioadhesive force, disintegration time, percent (%) swelling index, and time taken for 70% drug release (t 70%). The effect of the two independent variables on the response variables was studied by response surface plots and contour plots generated by the Design-Expert software. The desirability function was used to optimize the response variables. Results. The compatibility between LOR and the wafer excipients was confirmed by differential scanning calorimetry, FTIR spectroscopy, and X-ray diffraction (XRD) analysis. Bioadhesion force, measured with TAXT2i texture analyzer, showed that the wafers had a good bioadhesive property which could be advantageous for retaining the drug into the buccal cavity. Conclusion. The observed responses taken were in agreement with the experimental values, and Loratadine wafers were produced with less experimental trials, and a patient compliant product was achieved with the concept of formulation by design. PMID:23781498

  16. Research on NC laser combined cutting optimization model of sheet metal parts

    Science.gov (United States)

    Wu, Z. Y.; Zhang, Y. L.; Li, L.; Wu, L. H.; Liu, N. B.

    2017-09-01

    The optimization problem for NC laser combined cutting of sheet metal parts was taken as the research object in this paper. The problem included two contents: combined packing optimization and combined cutting path optimization. In the problem of combined packing optimization, the method of “genetic algorithm + gravity center NFP + geometric transformation” was used to optimize the packing of sheet metal parts. In the problem of combined cutting path optimization, the mathematical model of cutting path optimization was established based on the parts cutting constraint rules of internal contour priority and cross cutting. The model played an important role in the optimization calculation of NC laser combined cutting.

  17. Comparative study on the predictability of statistical models (RSM and ANN) on the behavior of optimized buccoadhesive wafers containing Loratadine and their in vivo assessment.

    Science.gov (United States)

    Chakraborty, Prithviraj; Parcha, Versha; Chakraborty, Debarupa D; Ghosh, Amitava

    2016-01-01

    Buccoadhesive wafer dosage form containing Loratadine is formulated utilizing Formulation by Design (FbD) approach incorporating sodium alginate and lactose monohydrate as independent variable employing solvent casting method. The wafers were statistically optimized using Response Surface Methodology (RSM) and Artificial Neural Network algorithm (ANN) for predicting physicochemical and physico-mechanical properties of the wafers as responses. Morphologically wafers were tested using SEM. Quick disintegration of the samples was examined employing Optical Contact Angle (OCA). The comparison of the predictability of RSM and ANN showed a high prognostic capacity of RSM model over ANN model in forecasting mechanical and physicochemical properties of the wafers. The in vivo assessment of the optimized buccoadhesive wafer exhibits marked increase in bioavailability justifying the administration of Loratadine through buccal route, bypassing hepatic first pass metabolism.

  18. Modeling and optimization of laser cutting operations

    Directory of Open Access Journals (Sweden)

    Gadallah Mohamed Hassan

    2015-01-01

    Full Text Available Laser beam cutting is one important nontraditional machining process. This paper optimizes the parameters of laser beam cutting parameters of stainless steel (316L considering the effect of input parameters such as power, oxygen pressure, frequency and cutting speed. Statistical design of experiments is carried in three different levels and process responses such as average kerf taper (Ta, surface roughness (Ra and heat affected zones are measured accordingly. A response surface model is developed as a function of the process parameters. Responses predicted by the models (as per Taguchi’s L27OA are employed to search for an optimal combination to achieve desired process yield. Response Surface Models (RSMs are developed for mean responses, S/N ratio, and standard deviation of responses. Optimization models are formulated as single objective optimization problem subject to process constraints. Models are formulated based on Analysis of Variance (ANOVA and optimized using Matlab developed environment. Optimum solutions are compared with Taguchi Methodology results. As such, practicing engineers have means to model, analyze and optimize nontraditional machining processes. Validation experiments are carried to verify the developed models with success.

  19. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  20. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  1. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  2. A novel patterning control strategy based on real-time fingerprint recognition and adaptive wafer level scanner optimization

    Science.gov (United States)

    Cekli, Hakki Ergun; Nije, Jelle; Ypma, Alexander; Bastani, Vahid; Sonntag, Dag; Niesing, Henk; Zhang, Linmiao; Ullah, Zakir; Subramony, Venky; Somasundaram, Ravin; Susanto, William; Matsunobu, Masazumi; Johnson, Jeff; Tabery, Cyrus; Lin, Chenxi; Zou, Yi

    2018-03-01

    lithography steps. This metrology data will be used to obtain the process fingerprints. Also, the per exposure and per wafer correction potential of the scanners will be utilized for improved patterning control. Additionally, the fingerprint library will provide early detection of excursions for inline root cause analysis and process optimization guidance.

  3. Hysteresis-free high-temperature precise bimorph actuators produced by direct bonding of lithium niobate wafers

    Energy Technology Data Exchange (ETDEWEB)

    Shur, V. Ya.; Baturin, I. S.; Mingaliev, E. A.; Zorikhin, D. V.; Udalov, A. R.; Greshnyakov, E. D. [Ferroelectric Laboratory, Institute of Natural Sciences, Ural Federal University, 51 Lenin Ave., 620000 Ekaterinburg (Russian Federation)

    2015-02-02

    The current paper presents a piezoelectric bimorph actuator produced by direct bonding of lithium niobate wafers with the mirrored Y and Z axes. Direct bonding technology allowed to fabricate bidomain plate with precise positioning of ideally flat domain boundary. By optimizing the cutting angle (128° Y-cut), the piezoelectric constant became as large as 27.3 pC/N. Investigation of voltage dependence of bending displacement confirmed that bimorph actuator has excellent linearity and hysteresis-free. Decrease of the applied voltage down to mV range showed the perfect linearity up to the sub-nm deflection amplitude. The frequency and temperature dependences of electromechanical transmission coefficient in wide temperature range (from 300 to 900 K) were investigated.

  4. Selection of Near Optimal Laser Cutting Parameters in CO2 Laser Cutting by the Taguchi Method

    Directory of Open Access Journals (Sweden)

    Miloš MADIĆ

    2013-12-01

    Full Text Available Identification of laser cutting conditions that are insensitive to parameter variations and noise is of great importance. This paper demonstrates the application of Taguchi method for optimization of surface roughness in CO2 laser cutting of stainless steel. The laser cutting experiment was planned and conducted according to the Taguchi’s experimental design using the L27 orthogonal array. Four laser cutting parameters such as laser power, cutting speed, assist gas pressure, and focus position were considered in the experiment. Using the analysis of means and analysis of variance, the significant laser cutting parameters were identified, and subsequently the optimal combination of laser cutting parameter levels was determined. The results showed that the cutting speed is the most significant parameter affecting the surface roughness whereas the influence of the assist gas pressure can be neglected. It was observed, however, that interaction effects have predominant influence over the main effects on the surface roughness.

  5. DETERMINATION OF BRAKING OPTIMAL MODE OF CONTROLLED CUT OF DESIGN GROUP

    Directory of Open Access Journals (Sweden)

    A. S. Dorosh

    2015-06-01

    Full Text Available Purpose. The application of automation systems of breaking up process on the gravity hump is the efficiency improvement of their operation, absolute provision of trains breaking up safety demands, as well as improvement of hump staff working conditions. One of the main tasks of the indicated systems is the assurance of cuts reliable separation at all elements of their rolling route to the classification track. This task is a sophisticated optimization problem and has not received a final decision. Therefore, the task of determining the cuts braking mode is quite relevant. The purpose of this research is to find the optimal braking mode of control cut of design group. Methodology. In order to achieve the purpose is offered to use the direct search methods in the work, namely the Box complex method. This method does not require smoothness of the objective function, takes into account its limitations and does not require calculation of the function derivatives, and uses only its value. Findings. Using the Box method was developed iterative procedure for determining the control cut optimal braking mode of design group. The procedure maximizes the smallest controlled time interval in the group. To evaluate the effectiveness of designed procedure the series of simulation experiments of determining the control cut braking mode of design group was performed. The results confirmed the efficiency of the developed optimization procedure. Originality. The author formalized the task of optimizing control cut braking mode of design group, taking into account the cuts separation of design group at all elements (switches, retarders during cuts rolling to the classification track. The problem of determining the optimal control cut braking mode of design group was solved. The developed braking mode ensures cuts reliable separation of the group not only at the switches but at the retarders of brake position. Practical value. The developed procedure can be

  6. Computer optimization of cutting yield from multiple ripped boards

    Science.gov (United States)

    A.R. Stern; K.A. McDonald

    1978-01-01

    RIPYLD is a computer program that optimizes the cutting yield from multiple-ripped boards. Decisions are based on automatically collected defect information, cutting bill requirements, and sawing variables. The yield of clear cuttings from a board is calculated for every possible permutation of specified rip widths and both the maximum and minimum percent yield...

  7. Guided ultrasonic wave beam skew in silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  8. Optimization of CO2 Laser Cutting Process using Taguchi and Dual Response Surface Methodology

    Directory of Open Access Journals (Sweden)

    M. Madić

    2014-09-01

    Full Text Available Selection of optimal cutting parameter settings for obtaining high cut quality in CO2 laser cutting process is of great importance. Among various analytical and experimental optimization methods, the application of Taguchi and response surface methodology is one of most commonly used for laser cutting process optimization. Although the concept of dual response surface methodology for process optimization has been used with success, till date, no experimental study has been reported in the field of laser cutting. In this paper an approach for optimization of CO2 laser cutting process using Taguchi and dual response surface methodology is presented. The goal was to determine the near optimal laser cutting parameter values in order to ensure robust condition for minimization of average surface roughness. To obtain experimental database for development of response surface models, Taguchi’s L25 orthogonal array was implemented for experimental plan. Three cutting parameters, the cutting speed (3, 4, 5, 6, 7 m/min, the laser power (0.7, 0.9, 1.1, 1.3, 1.5 kW, and the assist gas pressure (3, 4, 5, 6, 7 bar, were used in the experiment. To obtain near optimal cutting parameters settings, multi-stage Monte Carlo simulation procedure was performed on the developed response surface models.

  9. Application of Taguchi method for cutting force optimization in rock

    Indian Academy of Sciences (India)

    In this paper, an optimization study was carried out for the cutting force (Fc) acting on circular diamond sawblades in rock sawing. The peripheral speed, traverse speed, cut depth and flow rate of cooling fluid were considered as operating variables and optimized by using Taguchi approach for the Fc. L16(44) orthogonal ...

  10. Ant Colony Optimization and the Minimum Cut Problem

    DEFF Research Database (Denmark)

    Kötzing, Timo; Lehre, Per Kristian; Neumann, Frank

    2010-01-01

    Ant Colony Optimization (ACO) is a powerful metaheuristic for solving combinatorial optimization problems. With this paper we contribute to the theoretical understanding of this kind of algorithm by investigating the classical minimum cut problem. An ACO algorithm similar to the one that was prov...

  11. Modelling and optimization of cut quality during pulsed Nd:YAG laser cutting of thin Al-alloy sheet for straight profile

    Science.gov (United States)

    Sharma, Amit; Yadava, Vinod

    2012-02-01

    Thin sheets of aluminium alloys are widely used in aerospace and automotive industries for specific applications. Nd:YAG laser beam cutting is one of the most promising sheetmetal cutting process for cutting sheets for any profile. Al-alloy sheets are difficult to cut by laser beam because of its highly reflective nature. This paper presents modelling and optimization of cut quality during pulsed Nd:YAG laser cutting of thin Al-alloy sheet for straight profile. In the present study, four input process parameters such as oxygen pressure, pulse width, pulse frequency, and cutting speed and two output parameters such as average kerf taper ( Ta) and average surface roughness ( Ra) are considered. The hybrid approach comprising of Taguchi methodology (TM) and response surface methodology (RSM) is used for modelling whereas multi-objective optimization is performed using hybrid approach of TM and grey relational analysis (GRA) coupled with entropy measurement methodology. The entropy measurement methodology is employed for the calculation of weight corresponding to each quality characteristic. The results indicate that the hybrid approaches applied for modelling and optimization of the LBC process are reasonable.

  12. Investigation and validation of optimal cutting parameters for least ...

    African Journals Online (AJOL)

    The cutting parameters were analyzed and optimized using Box Behnken procedure in the DESIGN EXPERT environment. The effect of process parameters with the output variable were predicted which indicates that the highest cutting speed has significant role in producing least surface roughness followed by feed and ...

  13. Multiple-objective optimization in precision laser cutting of different thermoplastics

    Science.gov (United States)

    Tamrin, K. F.; Nukman, Y.; Choudhury, I. A.; Shirley, S.

    2015-04-01

    Thermoplastics are increasingly being used in biomedical, automotive and electronics industries due to their excellent physical and chemical properties. Due to the localized and non-contact process, use of lasers for cutting could result in precise cut with small heat-affected zone (HAZ). Precision laser cutting involving various materials is important in high-volume manufacturing processes to minimize operational cost, error reduction and improve product quality. This study uses grey relational analysis to determine a single optimized set of cutting parameters for three different thermoplastics. The set of the optimized processing parameters is determined based on the highest relational grade and was found at low laser power (200 W), high cutting speed (0.4 m/min) and low compressed air pressure (2.5 bar). The result matches with the objective set in the present study. Analysis of variance (ANOVA) is then carried out to ascertain the relative influence of process parameters on the cutting characteristics. It was found that the laser power has dominant effect on HAZ for all thermoplastics.

  14. Debris-free rear-side picosecond laser ablation of thin germanium wafers in water with ethanol

    International Nuclear Information System (INIS)

    Zhang, Dongshi; Gökce, Bilal; Sommer, Steffen; Streubel, René; Barcikowski, Stephan

    2016-01-01

    Graphical abstract: - Highlights: • Picosecond laser cutting of fragile 150 μm thin germanium wafers (typically used for solar cell applications) in liquid results in debris-free surfaces. • Liquid-assisted laser cutting is much better than air-assisted laser cutting in terms of recast, debris and cleanness of the resultant grooves. • Laser cutting in ethanol–water mixtures result in better cut quality than those performed in pure water but lead to less cutting efficiency. • Low repetition rate (10 kHz), mixed solution (1 wt% ethanol in water) and moderate scanning speed (100 μm/s) are preferable for ultrafine high-quality debris-free cutting. - Abstract: In this paper, we perform liquid-assisted picosecond laser cutting of 150 μm thin germanium wafers from the rear side. By investigating the cutting efficiency (the ability to allow an one-line cut-through) and quality (characterized by groove morphologies on both sides), the pros and cons of this technique under different conditions are clarified. Specifically, with laser fluence fixed, repetition rate and scanning speed are varied to show quality and efficiency control by means of laser parameter modulation. It is found that low repetition rate ablation in liquid gives rise to a better cut quality on the front side than high repetition rate ablation since it avoids dispersed nanoparticles redeposition resulting from a bubble collapse, unlike the case of 100 kHz which leads to large nanorings near the grooves resulting from a strong interaction of bubbles and the case of 50 kHz which leads to random cutting due to the interaction of the former pulse induced cavitation bubble and the subsequent laser pulse. Furthermore, ethanol is mixed with pure distilled water to assess the liquid's impact on the cutting efficiency and cutting quality. The results show that increasing the ethanol fraction decreases the ablation efficiency but simultaneously, greatly improves the cutting quality. The improvement of

  15. Debris-free rear-side picosecond laser ablation of thin germanium wafers in water with ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Dongshi; Gökce, Bilal [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany); Sommer, Steffen [Dausinger & Giesen GmbH, Rotebühlstrasse 87, 70178 Stuttgart (Germany); Streubel, René [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany); Barcikowski, Stephan, E-mail: stephan.barcikowski@uni-due.de [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany)

    2016-03-30

    Graphical abstract: - Highlights: • Picosecond laser cutting of fragile 150 μm thin germanium wafers (typically used for solar cell applications) in liquid results in debris-free surfaces. • Liquid-assisted laser cutting is much better than air-assisted laser cutting in terms of recast, debris and cleanness of the resultant grooves. • Laser cutting in ethanol–water mixtures result in better cut quality than those performed in pure water but lead to less cutting efficiency. • Low repetition rate (10 kHz), mixed solution (1 wt% ethanol in water) and moderate scanning speed (100 μm/s) are preferable for ultrafine high-quality debris-free cutting. - Abstract: In this paper, we perform liquid-assisted picosecond laser cutting of 150 μm thin germanium wafers from the rear side. By investigating the cutting efficiency (the ability to allow an one-line cut-through) and quality (characterized by groove morphologies on both sides), the pros and cons of this technique under different conditions are clarified. Specifically, with laser fluence fixed, repetition rate and scanning speed are varied to show quality and efficiency control by means of laser parameter modulation. It is found that low repetition rate ablation in liquid gives rise to a better cut quality on the front side than high repetition rate ablation since it avoids dispersed nanoparticles redeposition resulting from a bubble collapse, unlike the case of 100 kHz which leads to large nanorings near the grooves resulting from a strong interaction of bubbles and the case of 50 kHz which leads to random cutting due to the interaction of the former pulse induced cavitation bubble and the subsequent laser pulse. Furthermore, ethanol is mixed with pure distilled water to assess the liquid's impact on the cutting efficiency and cutting quality. The results show that increasing the ethanol fraction decreases the ablation efficiency but simultaneously, greatly improves the cutting quality. The improvement

  16. Optimal Design of Magnetic ComponentsinPlasma Cutting Power Supply

    Science.gov (United States)

    Jiang, J. F.; Zhu, B. R.; Zhao, W. N.; Yang, X. J.; Tang, H. J.

    2017-10-01

    Phase-shifted transformer and DC reactor are usually needed in chopper plasma cutting power supply. Because of high power rate, the loss of magnetic components may reach to several kilowatts, which seriously affects the conversion efficiency. Therefore, it is necessary to research and design low loss magnetic components by means of efficient magnetic materials and optimal design methods. The main task in this paper is to compare the core loss of different magnetic material, to analyze the influence of transformer structure, winding arrangement and wire structure on the characteristics of magnetic component. Then another task is to select suitable magnetic material, structure and wire in order to reduce the loss and volume of magnetic components. Based on the above outcome, the optimization design process of transformer and dc reactor are proposed in chopper plasma cutting power supply with a lot of solutions. These solutions are analyzed and compared before the determination of the optimal solution in order to reduce the volume and power loss of the two magnetic components and improve the conversion efficiency of plasma cutting power supply.

  17. Evolutionary Bi-objective Optimization for Bulldozer and Its Blade in Soil Cutting

    Science.gov (United States)

    Sharma, Deepak; Barakat, Nada

    2018-02-01

    An evolutionary optimization approach is adopted in this paper for simultaneously achieving the economic and productive soil cutting. The economic aspect is defined by minimizing the power requirement from the bulldozer, and the soil cutting is made productive by minimizing the time of soil cutting. For determining the power requirement, two force models are adopted from the literature to quantify the cutting force on the blade. Three domain-specific constraints are also proposed, which are limiting the power from the bulldozer, limiting the maximum force on the bulldozer blade and achieving the desired production rate. The bi-objective optimization problem is solved using five benchmark multi-objective evolutionary algorithms and one classical optimization technique using the ɛ-constraint method. The Pareto-optimal solutions are obtained with the knee-region. Further, the post-optimal analysis is performed on the obtained solutions to decipher relationships among the objectives and decision variables. Such relationships are later used for making guidelines for selecting the optimal set of input parameters. The obtained results are then compared with the experiment results from the literature that show a close agreement among them.

  18. High frequency guided wave propagation in monocrystalline silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2017-04-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full three-dimensional Finite Element simulations of the guided wave propagation were conducted to visualize and quantify these effects for a line source. The phase velocity (slowness) and skew angle of the two fundamental Lamb wave modes (first anti-symmetric mode A0 and first symmetric mode S0) for varying propagation directions relative to the crystal orientation were measured experimentally. Selective mode excitation was achieved using a contact piezoelectric transducer with a custom-made wedge and holder to achieve a controlled contact pressure. The out-of-plane component of the guided wave propagation was measured using a noncontact laser interferometer. Good agreement was found with the simulation results and theoretical predictions based on nominal material properties of the silicon wafer.

  19. Laser cutting: industrial relevance, process optimization, and laser safety

    Science.gov (United States)

    Haferkamp, Heinz; Goede, Martin; von Busse, Alexander; Thuerk, Oliver

    1998-09-01

    Compared to other technological relevant laser machining processes, up to now laser cutting is the application most frequently used. With respect to the large amount of possible fields of application and the variety of different materials that can be machined, this technology has reached a stable position within the world market of material processing. Reachable machining quality for laser beam cutting is influenced by various laser and process parameters. Process integrated quality techniques have to be applied to ensure high-quality products and a cost effective use of the laser manufacturing plant. Therefore, rugged and versatile online process monitoring techniques at an affordable price would be desirable. Methods for the characterization of single plant components (e.g. laser source and optical path) have to be substituted by an omnivalent control system, capable of process data acquisition and analysis as well as the automatic adaptation of machining and laser parameters to changes in process and ambient conditions. At the Laser Zentrum Hannover eV, locally highly resolved thermographic measurements of the temperature distribution within the processing zone using cost effective measuring devices are performed. Characteristic values for cutting quality and plunge control as well as for the optimization of the surface roughness at the cutting edges can be deducted from the spatial distribution of the temperature field and the measured temperature gradients. Main influencing parameters on the temperature characteristic within the cutting zone are the laser beam intensity and pulse duration in pulse operation mode. For continuous operation mode, the temperature distribution is mainly determined by the laser output power related to the cutting velocity. With higher cutting velocities temperatures at the cutting front increase, reaching their maximum at the optimum cutting velocity. Here absorption of the incident laser radiation is drastically increased due to

  20. Multiobjective Optimization of Turning Cutting Parameters for J-Steel Material

    Directory of Open Access Journals (Sweden)

    Adel T. Abbas

    2016-01-01

    Full Text Available This paper presents a multiobjective optimization study of cutting parameters in turning operation for a heat-treated alloy steel material (J-Steel with Vickers hardness in the range of HV 365–395 using uncoated, unlubricated Tungsten-Carbide tools. The primary aim is to identify proper settings of the cutting parameters (cutting speed, feed rate, and depth of cut that lead to reasonable compromises between good surface quality and high material removal rate. Thorough exploration of the range of cutting parameters was conducted via a five-level full-factorial experimental matrix of samples and the Pareto trade-off frontier is identified. The trade-off among the objectives was observed to have a “knee” shape, in which certain settings for the cutting parameters can achieve both good surface quality and high material removal rate within certain limits. However, improving one of the objectives beyond these limits can only happen at the expense of a large compromise in the other objective. An alternative approach for identifying the trade-off frontier was also tested via multiobjective implementation of the Efficient Global Optimization (m-EGO algorithm. The m-EGO algorithm was successful in identifying two points within the good range of the trade-off frontier with 36% fewer experimental samples.

  1. The optimization of the cutting process of diamonds with a YAG laser

    Directory of Open Access Journals (Sweden)

    A. J. Lubbe

    1993-07-01

    Full Text Available A laser cannot, as generally assumed by the layman, cut right through a diamond with a single cut. A couple of hundred cuts may be necessary to "chip carve" through a diamond. There are several parameters, for example cutting speed, focus point, overlapping of cuts, etc., that influence the cutting process. With a view to optimizing the cutting process, laser cuts in diamonds were studied in a systematic way with the aid of an electron microscope. The method, technique and the results of the research are discussed in this article.

  2. Optimizing cutting conditions on sustainable machining of aluminum alloy to minimize power consumption

    Science.gov (United States)

    Nur, Rusdi; Suyuti, Muhammad Arsyad; Susanto, Tri Agus

    2017-06-01

    Aluminum is widely utilized in the industrial sector. There are several advantages of aluminum, i.e. good flexibility and formability, high corrosion resistance and electrical conductivity, and high heat. Despite of these characteristics, however, pure aluminum is rarely used because of its lacks of strength. Thus, most of the aluminum used in the industrial sectors was in the form of alloy form. Sustainable machining can be considered to link with the transformation of input materials and energy/power demand into finished goods. Machining processes are responsible for environmental effects accepting to their power consumption. The cutting conditions have been optimized to minimize the cutting power, which is the power consumed for cutting. This paper presents an experimental study of sustainable machining of Al-11%Si base alloy that was operated without any cooling system to assess the capacity in reducing power consumption. The cutting force was measured and the cutting power was calculated. Both of cutting force and cutting power were analyzed and modeled by using the central composite design (CCD). The result of this study indicated that the cutting speed has an effect on machining performance and that optimum cutting conditions have to be determined, while sustainable machining can be followed in terms of minimizing power consumption and cutting force. The model developed from this study can be used for evaluation process and optimization to determine optimal cutting conditions for the performance of the whole process.

  3. Wafer-level vacuum/hermetic packaging technologies for MEMS

    Science.gov (United States)

    Lee, Sang-Hyun; Mitchell, Jay; Welch, Warren; Lee, Sangwoo; Najafi, Khalil

    2010-02-01

    An overview of wafer-level packaging technologies developed at the University of Michigan is presented. Two sets of packaging technologies are discussed: (i) a low temperature wafer-level packaging processes for vacuum/hermeticity sealing, and (ii) an environmentally resistant packaging (ERP) technology for thermal and mechanical control as well as vacuum packaging. The low temperature wafer-level encapsulation processes are implemented using solder bond rings which are first patterned on a cap wafer and then mated with a device wafer in order to encircle and encapsulate the device at temperatures ranging from 200 to 390 °C. Vacuum levels below 10 mTorr were achieved with yields in an optimized process of better than 90%. Pressures were monitored for more than 4 years yielding important information on reliability and process control. The ERP adopts an environment isolation platform in the packaging substrate. The isolation platform is designed to provide low power oven-control, vibration isolation and shock protection. It involves batch flip-chip assembly of a MEMS device onto the isolation platform wafer. The MEMS device and isolation structure are encapsulated at the wafer-level by another substrate with vertical feedthroughs for vacuum/hermetic sealing and electrical signal connections. This technology was developed for high performance gyroscopes, but can be applied to any type of MEMS device.

  4. Classifying post-stroke fatigue: Optimal cut-off on the Fatigue Assessment Scale.

    Science.gov (United States)

    Cumming, Toby B; Mead, Gillian

    2017-12-01

    Post-stroke fatigue is common and has debilitating effects on independence and quality of life. The Fatigue Assessment Scale (FAS) is a valid screening tool for fatigue after stroke, but there is no established cut-off. We sought to identify the optimal cut-off for classifying post-stroke fatigue on the FAS. In retrospective analysis of two independent datasets (the '2015' and '2007' studies), we evaluated the predictive validity of FAS score against a case definition of fatigue (the criterion standard). Area under the curve (AUC) and sensitivity and specificity at the optimal cut-off were established in the larger 2015 dataset (n=126), and then independently validated in the 2007 dataset (n=52). In the 2015 dataset, AUC was 0.78 (95% CI 0.70-0.86), with the optimal ≥24 cut-off giving a sensitivity of 0.82 and specificity of 0.66. The 2007 dataset had an AUC of 0.83 (95% CI 0.71-0.94), and applying the ≥24 cut-off gave a sensitivity of 0.84 and specificity of 0.67. Post-hoc analysis of the 2015 dataset revealed that using only the 3 most predictive FAS items together ('FAS-3') also yielded good validity: AUC 0.81 (95% CI 0.73-0.89), with sensitivity of 0.83 and specificity of 0.75 at the optimal ≥8 cut-off. We propose ≥24 as a cut-off for classifying post-stroke fatigue on the FAS. While further validation work is needed, this is a positive step towards a coherent approach to reporting fatigue prevalence using the FAS. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  6. Non-heuristic reduction of the graph in graph-cut optimization

    International Nuclear Information System (INIS)

    Malgouyres, François; Lermé, Nicolas

    2012-01-01

    During the last ten years, graph cuts had a growing impact in shape optimization. In particular, they are commonly used in applications of shape optimization such as image processing, computer vision and computer graphics. Their success is due to their ability to efficiently solve (apparently) difficult shape optimization problems which typically involve the perimeter of the shape. Nevertheless, solving problems with a large number of variables remains computationally expensive and requires a high memory usage since underlying graphs sometimes involve billion of nodes and even more edges. Several strategies have been proposed in the literature to improve graph-cuts in this regards. In this paper, we give a formal statement which expresses that a simple and local test performed on every node before its construction permits to avoid the construction of useless nodes for the graphs typically encountered in image processing and vision. A useless node is such that the value of the maximum flow in the graph does not change when removing the node from the graph. Such a test therefore permits to limit the construction of the graph to a band of useful nodes surrounding the final cut.

  7. Temperature Uniformity of Wafer on a Large-Sized Susceptor for a Nitride Vertical MOCVD Reactor

    International Nuclear Information System (INIS)

    Li Zhi-Ming; Jiang Hai-Ying; Han Yan-Bin; Li Jin-Ping; Yin Jian-Qin; Zhang Jin-Cheng

    2012-01-01

    The effect of coil location on wafer temperature is analyzed in a vertical MOCVD reactor by induction heating. It is observed that the temperature distribution in the wafer with the coils under the graphite susceptor is more uniform than that with the coils around the outside wall of the reactor. For the case of coils under the susceptor, we find that the thickness of the susceptor, the distance from the coils to the susceptor bottom and the coil turns significantly affect the temperature uniformity of the wafer. An optimization process is executed for a 3-inch susceptor with this kind of structure, resulting in a large improvement in the temperature uniformity. A further optimization demonstrates that the new susceptor structure is also suitable for either multiple wafers or large-sized wafers approaching 6 and 8 inches

  8. Improvement of the thickness distribution of a quartz crystal wafer by numerically controlled plasma chemical vaporization machining

    International Nuclear Information System (INIS)

    Shibahara, Masafumi; Yamamura, Kazuya; Sano, Yasuhisa; Sugiyama, Tsuyoshi; Endo, Katsuyoshi; Mori, Yuzo

    2005-01-01

    To improve the thickness uniformity of thin quartz crystal wafer, a new machining process that utilizes an atmospheric pressure plasma was developed. In an atmospheric pressure plasma process, since the kinetic energy of ions that impinge to the wafer surface is small and the density of the reactive species is large, high-efficiency machining without damage is realized, and the thickness distribution is corrected by numerically controlled scanning of the quartz wafer to the localized high-density plasma. By using our developed machining process, the thickness distribution of an AT cut wafer was improved from 174 nm [peak to valley (p-v)] to 67 nm (p-v) within 94 s. Since there are no unwanted spurious modes in the machined quartz wafer, it was proved that the developed machining method has a high machining efficiency without any damage

  9. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  10. Effect of lapping slurry on critical cutting depth of spinel

    International Nuclear Information System (INIS)

    Wang, Zhan-kui; Wang, Zhuan-kui; Zhu, Yong-wei; Su, Jian-xiu

    2015-01-01

    Highlights: • Measured spinel wafers’ hardness and crack length in different slurries. • Evaluated the softened layer thickness in different slurries. • Discussed the effect of slurries on critical cutting depth of spinel. - Abstract: The critical cutting depth for lapping process is very important because it influences the mode of material removal. In this paper, a serial of microscopic indentation experiments were carried out for measuring spinel wafers’ hardness and crack length in different lapping slurries. Their critical cutting depth and fracture toughness were calculated. X-ray photoelectron spectroscopy (XPS) was also employed to study the surface chemical composition and softened layer thickness of wafers in different slurries. Experimental results indicate that the softened layers of spinel wafers are formed due to the corrosion of lapping slurries, which leads to a lower hardness and a larger fracture toughness of samples, and increases the critical cutting depth. Among them, the critical cutting depth in ethylene glycol solution is the largest and up to 21.8 nm. The increase of critical cutting depth is helpful to modify the surface quality of the work-piece being lapped via ductile removal mode instead of brittle fracture mode

  11. Computer-aided analysis of cutting processes for brittle materials

    Science.gov (United States)

    Ogorodnikov, A. I.; Tikhonov, I. N.

    2017-12-01

    This paper is focused on 3D computer simulation of cutting processes for brittle materials and silicon wafers. Computer-aided analysis of wafer scribing and dicing is carried out with the use of the ANSYS CAE (computer-aided engineering) software, and a parametric model of the processes is created by means of the internal ANSYS APDL programming language. Different types of tool tip geometry are analyzed to obtain internal stresses, such as a four-sided pyramid with an included angle of 120° and a tool inclination angle to the normal axis of 15°. The quality of the workpieces after cutting is studied by optical microscopy to verify the FE (finite-element) model. The disruption of the material structure during scribing occurs near the scratch and propagates into the wafer or over its surface at a short range. The deformation area along the scratch looks like a ragged band, but the stress width is rather low. The theory of cutting brittle semiconductor and optical materials is developed on the basis of the advanced theory of metal turning. The fall of stress intensity along the normal on the way from the tip point to the scribe line can be predicted using the developed theory and with the verified FE model. The crystal quality and dimensions of defects are determined by the mechanics of scratching, which depends on the shape of the diamond tip, the scratching direction, the velocity of the cutting tool and applied force loads. The disunity is a rate-sensitive process, and it depends on the cutting thickness. The application of numerical techniques, such as FE analysis, to cutting problems enhances understanding and promotes the further development of existing machining technologies.

  12. Optimization of Cutting Parameters of the Haynes 718 Nickel Alloy With Gas CO2 Laser

    Directory of Open Access Journals (Sweden)

    Jana PETRŮ

    2011-06-01

    Full Text Available This article deals with the application of laser technology and the optimization of parameters in the area of nickel alloy laser cutting intended for application in the aircraft industry. The main goal is to outline possibilities of use of the laser technology, primarily its application in the area of 3D material cutting. This experiment is focused on the optimization of cutting parameters of the Haynes 718 alloy with a gas CO2 laser. Originating cuts are evaluated primarily from the point of view of cut quality and accompanying undesirable phenomena occurring in the process of cutting. In conclusion the results achieved in the metallographic laboratory are described and analyzed.

  13. The chemo-mechanical effect of cutting fluid on material removal in diamond scribing of silicon

    Science.gov (United States)

    Kumar, Arkadeep; Melkote, Shreyes N.

    2017-07-01

    The mechanical integrity of silicon wafers cut by diamond wire sawing depends on the damage (e.g., micro-cracks) caused by the cutting process. The damage type and extent depends on the material removal mode, i.e., ductile or brittle. This paper investigates the effect of cutting fluid on the mode of material removal in diamond scribing of single crystal silicon, which simulates the material removal process in diamond wire sawing of silicon wafers. We conducted scribing experiments with a diamond tipped indenter in the absence (dry) and in the presence of a water-based cutting fluid. We found that the cutting mode is more ductile when scribing in the presence of cutting fluid compared to dry scribing. We explain the experimental observations by the chemo-mechanical effect of the cutting fluid on silicon, which lowers its hardness and promotes ductile mode material removal.

  14. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  15. BANKRUPTCY PREDICTION MODEL WITH ZETAc OPTIMAL CUT-OFF SCORE TO CORRECT TYPE I ERRORS

    Directory of Open Access Journals (Sweden)

    Mohamad Iwan

    2005-06-01

    This research has successfully attained the following results: (1 type I error is in fact 59,83 times more costly compared to type II error, (2 22 ratios distinguish between bankrupt and non-bankrupt groups, (3 2 financial ratios proved to be effective in predicting bankruptcy, (4 prediction using ZETAc optimal cut-off score predicts more companies filing for bankruptcy within one year compared to prediction using Hair et al. optimum cutting score, (5 Although prediction using Hair et al. optimum cutting score is more accurate, prediction using ZETAc optimal cut-off score proved to be able to minimize cost incurred from classification errors.

  16. Artificial Intelligence Based Selection of Optimal Cutting Tool and Process Parameters for Effective Turning and Milling Operations

    Science.gov (United States)

    Saranya, Kunaparaju; John Rozario Jegaraj, J.; Ramesh Kumar, Katta; Venkateshwara Rao, Ghanta

    2016-06-01

    With the increased trend in automation of modern manufacturing industry, the human intervention in routine, repetitive and data specific activities of manufacturing is greatly reduced. In this paper, an attempt has been made to reduce the human intervention in selection of optimal cutting tool and process parameters for metal cutting applications, using Artificial Intelligence techniques. Generally, the selection of appropriate cutting tool and parameters in metal cutting is carried out by experienced technician/cutting tool expert based on his knowledge base or extensive search from huge cutting tool database. The present proposed approach replaces the existing practice of physical search for tools from the databooks/tool catalogues with intelligent knowledge-based selection system. This system employs artificial intelligence based techniques such as artificial neural networks, fuzzy logic and genetic algorithm for decision making and optimization. This intelligence based optimal tool selection strategy is developed using Mathworks Matlab Version 7.11.0 and implemented. The cutting tool database was obtained from the tool catalogues of different tool manufacturers. This paper discusses in detail, the methodology and strategies employed for selection of appropriate cutting tool and optimization of process parameters based on multi-objective optimization criteria considering material removal rate, tool life and tool cost.

  17. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  18. Annealing of hydrogen-induced defects in RF-plasma-treated Si wafers: ex situ and in situ transmission electron microscopy studies

    International Nuclear Information System (INIS)

    Ghica, C; Nistor, L C; Vizireanu, S; Dinescu, G

    2011-01-01

    The smart-cut(TM) process is based on inducing and processing structural defects below the free surface of semiconductor wafers. The necessary defects are currently induced by implantation of light elements such as hydrogen or helium. An alternative softer way to induce shallow subsurface defects is by RF-plasma hydrogenation. To facilitate the smart-cut process, the wafers containing the induced defects need to be subjected to an appropriate thermal treatment. In our experiments, (0 0 1) Si wafers are submitted to 200 and 50 W hydrogen RF-plasma and are subsequently annealed. The samples are studied by transmission electron microscopy (TEM), before and after annealing. The plasma-introduced defects are {1 1 1} and {1 0 0} planar-like defects and nanocavities, all of them involving hydrogen. Many nanocavities are aligned into strings almost parallel to the wafer surface. The annealing is performed either by furnace thermal treatment at 550 deg. C, or by in situ heating in the electron microscope at 450, 650 and 800 deg. C during the TEM observations. The TEM microstructural studies indicate a partial healing of the planar defects and a size increase of the nanometric cavities by a coalescence process of the small neighbouring nanocavities. By annealing, the lined up nanometric voids forming chains in the as-hydrogenated sample coalesced into well-defined cracks, mostly parallel to the wafer surface.

  19. Cut-off Grade Optimization for Maximizing the Output Rate

    Directory of Open Access Journals (Sweden)

    A. Khodayari

    2012-12-01

    Full Text Available In the open-pit mining, one of the first decisions that must be made in production planning stage, after completing the design of final pit limits, is determining of the processing plant cut-off grade. Since this grade has an essential effect on operations, choosing the optimum cut-off grade is of considerable importance. Different goals may be used for determining optimum cut-off grade. One of these goals may be maximizing the output rate (amount of product per year, which is very important, especially from marketing and market share points of view. Objective of this research is determining the optimum cut-off grade of processing plant in order to maximize output rate. For performing this optimization, an Operations Research (OR model has been developed. The object function of this model is output rate that must be maximized. This model has two operational constraints namely mining and processing restrictions. For solving the model a heuristic method has been developed. Results of research show that the optimum cut-off grade for satisfying pre-stated goal is the balancing grade of mining and processing operations, and maximum production rate is a function of the maximum capacity of processing plant and average grade of ore that according to the above optimum cut-off grade must be sent to the plant.

  20. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    Science.gov (United States)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  1. Ann modeling of kerf transfer in Co2 laser cutting and optimization of cutting parameters using monte carlo method

    Directory of Open Access Journals (Sweden)

    Miloš Madić

    2015-01-01

    Full Text Available In this paper, an attempt has been made to develop a mathematical model in order to study the relationship between laser cutting parameters such as laser power, cutting speed, assist gas pressure and focus position, and kerf taper angle obtained in CO2 laser cutting of AISI 304 stainless steel. To this aim, a single hidden layer artificial neural network (ANN trained with gradient descent with momentum algorithm was used. To obtain an experimental database for the ANN training, laser cutting experiment was planned as per Taguchi’s L27 orthogonal array with three levels for each of the cutting parameters. Statistically assessed as adequate, ANN model was then used to investigate the effect of the laser cutting parameters on the kerf taper angle by generating 2D and 3D plots. It was observed that the kerf taper angle was highly sensitive to the selected laser cutting parameters, as well as their interactions. In addition to modeling, by applying the Monte Carlo method on the developed kerf taper angle ANN model, the near optimal laser cutting parameter settings, which minimize kerf taper angle, were determined.

  2. Thermal stress during RTP processes and its possible effect on the light induced degradation in Cz-Si wafers

    Science.gov (United States)

    Kouhlane, Yacine; Bouhafs, Djoudi; Khelifati, Nabil; Guenda, Abdelkader; Demagh, Nacer-Eddine; Demagh, Assia; Pfeiffer, Pierre; Mezghiche, Salah; Hetatache, Warda; Derkaoui, Fahima; Nasraoui, Chahinez; Nwadiaru, Ogechi Vivian

    2018-04-01

    In this study, the carrier lifetime variation of p-type boron-doped Czochralski silicon (Cz-Si) wafers was investigated after a direct rapid thermal processing (RTP). Two wafers were passivated by silicon nitride (SiNx:H) layers, deposited by a PECVD system on both surfaces. Then the wafers were subjected to an RTP cycle at a peak temperature of 620 °C. The first wafer was protected (PW) from the direct radiative heating of the RTP furnace by placing the wafer between two as-cut Cz-Si shield wafers during the heat processing. The second wafer was not protected (NPW) and followed the same RTP cycle procedure. The carrier lifetime τ eff was measured using the QSSPC technique before and after illumination for 5 h duration at 0.5 suns. The immediate results of the measured lifetime (τ RTP ) after the RTP process have shown a regeneration in the lifetime of the two wafers with the PW wafer exhibiting an important enhancement in τ RTP as compared to the NPW wafer. The QSSPC measurements have indicated a good stable lifetime (τ d ) and a weak degradation effect was observed in the case of the PW wafer as compared to their initial lifetime value. Interferometry technique analyses have shown an enhancement in the surface roughness for the NPW wafer as compared to the protected one. Additionally, to improve the correlation between the RTP heat radiation stress and the carrier lifetime behavior, a simulation of the thermal stress and temperature profile using the finite element method on the wafers surface at RTP peak temperature of 620 °C was performed. The results confirm the reduction of the thermal stress with less heat losses for the PW wafer. Finally, the proposed method can lead to improving the lifetime of wafers by an RTP process at minimum energy costs.

  3. High-power fiber laser cutting parameter optimization for nuclear Decommissioning

    Directory of Open Access Journals (Sweden)

    Ana Beatriz Lopez

    2017-06-01

    Full Text Available For more than 10 years, the laser process has been studied for dismantling work; however, relatively few research works have addressed the effect of high-power fiber laser cutting for thick sections. Since in the nuclear sector, a significant quantity of thick material is required to be cut, this study aims to improve the reliability of laser cutting for such work and indicates guidelines to optimize the cutting procedure, in particular, nozzle combinations (standoff distance and focus position, to minimize waste material. The results obtained show the performance levels that can be reached with 10 kW fiber lasers, using which it is possible to obtain narrower kerfs than those found in published results obtained with other lasers. Nonetheless, fiber lasers appear to show the same effects as those of CO2 and ND:YAG lasers. Thus, the main factor that affects the kerf width is the focal position, which means that minimum laser spot diameters are advised for smaller kerf widths.

  4. High-power fiber laser cutting parameter optimization for nuclear decommissioning

    Energy Technology Data Exchange (ETDEWEB)

    Lopez, Ana Beatriz; Assuncao, Eurico; Quintino, Luisa [IDMEC, Instituto Superior Tecnico, Universidade de Lisboa, Lisboa (Portugal); Khan, Ali; Blackbun, Jonathan [TWI Ltd., Cambridge (United States)

    2017-06-15

    For more than 10 years, the laser process has been studied for dismantling work; however, relatively few research works have addressed the effect of high-power fiber laser cutting for thick sections. Since in the nuclear sector, a significant quantity of thick material is required to be cut, this study aims to improve the reliability of laser cutting for such work and indicates guidelines to optimize the cutting procedure, in particular, nozzle combinations (standoff distance and focus position), to minimize waste material. The results obtained show the performance levels that can be reached with 10 kW fiber lasers, using which it is possible to obtain narrower kerfs than those found in published results obtained with other lasers. Nonetheless, fiber lasers appear to show the same effects as those of CO{sub 2} and ND:YAG lasers. Thus, the main factor that affects the kerf width is the focal position, which means that minimum laser spot diameters are advised for smaller kerf widths.

  5. Study on high-speed cutting parameters optimization of AlMn1Cu based on neural network and genetic algorithm

    Directory of Open Access Journals (Sweden)

    Zhenhua Wang

    2016-04-01

    Full Text Available In this article, the cutting parameters optimization method for aluminum alloy AlMn1Cu in high-speed milling was studied in order to properly select the high-speed cutting parameters. First, a back propagation neural network model for predicting surface roughness of AlMn1Cu was proposed. The prediction model can improve the prediction accuracy and well work out the higher-order nonlinear relationship between surface roughness and cutting parameters. Second, considering the constraints of technical requirements on surface roughness, a mathematical model for optimizing cutting parameters based on the Bayesian neural network prediction model of surface roughness was established so as to obtain the maximum machining efficiency. The genetic algorithm adopting the homogeneous design to initialize population as well as steady-state reproduction without duplicates was also presented. The application indicates that the algorithm can effectively avoid precocity, strengthen global optimization, and increase the calculation efficiency. Finally, a case was presented on the application of the proposed cutting parameters optimization algorithm to optimize the cutting parameters.

  6. Parametric optimization during machining of AISI 304 Austenitic Stainless Steel using CVD coated DURATOMIC cutting insert

    Directory of Open Access Journals (Sweden)

    M. Kaladhar

    2012-08-01

    Full Text Available In this work, Taguchi method is applied to determine the optimum process parameters for turning of AISI 304 austenitic stainless steel on CNC lathe. A Chemical vapour deposition (CVD coated cemented carbide cutting insert is used which is produced by DuratomicTM technology of 0.4 and 0.8 mm nose radii. The tests are conducted at four levels of Cutting speed, feed and depth of cut. The influence of these parameters are investigated on the surface roughness and material removal rate (MRR. The Analysis Of Variance (ANOVA is also used to analyze the influence of cutting parameters during machining. The results revealed that cutting speed significantly (46.05% affected the machined surface roughness values followed by nose radius (23.7%. The influence of the depth of cut (61.31% in affecting material removal rate (MRR is significantly large. The cutting speed (20.40% is the next significant factor. Optimal range and optimal level of parameters are also predicted for responses.

  7. Fabrication of high aspect ratio through-wafer copper interconnects by reverse pulse electroplating

    International Nuclear Information System (INIS)

    Gu, Changdong; Zhang, Tong-Yi; Xu, Hui

    2009-01-01

    This study aims to fabricate high aspect ratio through-wafer copper interconnects by a simple reverse pulse electroplating technique. High aspect-ratio (∼18) through-wafer holes obtained by a two-step deep reactive ion etching (DRIE) process exhibit a taper profile, which might automatically optimize the local current density distribution during the electroplating process, thereby achieving void-free high aspect-ratio copper vias

  8. MEMS packaging with etching and thinning of lid wafer to form lids and expose device wafer bond pads

    Science.gov (United States)

    Chanchani, Rajen; Nordquist, Christopher; Olsson, Roy H; Peterson, Tracy C; Shul, Randy J; Ahlers, Catalina; Plut, Thomas A; Patrizi, Gary A

    2013-12-03

    In wafer-level packaging of microelectromechanical (MEMS) devices a lid wafer is bonded to a MEMS wafer in a predermined aligned relationship. Portions of the lid wafer are removed to separate the lid wafer into lid portions that respectively correspond in alignment with MEMS devices on the MEMS wafer, and to expose areas of the MEMS wafer that respectively contain sets of bond pads respectively coupled to the MEMS devices.

  9. Fabrication of High Aspect Ratio Through-Wafer Vias in CMOS Wafers for 3-D Packaging Applications

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel; Frech, J.; Heschel, M.

    2003-01-01

    A process for fabrication of through-wafer vias in CMOS wafers is presented. The process presented offers simple and well controlled fabrication of through-wafer vias using DRIE formation of wafer through-holes, low temperature deposition of through-hole insulation, doubled sided sputtering of Cr...

  10. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    Science.gov (United States)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  11. Formation of cross-cutting structures with different porosity on thick silicon wafers

    Directory of Open Access Journals (Sweden)

    Vera A. Yuzova

    2017-06-01

    The second type pass-through structures include a macroporous silicon layer with a thickness of 250 μm which interlock in the depth of the silicon wafer to form a cavity with a size of 4–8 μm. For the formation of the second type structures we only used the first one of the abovementioned stages, the etching time being longer, i.e. 210 min. All the etching procedures were carried out in a cooling chamber at 5 °C. The developed technology will provided for easier and more reliable formation of the monolithic structures of membrane-electrode assembly micro fuel cells.

  12. Optimization of Minimum Quantity Lubricant Conditions and Cutting Parameters in Hard Milling of AISI H13 Steel

    Directory of Open Access Journals (Sweden)

    The-Vinh Do

    2016-03-01

    Full Text Available As a successful solution applied to hard machining, the minimum quantity lubricant (MQL has already been established as an alternative to flood coolant processing. The optimization of MQL parameters and cutting parameters under MQL condition are essential and pressing. The study was divided into two parts. In the first part of this study, the Taguchi method was applied to find the optimal values of MQL condition in the hard milling of AISI H13 with consideration of reduced surface roughness. The L9 orthogonal array, the signal-to-noise (S/N ratio and analysis of variance (ANOVA were employed to analyze the effect of the performance characteristics of MQL parameters (i.e., cutting fluid type, pressure, and fluid flow on good surface finish. In the results section, lubricant and pressure of MQL condition are determined to be the most influential factors which give a statistically significant effect on machined surfaces. A verifiable experiment was conducted to demonstrate the reliability of the results. In the second section, the optimized MQL parameters were applied in a series of experiments to find out cutting parameters of hard milling. The Taguchi method was also used to optimize the cutting parameters in order to obtain the best surface roughness. The design of the experiment (DOE was implemented by using the L27 orthogonal array. Based on an analysis of the signal-to-noise response and ANOVA, the optimal values of cutting parameters (i.e., cutting speed, feed rate, depth-of-cut and hardness of workpiece were introduced. The results of the present work indicate feed rate is the factor having the most effect on surface roughness.

  13. Electrical Interconnections Through CMOS Wafers

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel

    2003-01-01

    Chips with integrated vias are currently the ultimate miniaturizing solution for 3D packaging of microsystems. Previously the application of vias has almost exclusively been demonstrated within MEMS technology, and only a few of these via technologies have been CMOS compatible. This thesis...... describes the development of vias through a silicon wafer containing Complementary Metal-Oxide Semiconductor (CMOS) circuitry. Two via technologies have been developed and fabricated in blank silicon wafers; one based on KOH etching of wafer through-holes and one based on DRIE of wafer through......-holes. The most promising of these technologies --- the DRIE based process --- has been implemented in CMOS wafers containing hearing aid amplifiers. The main challenges in the development of a CMOS compatible via process depend on the chosen process for etching of wafer through-holes. In the case of KOH etching...

  14. Microemulsion-Based Mucoadhesive Buccal Wafers: Wafer Formation, In Vitro Release, and Ex Vivo Evaluation.

    Science.gov (United States)

    Pham, Minh Nguyet; Van Vo, Toi; Tran, Van-Thanh; Tran, Phuong Ha-Lien; Tran, Thao Truong-Dinh

    2017-10-01

    Microemulsion has the potentials to enhance dissolution as well as facilitate absorption and permeation of poorly water-soluble drugs through biological membranes. However, its application to govern a controlled release buccal delivery for local treatment has not been discovered. The aim of this study is to develop microemulsion-based mucoadhesive wafers for buccal delivery based on an incorporation of the microemulsion with mucoadhesive agents and mannitol. Ratio of oil to surfactant to water in the microemulsion significantly impacted quality of the wafers. Furthermore, the combination of carbopol and mannitol played a key role in forming the desired buccal wafers. The addition of an extra 50% of water to the formulation was suitable for wafer formation by freeze-drying, which affected the appearance and distribution of carbopol in the wafers. The amount of carbopol was critical for the enhancement of mucoadhesive properties and the sustained drug release patterns. Release study presented a significant improvement of the drug release profile following sustained release for 6 h. Ex vivo mucoadhesive studies provided decisive evidence to the increased retention time of wafers along with the increased carbopol content. The success of this study indicates an encouraging strategy to formulate a controlled drug delivery system by incorporating microemulsions into mucoadhesive wafers.

  15. Optimization of CO2 laser cutting parameters on Austenitic type Stainless steel sheet

    Science.gov (United States)

    Parthiban, A.; Sathish, S.; Chandrasekaran, M.; Ravikumar, R.

    2017-03-01

    Thin AISI 316L stainless steel sheet widely used in sheet metal processing industries for specific applications. CO2 laser cutting is one of the most popular sheet metal cutting processes for cutting of sheets in different profile. In present work various cutting parameters such as laser power (2000 watts-4000 watts), cutting speed (3500mm/min - 5500 mm/min) and assist gas pressure (0.7 Mpa-0.9Mpa) for cutting of AISI 316L 2mm thickness stainless sheet. This experimentation was conducted based on Box-Behenken design. The aim of this work is to develop a mathematical model kerf width for straight and curved profile through response surface methodology. The developed mathematical models for straight and curved profile have been compared. The Quadratic models have the best agreement with experimental data, and also the shape of the profile a substantial role in achieving to minimize the kerf width. Finally the numerical optimization technique has been used to find out best optimum laser cutting parameter for both straight and curved profile cut.

  16. Quantum approximate optimization algorithm for MaxCut: A fermionic view

    Science.gov (United States)

    Wang, Zhihui; Hadfield, Stuart; Jiang, Zhang; Rieffel, Eleanor G.

    2018-02-01

    Farhi et al. recently proposed a class of quantum algorithms, the quantum approximate optimization algorithm (QAOA), for approximately solving combinatorial optimization problems (E. Farhi et al., arXiv:1411.4028; arXiv:1412.6062; arXiv:1602.07674). A level-p QAOA circuit consists of p steps; in each step a classical Hamiltonian, derived from the cost function, is applied followed by a mixing Hamiltonian. The 2 p times for which these two Hamiltonians are applied are the parameters of the algorithm, which are to be optimized classically for the best performance. As p increases, parameter optimization becomes inefficient due to the curse of dimensionality. The success of the QAOA approach will depend, in part, on finding effective parameter-setting strategies. Here we analytically and numerically study parameter setting for the QAOA applied to MaxCut. For the level-1 QAOA, we derive an analytical expression for a general graph. In principle, expressions for higher p could be derived, but the number of terms quickly becomes prohibitive. For a special case of MaxCut, the "ring of disagrees," or the one-dimensional antiferromagnetic ring, we provide an analysis for an arbitrarily high level. Using a fermionic representation, the evolution of the system under the QAOA translates into quantum control of an ensemble of independent spins. This treatment enables us to obtain analytical expressions for the performance of the QAOA for any p . It also greatly simplifies the numerical search for the optimal values of the parameters. By exploring symmetries, we identify a lower-dimensional submanifold of interest; the search effort can be accordingly reduced. This analysis also explains an observed symmetry in the optimal parameter values. Further, we numerically investigate the parameter landscape and show that it is a simple one in the sense of having no local optima.

  17. High throughput batch wafer handler for 100 to 200 mm wafers

    International Nuclear Information System (INIS)

    Rathmell, R.D.; Raatz, J.E.; Becker, B.L.; Kitchen, R.L.; Luck, T.R.; Decker, J.H.

    1989-01-01

    A new batch processing end station for ion implantation has been developed for wafers of 100 to 200 mm diameter. It usilizes a spinning disk with clampless wafer support. All wafer transport is done with backside handling and is carried out in vacuum. This end station incorporates a new dose control scheme which is able to monitor the incident particle current independently of the charge state of the ions. This technique prevents errors which may be caused by charge exchange between the beam and residual gas. The design and features of this system will be reviewed and the performance to date will be presented. (orig.)

  18. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  19. A non-linear branch and cut method for solving discrete minimum compliance problems to global optimality

    DEFF Research Database (Denmark)

    Stolpe, Mathias; Bendsøe, Martin P.

    2007-01-01

    This paper present some initial results pertaining to a search for globally optimal solutions to a challenging benchmark example proposed by Zhou and Rozvany. This means that we are dealing with global optimization of the classical single load minimum compliance topology design problem with a fixed...... finite element discretization and with discrete design variables. Global optimality is achieved by the implementation of some specially constructed convergent nonlinear branch and cut methods, based on the use of natural relaxations and by applying strengthening constraints (linear valid inequalities......) and cuts....

  20. Optimizing selective cutting strategies for maximum carbon stocks and yield of Moso bamboo forest using BIOME-BGC model.

    Science.gov (United States)

    Mao, Fangjie; Zhou, Guomo; Li, Pingheng; Du, Huaqiang; Xu, Xiaojun; Shi, Yongjun; Mo, Lufeng; Zhou, Yufeng; Tu, Guoqing

    2017-04-15

    The selective cutting method currently used in Moso bamboo forests has resulted in a reduction of stand productivity and carbon sequestration capacity. Given the time and labor expense involved in addressing this problem manually, simulation using an ecosystem model is the most suitable approach. The BIOME-BGC model was improved to suit managed Moso bamboo forests, which was adapted to include age structure, specific ecological processes and management measures of Moso bamboo forest. A field selective cutting experiment was done in nine plots with three cutting intensities (high-intensity, moderate-intensity and low-intensity) during 2010-2013, and biomass of these plots was measured for model validation. Then four selective cutting scenarios were simulated by the improved BIOME-BGC model to optimize the selective cutting timings, intervals, retained ages and intensities. The improved model matched the observed aboveground carbon density and yield of different plots, with a range of relative error from 9.83% to 15.74%. The results of different selective cutting scenarios suggested that the optimal selective cutting measure should be cutting 30% culms of age 6, 80% culms of age 7, and all culms thereafter (above age 8) in winter every other year. The vegetation carbon density and harvested carbon density of this selective cutting method can increase by 74.63% and 21.5%, respectively, compared with the current selective cutting measure. The optimized selective cutting measure developed in this study can significantly promote carbon density, yield, and carbon sink capacity in Moso bamboo forests. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. Water saving in IC wafer washing process; IC wafer senjo deno sessui taisaku

    Energy Technology Data Exchange (ETDEWEB)

    Harada, H. [Mitsubishi Corp., Tokyo (Japan); Araki, M.; Nakazawa, T.

    1997-11-30

    This paper reports features of a wafer washing technology, a new IC wafer washing process, its pure water saving effect, and a `QC washing` which has pure water saving effect in the wafer washing. Wafer washing processes generally include the SC1 process (using ammonia + hydrogen peroxide aqueous solution) purposed for removing contamination due to ultrafine particles, the SC2 process (using hydrochloric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to heavy metals, the piranha washing process (using hot sulfuric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to organic matters, and the DHF (using dilute hydrofluoric acid) purposed for removing natural oxide films. Natural oxide films are now remained as surface protection films, by which surface contamination has been reduced remarkably. A high-temperature washing chemical circulating and filtering technology developed in Japan has brought about a reform in wafer washing processes having been used previously. Spin washing is used as a water saving measure, in which washing chemicals or pure water are sprayed onto one each of wafers which is spin-rotated, allowing washing and rinsing to be made with small amount of washing chemicals and pure water. The QC washing is a method to replace tank interior with pure was as quick as possible in order to increase the rinsing effect. 7 refs., 5 figs.

  2. Chemical strategies for modifications of the solar cell process, from wafering to emitter diffusion; Chemische Ansaetze zur Neuordnung des Solarzellenprozesses ausgehend vom Wafering bis hin zur Emitterdiffusion

    Energy Technology Data Exchange (ETDEWEB)

    Mayer, Kuno

    2009-11-06

    The paper describes the classic standard industrial solar cell based on monocrystalline silicon and describes new methods of fabrication. The first is an alternative wafering concept using laser microjet cutting instead of multiwire cutting. This method originally uses pure, deionized water; it was modified so that the liquid jet will not only be a liquid light conductor but also a transport medium for etching fluids supporting thermal abrasion of silicon by the laser jet. Two etching fluids were tested experimentally; it was found that water-free fluids based on perfluorinated solvents with very slight additions of gaseous chlorine are superior to all other options. In the second section, the wet chemical process steps between wafering and emitter diffusion (i.e. the first high-temperature step) was to be modified. Alternatives to 2-propanol were to be found in the experimental part. Purification after texturing was to be rationalized in order to reduce the process cost, either by using less chemical substances or by achieving shorter process times. 1-pentanol and p-toluolsulfonic acid were identified as two potential alternatives to 2-propanol as texture additives. Finally, it could be shown that wire-cut substrates processed with the new texturing agents have higher mechanical stabilities than substrates used with the classic texturing agent 2-propanol. [German] Im ersten Kapitel wird die klassische Standard-Industrie-Solarzelle auf der Basis monokristallinen Siliziums vorgestellt. Der bisherige Herstellungsprozess der Standard-Industrie-Solarzelle, der in wesentlichen Teilen darauf abzielt, diese Verluste zu minimieren, dient als Referenz fuer die Entwicklung neuer Fertigungsverfahren, wie sie in dieser Arbeit vorgestellt werden. Den ersten thematischen Schwerpunkt bildet die Entwicklung eines alternativen Wafering-Konzeptes zum Multi-Drahtsaegen. Die Basis des neuen, hier vorgestellten Wafering-Prozesses bildet das Laser-Micro-Jet-Verfahren. Dieses System

  3. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  4. Mathematical simulation and optimization of cutting mode in turning of workpieces made of nickel-based heat-resistant alloy

    Science.gov (United States)

    Bogoljubova, M. N.; Afonasov, A. I.; Kozlov, B. N.; Shavdurov, D. E.

    2018-05-01

    A predictive simulation technique of optimal cutting modes in the turning of workpieces made of nickel-based heat-resistant alloys, different from the well-known ones, is proposed. The impact of various factors on the cutting process with the purpose of determining optimal parameters of machining in concordance with certain effectiveness criteria is analyzed in the paper. A mathematical model of optimization, algorithms and computer programmes, visual graphical forms reflecting dependences of the effectiveness criteria – productivity, net cost, and tool life on parameters of the technological process - have been worked out. A nonlinear model for multidimensional functions, “solution of the equation with multiple unknowns”, “a coordinate descent method” and heuristic algorithms are accepted to solve the problem of optimization of cutting mode parameters. Research shows that in machining of workpieces made from heat-resistant alloy AISI N07263, the highest possible productivity will be achieved with the following parameters: cutting speed v = 22.1 m/min., feed rate s=0.26 mm/rev; tool life T = 18 min.; net cost – 2.45 per hour.

  5. X-ray analytics for 450-mm wafer; Roentgenanalytik fuer 450-mm-Wafer

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2014-09-15

    The introduction of the 450-mm technology in the wafer fabrication and the further reduction of critical dimensions requires improved X-ray analysis methods. Therefor the PTB has concipated a metrology chamber for the characterization of 450-mm wafers, the crucial element of which is a multi-axis patent-pending manipulator.

  6. Comparative study for different statistical models to optimize cutting parameters of CNC end milling machines

    International Nuclear Information System (INIS)

    El-Berry, A.; El-Berry, A.; Al-Bossly, A.

    2010-01-01

    In machining operation, the quality of surface finish is an important requirement for many work pieces. Thus, that is very important to optimize cutting parameters for controlling the required manufacturing quality. Surface roughness parameter (Ra) in mechanical parts depends on turning parameters during the turning process. In the development of predictive models, cutting parameters of feed, cutting speed, depth of cut, are considered as model variables. For this purpose, this study focuses on comparing various machining experiments which using CNC vertical machining center, work pieces was aluminum 6061. Multiple regression models are used to predict the surface roughness at different experiments.

  7. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  8. Carbon dioxide capture using resin-wafer electrodeionization

    Science.gov (United States)

    Lin, YuPo J.; Snyder, Seth W.; Trachtenberg, Michael S.; Cowan, Robert M.; Datta, Saurav

    2015-09-08

    The present invention provides a resin-wafer electrodeionization (RW-EDI) apparatus including cathode and anode electrodes separated by a plurality of porous solid ion exchange resin wafers, which when in use are filled with an aqueous fluid. The apparatus includes one or more wafers comprising a basic ion exchange medium, and preferably includes one or more wafers comprising an acidic ion exchange medium. The wafers are separated from one another by ion exchange membranes. The fluid within the acidic and/or basic ion exchange wafers preferably includes, or is in contact with, a carbonic anhydrase (CA) enzyme to facilitate conversion of bicarbonate ion to carbon dioxide within the acidic medium. A pH suitable for exchange of CO.sub.2 is electrochemically maintained within the basic and acidic ion exchange wafers by applying an electric potential across the cathode and anode.

  9. Methane production using resin-wafer electrodeionization

    Science.gov (United States)

    Snyder, Seth W; Lin, YuPo; Urgun-Demirtas, Meltem

    2014-03-25

    The present invention provides an efficient method for creating natural gas including the anaerobic digestion of biomass to form biogas, and the electrodeionization of biogas to form natural gas and carbon dioxide using a resin-wafer deionization (RW-EDI) system. The method may be further modified to include a wastewater treatment system and can include a chemical conditioning/dewatering system after the anaerobic digestion system. The RW-EDI system, which includes a cathode and an anode, can either comprise at least one pair of wafers, each a basic and acidic wafer, or at least one wafer comprising of a basic portion and an acidic portion. A final embodiment of the RW-EDI system can include only one basic wafer for creating natural gas.

  10. Determining the optimal system-specific cut-off frequencies for filtering in-vitro upper extremity impact force and acceleration data by residual analysis.

    Science.gov (United States)

    Burkhart, Timothy A; Dunning, Cynthia E; Andrews, David M

    2011-10-13

    The fundamental nature of impact testing requires a cautious approach to signal processing, to minimize noise while preserving important signal information. However, few recommendations exist regarding the most suitable filter frequency cut-offs to achieve these goals. Therefore, the purpose of this investigation is twofold: to illustrate how residual analysis can be utilized to quantify optimal system-specific filter cut-off frequencies for force, moment, and acceleration data resulting from in-vitro upper extremity impacts, and to show how optimal cut-off frequencies can vary based on impact condition intensity. Eight human cadaver radii specimens were impacted with a pneumatic impact testing device at impact energies that increased from 20J, in 10J increments, until fracture occurred. The optimal filter cut-off frequency for pre-fracture and fracture trials was determined with a residual analysis performed on all force and acceleration waveforms. Force and acceleration data were filtered with a dual pass, 4th order Butterworth filter at each of 14 different cut-off values ranging from 60Hz to 1500Hz. Mean (SD) pre-fracture and fracture optimal cut-off frequencies for the force variables were 605.8 (82.7)Hz and 513.9 (79.5)Hz, respectively. Differences in the optimal cut-off frequency were also found between signals (e.g. Fx (medial-lateral), Fy (superior-inferior), Fz (anterior-posterior)) within the same test. These optimal cut-off frequencies do not universally agree with the recommendations of filtering all upper extremity impact data using a cut-off frequency of 600Hz. This highlights the importance of quantifying the filter frequency cut-offs specific to the instrumentation and experimental set-up. Improper digital filtering may lead to erroneous results and a lack of standardized approaches makes it difficult to compare findings of in-vitro dynamic testing between laboratories. Copyright © 2011 Elsevier Ltd. All rights reserved.

  11. Modelling and Bi-objective Optimization of Soil Cutting and Pushing Process for Bulldozer and its Blade

    Science.gov (United States)

    Barakat, Nada; Sharma, Deepak

    2017-12-01

    Bulldozer is an earth moving machine, which is mainly used for cutting and pushing soil. The process of soil cutting and pushing involves various decisions making to make it optimum. The decisions are generally made based on the experience of practitioners that may not be optimum for different working conditions. In this paper, a bi-objective optimization problem is modelled so that the optimum values of decision variables can be determined. The objective functions are proposed to make the process economic and productive by minimizing the cutting force on a bulldozer blade and maximizing the blade capacity. A constraint is also developed on the power requirement from a bulldozer to overcome resistance. The problem is solved using ɛ-constraint method and multi-objective genetic algorithm. The approximate Pareto-optimal solutions and their perturbation analysis are presented. Various relationships are evolved from the post-optimal analysis that can be used for making guidelines for decision making for the process. The originality of this paper lies in developing the bi-objective formulation and in presenting various relationships by the post-optimal analysis, which has sparingly done in the domain literature.

  12. Optimization of cutting parameters in CNC turning of stainless steel 304 with TiAlN nano coated carbide cutting tool

    Science.gov (United States)

    Durga Prasada Rao, V.; Harsha, N.; Raghu Ram, N. S.; Navya Geethika, V.

    2018-02-01

    In this work, turning was performed to optimize the surface finish or roughness (Ra) of stainless steel 304 with uncoated and coated carbide tools under dry conditions. The carbide tools were coated with Titanium Aluminium Nitride (TiAlN) nano coating using Physical Vapour Deposition (PVD) method. The machining parameters, viz., cutting speed, depth of cut and feed rate which show major impact on Ra are considered during turning. The experiments are designed as per Taguchi orthogonal array and machining process is done accordingly. Then second-order regression equations have been developed on the basis of experimental results for Ra in terms of machining parameters used. Regarding the effect of machining parameters, an upward trend is observed in Ra with respect to feed rate, and as cutting speed increases the Ra value increased slightly due to chatter and vibrations. The adequacy of response variable (Ra) is tested by conducting additional experiments. The predicted Ra values are found to be a close match of their corresponding experimental values of uncoated and coated tools. The corresponding average % errors are found to be within the acceptable limits. Then the surface roughness equations of uncoated and coated tools are set as the objectives of optimization problem and are solved by using Differential Evolution (DE) algorithm. Also the tool lives of uncoated and coated tools are predicted by using Taylor’s tool life equation.

  13. Optimization of cutting parameters for machining time in turning process

    Science.gov (United States)

    Mavliutov, A. R.; Zlotnikov, E. G.

    2018-03-01

    This paper describes the most effective methods for nonlinear constraint optimization of cutting parameters in the turning process. Among them are Linearization Programming Method with Dual-Simplex algorithm, Interior Point method, and Augmented Lagrangian Genetic Algorithm (ALGA). Every each of them is tested on an actual example – the minimization of production rate in turning process. The computation was conducted in the MATLAB environment. The comparative results obtained from the application of these methods show: The optimal value of the linearized objective and the original function are the same. ALGA gives sufficiently accurate values, however, when the algorithm uses the Hybrid function with Interior Point algorithm, the resulted values have the maximal accuracy.

  14. Application of genetic algorithm in modeling on-wafer inductors for up to 110 Ghz

    Science.gov (United States)

    Liu, Nianhong; Fu, Jun; Liu, Hui; Cui, Wenpu; Liu, Zhihong; Liu, Linlin; Zhou, Wei; Wang, Quan; Guo, Ao

    2018-05-01

    In this work, the genetic algorithm has been introducted into parameter extraction for on-wafer inductors for up to 110 GHz millimeter-wave operations, and nine independent parameters of the equivalent circuit model are optimized together. With the genetic algorithm, the model with the optimized parameters gives a better fitting accuracy than the preliminary parameters without optimization. Especially, the fitting accuracy of the Q value achieves a significant improvement after the optimization.

  15. Cost-Efficient Wafer-Level Capping for MEMS and Imaging Sensors by Adhesive Wafer Bonding

    Directory of Open Access Journals (Sweden)

    Simon J. Bleiker

    2016-10-01

    Full Text Available Device encapsulation and packaging often constitutes a substantial part of the fabrication cost of micro electro-mechanical systems (MEMS transducers and imaging sensor devices. In this paper, we propose a simple and cost-effective wafer-level capping method that utilizes a limited number of highly standardized process steps as well as low-cost materials. The proposed capping process is based on low-temperature adhesive wafer bonding, which ensures full complementary metal-oxide-semiconductor (CMOS compatibility. All necessary fabrication steps for the wafer bonding, such as cavity formation and deposition of the adhesive, are performed on the capping substrate. The polymer adhesive is deposited by spray-coating on the capping wafer containing the cavities. Thus, no lithographic patterning of the polymer adhesive is needed, and material waste is minimized. Furthermore, this process does not require any additional fabrication steps on the device wafer, which lowers the process complexity and fabrication costs. We demonstrate the proposed capping method by packaging two different MEMS devices. The two MEMS devices include a vibration sensor and an acceleration switch, which employ two different electrical interconnection schemes. The experimental results show wafer-level capping with excellent bond quality due to the re-flow behavior of the polymer adhesive. No impediment to the functionality of the MEMS devices was observed, which indicates that the encapsulation does not introduce significant tensile nor compressive stresses. Thus, we present a highly versatile, robust, and cost-efficient capping method for components such as MEMS and imaging sensors.

  16. Modeling and Simulated Annealing Optimization of Surface Roughness in CO2 Laser Nitrogen Cutting of Stainless Steel

    Directory of Open Access Journals (Sweden)

    M. Madić

    2013-09-01

    Full Text Available This paper presents a systematic methodology for empirical modeling and optimization of surface roughness in nitrogen, CO2 laser cutting of stainless steel . The surface roughness prediction model was developed in terms of laser power , cutting speed , assist gas pressure and focus position by using The artificial neural network ( ANN . To cover a wider range of laser cutting parameters and obtain an experimental database for the ANN model development, Taguchi 's L27 orthogonal array was implemented in the experimental plan. The developed ANN model was expressed as an explicit nonlinear function , while the influence of laser cutting parameters and their interactions on surface roughness were analyzed by generating 2D and 3D plots . The final goal of the experimental study Focuses on the determinationof the optimum laser cutting parameters for the minimization of surface roughness . Since the solution space of the developed ANN model is complex, and the possibility of many local solutions is great, simulated annealing (SA was selected as a method for the optimization of surface roughness.

  17. Comparative Assessment of Cutting Inserts and Optimization during Hard Turning: Taguchi-Based Grey Relational Analysis

    Science.gov (United States)

    Venkata Subbaiah, K.; Raju, Ch.; Suresh, Ch.

    2017-08-01

    The present study aims to compare the conventional cutting inserts with wiper cutting inserts during the hard turning of AISI 4340 steel at different workpiece hardness. Type of insert, hardness, cutting speed, feed, and depth of cut are taken as process parameters. Taguchi’s L18 orthogonal array was used to conduct the experimental tests. Parametric analysis carried in order to know the influence of each process parameter on the three important Surface Roughness Characteristics (Ra, Rz, and Rt) and Material Removal Rate. Taguchi based Grey Relational Analysis (GRA) used to optimize the process parameters for individual response and multi-response outputs. Additionally, the analysis of variance (ANOVA) is also applied to identify the most significant factor.

  18. Optimization of Dimensional accuracy in plasma arc cutting process employing parametric modelling approach

    Science.gov (United States)

    Naik, Deepak kumar; Maity, K. P.

    2018-03-01

    Plasma arc cutting (PAC) is a high temperature thermal cutting process employed for the cutting of extensively high strength material which are difficult to cut through any other manufacturing process. This process involves high energized plasma arc to cut any conducting material with better dimensional accuracy in lesser time. This research work presents the effect of process parameter on to the dimensional accuracy of PAC process. The input process parameters were selected as arc voltage, standoff distance and cutting speed. A rectangular plate of 304L stainless steel of 10 mm thickness was taken for the experiment as a workpiece. Stainless steel is very extensively used material in manufacturing industries. Linear dimension were measured following Taguchi’s L16 orthogonal array design approach. Three levels were selected to conduct the experiment for each of the process parameter. In all experiments, clockwise cut direction was followed. The result obtained thorough measurement is further analyzed. Analysis of variance (ANOVA) and Analysis of means (ANOM) were performed to evaluate the effect of each process parameter. ANOVA analysis reveals the effect of input process parameter upon leaner dimension in X axis. The results of the work shows that the optimal setting of process parameter values for the leaner dimension on the X axis. The result of the investigations clearly show that the specific range of input process parameter achieved the improved machinability.

  19. STATISTICAL APPROACH FOR MULTI CRITERIA OPTIMIZATION OF CUTTING PARAMETERS OF TURNING ON HEAT TREATED BERYLLIUM COPPER ALLOY

    Directory of Open Access Journals (Sweden)

    K. DEVAKI DEVI

    2017-08-01

    Full Text Available In machining operations, achieving desired performance features of the machined product, is really a challenging job. Because, these quality features are highly correlated and are expected to be influenced directly or indirectly by the direct effect of process parameters or their interactive effects. This paper presents effective method and to determine optimal machining parameters in a turning operation on heat treated Beryllium copper alloy to minimize the surface roughness, cutting forces and work tool interface temperature along with the maximization of metal removal rate. The scope of this work is extended to Multi Objective Optimization. Response Surface Methodology is opted for preparing the design matrix, generating ANOVA, and optimization. A powerful model would be obtained with high accuracy to analyse the effect of each parameter on the output. The input parameters considered in this work are cutting speed, feed, depth of cut, work material (Annealed and Hardened and tool material (CBN and HSS.

  20. Temperature Dependent Electrical Properties of PZT Wafer

    Science.gov (United States)

    Basu, T.; Sen, S.; Seal, A.; Sen, A.

    2016-04-01

    The electrical and electromechanical properties of lead zirconate titanate (PZT) wafers were investigated and compared with PZT bulk. PZT wafers were prepared by tape casting technique. The transition temperature of both the PZT forms remained the same. The transition from an asymmetric to a symmetric shape was observed for PZT wafers at higher temperature. The piezoelectric coefficient (d 33) values obtained were 560 pc/N and 234 pc/N, and the electromechanical coupling coefficient (k p) values were 0.68 and 0.49 for bulk and wafer, respectively. The reduction in polarization after fatigue was only ~3% in case of PZT bulk and ~7% for PZT wafer.

  1. Porous solid ion exchange wafer for immobilizing biomolecules

    Science.gov (United States)

    Arora, Michelle B.; Hestekin, Jamie A.; Lin, YuPo J.; St. Martin, Edward J.; Snyder, Seth W.

    2007-12-11

    A porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer. Also disclosed is a porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer containing a biomolecule with a tag. A separate bioreactor is also disclosed incorporating the wafer described above.

  2. Laser Cutting Tool Path Optimization

    OpenAIRE

    Dewil, Reginald; Cattrysse, Dirk; Vansteenwegen, Pieter

    2011-01-01

    Given a set of irregular parts nested on a metal sheet, minimize the total non- cutting time for the cutter head, cutting all the required elements and returning to the starting location. The problem is modeled as a generalized traveling sales- person problem with special precedence constraints. An initial feasible solution is generated and improved by local moves embedded in a tabu search framework. The proposed algorithm shows promising results in comparison with a commercial...

  3. Wafer bonding applications and technology

    CERN Document Server

    Gösele, Ulrich

    2004-01-01

    During the past decade direct wafer bonding has developed into a mature materials integration technology. This book presents state-of-the-art reviews of the most important applications of wafer bonding written by experts from industry and academia. The topics include bonding-based fabrication methods of silicon-on-insulator, photonic crystals, VCSELs, SiGe-based FETs, MEMS together with hybrid integration and laser lift-off. The non-specialist will learn about the basics of wafer bonding and its various application areas, while the researcher in the field will find up-to-date information about this fast-moving area, including relevant patent information.

  4. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  5. Wafer-level manufacturing technology of glass microlenses

    Science.gov (United States)

    Gossner, U.; Hoeftmann, T.; Wieland, R.; Hansch, W.

    2014-08-01

    In high-tech products, there is an increasing demand to integrate glass lenses into complex micro systems. Especially in the lighting industry LEDs and laser diodes used for automotive applications require encapsulated micro lenses. To enable low-cost production, manufacturing of micro lenses on wafer level base using a replication technology is a key technology. This requires accurate forming of thousands of lenses with a diameter of 1-2 mm on a 200 mm wafer compliant with mass production. The article will discuss the technical aspects of a lens manufacturing replication process and the challenges, which need to be solved: choice of an appropriate master for replication, thermally robust interlayer coating, choice of replica glass, bonding and separation procedure. A promising approach for the master substrate material is based on a lens structured high-quality glass wafer with high melting point covered by a coating layer of amorphous silicon or germanium. This layer serves as an interlayer for the glass bonding process. Low pressure chemical vapor deposition and plasma enhanced chemical vapor deposition processes allow a deposition of layer coatings with different hydrogen and doping content influencing their chemical and physical behavior. A time reduced molding process using a float glass enables the formation of high quality lenses while preserving the recyclability of the mother substrate. The challenge is the separation of the replica from the master mold. An overview of chemical methods based on optimized etching of coating layer through small channels will be given and the impact of glass etching on surface roughness is discussed.

  6. Assessment of Electrodes Prepared from Wafers of Boron-doped Diamond for the Electrochemical Oxidation of Waste Lubricants

    International Nuclear Information System (INIS)

    Taylor, G.T.; Sullivan, I.A.; Newey, A.W.E.

    2006-01-01

    Electrochemical oxidation using boron-doped diamond electrodes is being investigated as a treatment process for radioactively contaminated oily wastes. Previously, it was shown that electrodes coated with a thin film of diamond were able to oxidise a cutting oil but not a mineral oil. These tests were inconclusive, because the electrodes lost their diamond coating during operation. Accordingly, an electrode prepared from a 'solid' wafer of boron-doped diamond is being investigated to determine whether it will oxidise mineral oils. The electrode has been tested with sucrose, a cutting oil and an emulsified mineral oil. Before and after each test, the state of the electrode was assessed by cyclic voltammetry with the ferro/ferricyanide redox couple. Analysis of the cyclic voltammogram suggested that material accumulated on the surface of the electrode during the tests. The magnitude of the effect was in the order: - emulsified mineral oil > cutting oil > sucrose. Despite this, the results indicated that the electrode was capable of oxidising the emulsified mineral oil. Confirmatory tests were undertaken in the presence of alkali to trap the carbon dioxide, but they had to be abandoned when the adhesive holding the diamond in the electrode was attacked by the alkali. Etching of the diamond wafer was also observed at the end of the tests. Surface corrosion is now regarded as an intrinsic part of the electrochemical oxidation on diamond, and it is expected that the rate of attack will determine the service life of the electrodes. (authors)

  7. Modeling and Simulated Annealing Optimization of Surface Roughness in CO2 Laser Nitrogen Cutting of Stainless Steel

    OpenAIRE

    M. Madić; M. Radovanović; B. Nedić

    2013-01-01

    This paper presents a systematic methodology for empirical modeling and optimization of surface roughness in nitrogen, CO2 laser cutting of stainless steel . The surface roughness prediction model was developed in terms of laser power , cutting speed , assist gas pressure and focus position by using The artificial neural network ( ANN ) . To cover a wider range of laser cutting parameters and obtain an experimental database for the ANN model development, Taguchi 's L27 orthogonal array was im...

  8. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  9. Energy dispersion of x-ray continua in the energy range 9kev to 19kev refraction on Si wafers

    International Nuclear Information System (INIS)

    Ebel, H.; Streli, C.; Pepponi, G.; Wobrauschek, P.

    2000-01-01

    Total reflection of x-rays in matter at given grazing incidence angle is characterized by the occurrence of an energy cut-off. Photons with energies greater than the cut-off energy penetrate into matter and are refracted according to a transition from the optically more dense to the optically less dense medium. Since the refractive index depends on photon energy, an energy dispersion of continuous x-radiation is observed. The present investigation is dedicated to the energy dispersion of continuous x-radiation (Mo, 45 kV) by Si wafers. Theory and experimental results are in excellent agreement. (author)

  10. Wafer-Level Vacuum Packaging of Smart Sensors.

    Science.gov (United States)

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  11. Wafer-Level Vacuum Packaging of Smart Sensors

    Directory of Open Access Journals (Sweden)

    Allan Hilton

    2016-10-01

    Full Text Available The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  12. Size of silicon strip sensor from 6 inch wafer (right) compared to that from a 4 inch wafer (left).

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Silicon strip sensors made from 6 inch wafers will allow for much larger surface area coverage at a reduced cost per unit surface area. A prototype sensor of size 8cm x 11cm made by Hamamatsu from a 6 inch wafer is shown next to a traditional 6cm x 6cm sensor from a 4 inch wafer.

  13. Shutdown and degradation: Optimization of thermal cutting processes for the dismantling of nuclear facilities. Final report

    International Nuclear Information System (INIS)

    Schultz, H.; Hammer, G.; Hampe, A.; Homburg, A.

    1996-01-01

    Cutting processes are required for the dismantling of nuclear facilities which emit only a minimum of contaminated material in the form of shavings, sparks, dust, steam concentrate etc. and equipment which is easy to handle and can be remote controlled. A check of the usual mechanical, thermal and thermo-mechanical cutting procedures showed to what varying extent they are suitable for these tasks. Also the laser beam cutting was able to reduce the material discharge by optimal joints. For the investigation, the plasma cutting and the laser beam cutting were used with the aim of reducing considerably the material discharge by changing the adjust and device setting data for theses cases. The adapting of the speed and the amounts of gas turned out to be effective measures in reducing discharge. Adhesion of metal mass and slag in the joint edge could be achieved with aggressive bearth formation. The expectations made of the project could be fulfilled and process parameters for a pollutant optimised cutting determined. (orig.) [de

  14. Taguchi Optimization of Cutting Parameters in Turning AISI 1020 MS with M2 HSS Tool

    Science.gov (United States)

    Sonowal, Dharindom; Sarma, Dhrupad; Bakul Barua, Parimal; Nath, Thuleswar

    2017-08-01

    In this paper the effect of three cutting parameters viz. Spindle speed, Feed and Depth of Cut on surface roughness of AISI 1020 mild steel bar in turning was investigated and optimized to obtain minimum surface roughness. All the experiments are conducted on HMT LB25 lathe machine using M2 HSS cutting tool. Ranges of parameters of interest have been decided through some preliminary experimentation (One Factor At a Time experiments). Finally a combined experiment has been carried out using Taguchi’s L27 Orthogonal Array (OA) to study the main effect and interaction effect of the all three parameters. The experimental results were analyzed with raw data ANOVA (Analysis of Variance) and S/N data (Signal to Noise ratio) ANOVA. Results show that Spindle speed, Feed and Depth of Cut have significant effects on both mean and variation of surface roughness in turning AISI 1020 mild steel. Mild two factors interactions are observed among the aforesaid factors with significant effects only on the mean of the output variable. From the Taguchi parameter optimization the optimum factor combination is found to be 630 rpm spindle speed, 0.05 mm/rev feed and 1.25 mm depth of cut with estimated surface roughness 2.358 ± 0.970 µm. A confirmatory experiment was conducted with the optimum factor combination to verify the results. In the confirmatory experiment the average value of surface roughness is found to be 2.408 µm which is well within the range (0.418 µm to 4.299 µm) predicted for confirmatory experiment.

  15. Optimal Seamline Detection for Orthoimage Mosaicking by Combining Deep Convolutional Neural Network and Graph Cuts

    Directory of Open Access Journals (Sweden)

    Li Li

    2017-07-01

    Full Text Available When mosaicking orthoimages, especially in urban areas with various obvious ground objects like buildings, roads, cars or trees, the detection of optimal seamlines is one of the key technologies for creating seamless and pleasant image mosaics. In this paper, we propose a new approach to detect optimal seamlines for orthoimage mosaicking with the use of deep convolutional neural network (CNN and graph cuts. Deep CNNs have been widely used in many fields of computer vision and photogrammetry in recent years, and graph cuts is one of the most widely used energy optimization frameworks. We first propose a deep CNN for land cover semantic segmentation in overlap regions between two adjacent images. Then, the energy cost of each pixel in the overlap regions is defined based on the classification probabilities of belonging to each of the specified classes. To find the optimal seamlines globally, we fuse the CNN-classified energy costs of all pixels into the graph cuts energy minimization framework. The main advantage of our proposed method is that the pixel similarity energy costs between two images are defined using the classification results of the CNN based semantic segmentation instead of using the image informations of color, gradient or texture as traditional methods do. Another advantage of our proposed method is that the semantic informations are fully used to guide the process of optimal seamline detection, which is more reasonable than only using the hand designed features defined to represent the image differences. Finally, the experimental results on several groups of challenging orthoimages show that the proposed method is capable of finding high-quality seamlines among urban and non-urban orthoimages, and outperforms the state-of-the-art algorithms and the commercial software based on the visual comparison, statistical evaluation and quantitative evaluation based on the structural similarity (SSIM index.

  16. Noncontact sheet resistance measurement technique for wafer inspection

    Science.gov (United States)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  17. Experimental Evaluation and Optimization of Flank Wear During Turning of AISI 4340 Steel with Coated Carbide Inserts Using Different Cutting Fluids

    Science.gov (United States)

    Lawal, S. A.; Choudhury, I. A.; Nukman, Y.

    2015-01-01

    The understanding of cutting fluids performance in turning process is very important in order to improve the efficiency of the process. This efficiency can be determined based on certain process parameters such as flank wear, cutting forces developed, temperature developed at the tool chip interface, surface roughness on the work piece, etc. In this study, the objective is to determine the influence of cutting fluids on flank wear during turning of AISI 4340 with coated carbide inserts. The performances of three types of cutting fluids were compared using Taguchi experimental method. The results show that palm kernel oil based cutting fluids performed better than the other two cutting fluids in reducing flank wear. Mathematical models for cutting parameters such as cutting speed, feed rate, depth of cut and cutting fluids were obtained from regression analysis using MINITAB 14 software to predict flank wear. Experiments were conducted based on the optimized values to validate the regression equations for flank wear and 5.82 % error was obtained. The optimal cutting parameters for the flank wear using S/N ratio were 160 m/min of cutting speed (level 1), 0.18 mm/rev of feed (level 1), 1.75 mm of depth of cut (level 2) and 2.97 mm2/s palm kernel oil based cutting fluid (level 3). ANOVA shows cutting speed of 85.36 %; and feed rate 4.81 %) as significant factors.

  18. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  19. Modeling the wafer temperature profile in a multiwafer LPCVD furnace

    Energy Technology Data Exchange (ETDEWEB)

    Badgwell, T.A. [Rice Univ., Houston, TX (United States). Dept. of Chemical Engineering; Trachtenberg, I.; Edgar, T.F. [Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering

    1994-01-01

    A mathematical model has been developed to predict wafer temperatures within a hot-wall multiwafer low pressure chemical vapor deposition (LPCVD) reactor. The model predicts both axial (wafer-to-wafer) and radial (across-wafer) temperature profiles. Model predictions compare favorably with in situ wafer temperature measurements described in an earlier paper. Measured axial and radial temperature nonuniformities are explained in terms of radiative heat-transfer effects. A simulation study demonstrates how changes in the outer tube temperature profile and reactor geometry affect wafer temperatures. Reactor design changes which could improve the wafer temperature profile are discussed.

  20. Less wireless costs : optimizing firms aim to cut wireless service bills

    International Nuclear Information System (INIS)

    Mahony, J.

    2006-01-01

    The Calgary-based firm Alliance is offering optimized billing to oil companies, many of which spend more than $100,000 a month on wireless services for devices such as cellular telephones, pagers and Blackberries. In particular, Alliance is focusing on cutting the cost of wireless for corporate clients by analyzing client-usage patterns and choosing the most cost-efficient rate plans offered by the telecoms. Alliance suggests that do-it-yourself optimization is too complex for the average user, given the very large choice of rate plans. Using algorithms, Alliance software goes through all the wireless service contract options from the telecoms to choose the best plan for a company's needs. Optimizers claim their clients will see significant savings on wireless, in the order to 20 to 50 per cent. This article presented a brief case history of a successful optimization plan for Nabors Canada LP. Alliance allows its clients to view their billing information on their web-based server. Call records can be viewed by device or company division. 1 ref., 1 fig

  1. Teaching learning algorithm based optimization of kerf deviations in pulsed Nd:YAG laser cutting of Kevlar-29 composite laminates

    Science.gov (United States)

    Gautam, Girish Dutt; Pandey, Arun Kumar

    2018-03-01

    Kevlar is the most popular aramid fiber and most commonly used in different technologically advanced industries for various applications. But the precise cutting of Kevlar composite laminates is a difficult task. The conventional cutting methods face various defects such as delamination, burr formation, fiber pullout with poor surface quality and their mechanical performance is greatly affected by these defects. The laser beam machining may be an alternative of the conventional cutting processes due to its non-contact nature, requirement of low specific energy with higher production rate. But this process also faces some problems that may be minimized by operating the machine at optimum parameters levels. This research paper examines the effective utilization of the Nd:YAG laser cutting system on difficult-to-cut Kevlar-29 composite laminates. The objective of the proposed work is to find the optimum process parameters settings for getting the minimum kerf deviations at both sides. The experiments have been conducted on Kevlar-29 composite laminates having thickness 1.25 mm by using Box-Benkhen design with two center points. The experimental data have been used for the optimization by using the proposed methodology. For the optimization, Teaching learning Algorithm based approach has been employed to obtain the minimum kerf deviation at bottom and top sides. A self coded Matlab program has been developed by using the proposed methodology and this program has been used for the optimization. Finally, the confirmation tests have been performed to compare the experimental and optimum results obtained by the proposed methodology. The comparison results show that the machining performance in the laser beam cutting process has been remarkably improved through proposed approach. Finally, the influence of different laser cutting parameters such as lamp current, pulse frequency, pulse width, compressed air pressure and cutting speed on top kerf deviation and bottom kerf

  2. Taguchi based fuzzy logic optimization of multiple quality characteristics in laser cutting of Duralumin sheet

    Science.gov (United States)

    Pandey, Arun Kumar; Dubey, Avanish Kumar

    2012-03-01

    Capability of laser cutting mainly depends on optical and thermal properties of work material. Highly reflective and thermally conductive Duralumin sheets are difficult-to-laser-cut. Application of Duralumin sheets in aeronautic and automotive industries due to its high strength to weight ratio demand narrow and complex cuts with high geometrical accuracy. The present paper experimentally investigates the laser cutting of Duralumin sheet with the aim to improve geometrical accuracy by simultaneously minimizing the kerf width and kerf deviations at top and bottom sides. A hybrid approach, obtained by combining robust parameter design methodology and Fuzzy logic theory has been applied to compute the fuzzy multi-response performance index. This performance index is further used for multi-objective optimization. The predicted optimum results have been verified by performing the confirmation tests. The confirmation tests show considerable reduction in kerf deviations at top and bottom sides.

  3. Trace analysis for 300 MM wafers and processes with TXRF

    International Nuclear Information System (INIS)

    Nutsch, A.; Erdmann, V.; Zielonka, G.; Pfitzner, L.; Ryssel, H.

    2000-01-01

    Efficient fabrication of semiconductor devices is combined with an increasing size of silicon wafers. The contamination level of processes, media, and equipment has to decrease continuously. A new test laboratory for 300 mm was installed in view of the above mentioned aspects. Aside of numerous processing tools this platform consist electrical test methods, particle detection, vapor phase decomposition (VPD) preparation, and TXRF. The equipment is installed in a cleanroom. It is common to perform process or equipment control, development, evaluation and qualification with monitor wafers. The evaluation and the qualification of 300 mm equipment require direct TXRF on 300 mm wafers. A new TXRF setup was installed due to the wafer size of 300 mm. The 300 mm TXRF is equipped with tungsten and molybdenum anode. This combination allows a sensitive detection of elements with fluorescence energy below 10 keV for tungsten excitation. The molybdenum excitation enables the detection of a wide variety of elements. The detection sensitivity for the tungsten anode excited samples is ten times higher than for molybdenum anode measured samples. The system is calibrated with 1 ng Ni. This calibration shows a stability within 5 % when monitored to control system stability. Decreasing the amount of Ni linear results in a linear decrease of the measured Ni signal. This result is verified for a range of elements by multielement samples. New designs demand new processes and materials, e.g. ferroelectric layers and copper. The trace analysis of many of these materials is supported by the higher excitation energy of the molybdenum anode. Reclaim and recycling of 300 mm wafers demand for an accurate contamination control of the processes to avoid cross contamination. Polishing or etching result in modified surfaces. TXRF as a non-destructive test method allows the simultaneously detection of a variety of elements on differing surfaces in view of contamination control and process

  4. Optimizing Cutting Conditions for Minimum Surface Roughness in Face Milling of High Strength Steel Using Carbide Inserts

    Directory of Open Access Journals (Sweden)

    Adel Taha Abbas

    2016-01-01

    Full Text Available A full factorial design technique is used to investigate the effect of machining parameters, namely, spindle speed (N, depth of cut (ap, and table feed rate (Vf, on the obtained surface roughness (Ra and Rt during face milling operation of high strength steel. A second-order regression model was built using least squares method depending on the factorial design results to approximate a mathematical relationship between the surface roughness and the studied process parameters. Analysis of variance was conducted to estimate the significance of each factor and interaction with respect to the surface roughness. For Ra, the results show that spindle speed, depth of cut, and table feed rate have a significant effect on the surface roughness in both linear and quadratic terms. There is also an interaction between depth of cut and feed rate. It also appears that feed rate has the greatest effect on the data variation followed by depth of cut. For Rt, the results show that the table feed rate is the most effective factor followed by the depth of cut, while the spindle speed had a significant small effect only in its quadratic term. The conditions of minimum Ra and Rt are identified through least square optimization. Moreover, multiobjective optimization for minimizing Ra and maximizing metal removal rate Q is conducted and the results are presented.

  5. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  6. Voltage-assisted polymer wafer bonding

    International Nuclear Information System (INIS)

    Varsanik, J S; Bernstein, J J

    2012-01-01

    Polymer wafer bonding is a widely used process for fabrication of microfluidic devices. However, best practices for polymer bonds do not achieve sufficient bond strength for many applications. By applying a voltage to a polymer bond in a process called voltage-assisted bonding, bond strength is shown to improve dramatically for two polymers (Cytop™ and poly(methyl methacrylate)). Several experiments were performed to provide a starting point for further exploration of this technique. An optimal voltage range is experimentally observed with a reduction in bonding strength at higher voltages. Additionally, voltage-assisted bonding is shown to reduce void diameter due to bond defects. An electrostatic force model is proposed to explain the improved bond characteristics. This process can be used to improve bond strength for most polymers. (paper)

  7. Wafer-level packaging with compression-controlled seal ring bonding

    Science.gov (United States)

    Farino, Anthony J

    2013-11-05

    A device may be provided in a sealed package by aligning a seal ring provided on a first surface of a first semiconductor wafer in opposing relationship with a seal ring that is provided on a second surface of a second semiconductor wafer and surrounds a portion of the second wafer that contains the device. Forcible movement of the first and second wafer surfaces toward one another compresses the first and second seal rings against one another. A physical barrier against the movement, other than the first and second seal rings, is provided between the first and second wafer surfaces.

  8. On the design and implementation of a wafer yield editor

    NARCIS (Netherlands)

    Pineda de Gyvez, J.; Jess, J.A.G.

    1989-01-01

    An interactive environment is presented for the analysis of yield information required on modern integrated circuit manufacturing lines. The system estimates wafer yields and wafer-yield variations, quantifies regional yield variations within wafers, identifies clusters in wafers and/or in lots, and

  9. Optimization of Cutting Parameters on Delamination of Drilling Glass-Polyester Composites

    Directory of Open Access Journals (Sweden)

    Majid Habeeb Faidh-Allah

    2018-02-01

    Full Text Available This paper attempted to study the effect of cutting parameters (spindle speed and feed rate on delamination phenomena during the drilling glass-polyester composites. Drilling process was done by CNC machine with 10 mm diameter of high-speed steel (HSS drill bit. Taguchi technique with L16 orthogonal layout was used to analyze the effective parameters on delamination factor. The optimal experiment was no. 13 with spindle speed 1273 rpm and feed 0.05 mm/rev with minimum delamination factor 1.28.

  10. Multi-objective optimization of surface roughness, cutting forces, productivity and Power consumption when turning of Inconel 718

    Directory of Open Access Journals (Sweden)

    Hamid Tebassi

    2016-01-01

    Full Text Available Nickel based super alloys are excellent for several applications and mainly in structural components submitted to high temperatures owing to their high strength to weight ratio, good corrosion resistance and metallurgical stability such as in cases of jet engine and gas turbine components. The current work presents the experimental investigations of the cutting parameters effects (cutting speed, depth of cut and feed rate on the surface roughness, cutting force components, productivity and power consumption during dry conditions in straight turning using coated carbide tool. The mathematical models for output parameters have been developed using Box-Behnken design with 15 runs and Box-Cox transformation was used for improving normality. The results of the analysis have shown that the surface finish was statistically sensitive to the feed rate and cutting speed with the contribution of 43.58% and 23.85% respectively, while depth of cut had the greatest effect on the evolution of cutting force components with the contribution of 79.87% for feed force, 66.92% for radial force and 66.26% for tangential force. Multi-objective optimization procedure allowed minimizing roughness Ra, cutting forces and power consumption and maximizing material removal rate using desirability approach.

  11. Aerosol-assisted extraction of silicon nanoparticles from wafer slicing waste for lithium ion batteries.

    Science.gov (United States)

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-03-30

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing.

  12. Disposable attenuated total reflection-infrared crystals from silicon wafer: a versatile approach to surface infrared spectroscopy.

    Science.gov (United States)

    Karabudak, Engin; Kas, Recep; Ogieglo, Wojciech; Rafieian, Damon; Schlautmann, Stefan; Lammertink, R G H; Gardeniers, Han J G E; Mul, Guido

    2013-01-02

    Attenuated total reflection-infrared (ATR-IR) spectroscopy is increasingly used to characterize solids and liquids as well as (catalytic) chemical conversion. Here we demonstrate that a piece of silicon wafer cut by a dicing machine or cleaved manually can be used as disposable internal reflection element (IRE) without the need for polishing and laborious edge preparation. Technical aspects, fundamental differences, and pros and cons of these novel disposable IREs and commercial IREs are discussed. The use of a crystal (the Si wafer) in a disposable manner enables simultaneous preparation and analysis of substrates and application of ATR spectroscopy in high temperature processes that may lead to irreversible interaction between the crystal and the substrate. As representative application examples, the disposable IREs were used to study high temperature thermal decomposition and chemical changes of polyvinyl alcohol (PVA) in a titania (TiO(2)) matrix and assemblies of 65-450 nm thick polystyrene (PS) films.

  13. Applicability of AWJ technique for dismantling reactor of the Fukushima Daiichi Nuclear Power Station. Cutting test of imitation of fuel debris and optimization of the cutting condition

    International Nuclear Information System (INIS)

    Maruyama, Shin-ichiro; Watatani, Satoshi

    2016-01-01

    Based on findings during recovery works that followed the accident at Three Mile Island Station 2, it is assumed that the reactor internals at the Fukushima Daiichi Nuclear Power Station (1F) have complex geometries intermixed with melted fuel and confined in limited spaces. Accordingly, abrasive water jet (AWJ) cutting method is considered to be a promising technique that can be safely and reasonably used for cutting and removing reactor internals. The authors conducted tests to examine the possibility of application and to solve the problems of this technique. In the tests imitation of fuel debris and optimization of the cutting condition is used. The test result made the measures for some of the associated issues clear, and demonstrated that AWJ cutting method is assumed as one of the promising techniques for removing reactor internals. (author)

  14. Optimization and Analysis of Cutting Tool Geometrical Parameters ...

    African Journals Online (AJOL)

    ADOWIE PERE

    Bassett et al.,(2012);. Kountanya et al., (2016) studied the effect of tool edge geometry and cutting conditions on the chip morphology in orthogonal hard turning of 100Cr6 steel. Their study shows that the edge radius does not influence the geometrical parameters of the chip. Moreover cutting forces decreases as the cutting.

  15. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  16. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  17. Wafer of Intel Pentium 4 Prescott Chips

    CERN Multimedia

    Silicon wafer with hundreds of Penryn cores (microprocessor). There are around four times as many Prescott chips can be made per wafer than with the previous generation of Northwood-core Pentium 4 processors. It is faster and cheaper.

  18. A non-linear branch and cut method for solving discrete minimum compliance problems to global optimality

    DEFF Research Database (Denmark)

    Stolpe, Mathias; Bendsøe, Martin P.

    2007-01-01

    This paper present some initial results pertaining to a search for globally optimal solutions to a challenging benchmark example proposed by Zhou and Rozvany. This means that we are dealing with global optimization of the classical single load minimum compliance topology design problem with a fixed...... finite element discretization and with discrete design variables. Global optimality is achieved by the implementation of some specially constructed convergent nonlinear branch and cut methods, based on the use of natural relaxations and by applying strengthening constraints (linear valid inequalities...

  19. Optimisation Of Cutting Parameters Of Composite Material Laser Cutting Process By Taguchi Method

    Science.gov (United States)

    Lokesh, S.; Niresh, J.; Neelakrishnan, S.; Rahul, S. P. Deepak

    2018-03-01

    The aim of this work is to develop a laser cutting process model that can predict the relationship between the process input parameters and resultant surface roughness, kerf width characteristics. The research conduct is based on the Design of Experiment (DOE) analysis. Response Surface Methodology (RSM) is used in this work. It is one of the most practical and most effective techniques to develop a process model. Even though RSM has been used for the optimization of the laser process, this research investigates laser cutting of materials like Composite wood (veneer)to be best circumstances of laser cutting using RSM process. The input parameters evaluated are focal length, power supply and cutting speed, the output responses being kerf width, surface roughness, temperature. To efficiently optimize and customize the kerf width and surface roughness characteristics, a machine laser cutting process model using Taguchi L9 orthogonal methodology was proposed.

  20. Coaxial twin-shaft magnetic fluid seals applied in vacuum wafer-handling robot

    Science.gov (United States)

    Cong, Ming; Wen, Haiying; Du, Yu; Dai, Penglei

    2012-07-01

    Compared with traditional mechanical seals, magnetic fluid seals have unique characters of high airtightness, minimal friction torque requirements, pollution-free and long life-span, widely used in vacuum robots. With the rapid development of Integrate Circuit (IC), there is a stringent requirement for sealing wafer-handling robots when working in a vacuum environment. The parameters of magnetic fluid seals structure is very important in the vacuum robot design. This paper gives a magnetic fluid seal device for the robot. Firstly, the seal differential pressure formulas of magnetic fluid seal are deduced according to the theory of ferrohydrodynamics, which indicate that the magnetic field gradient in the sealing gap determines the seal capacity of magnetic fluid seal. Secondly, the magnetic analysis model of twin-shaft magnetic fluid seals structure is established. By analyzing the magnetic field distribution of dual magnetic fluid seal, the optimal value ranges of important parameters, including parameters of the permanent magnetic ring, the magnetic pole tooth, the outer shaft, the outer shaft sleeve and the axial relative position of two permanent magnetic rings, which affect the seal differential pressure, are obtained. A wafer-handling robot equipped with coaxial twin-shaft magnetic fluid rotary seals and bellows seal is devised and an optimized twin-shaft magnetic fluid seals experimental platform is built. Test result shows that when the speed of the two rotational shafts ranges from 0-500 r/min, the maximum burst pressure is about 0.24 MPa. Magnetic fluid rotary seals can provide satisfactory performance in the application of wafer-handling robot. The proposed coaxial twin-shaft magnetic fluid rotary seal provides the instruction to design high-speed vacuum robot.

  1. Wafer edge overlay control solution for N7 and beyond

    Science.gov (United States)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  2. Theoretical Studies on the SAW Properties of LGS at High-Temperature in Optimal Cuts

    Institute of Scientific and Technical Information of China (English)

    Xiaojun JI; Tao HAN; Wenkang SHI; Guowei ZHANG

    2004-01-01

    Surface acoustic wave (SAW) devices play a significant role in signal processing, frequency control and sensing applications. In general, they cannot operate at elevated temperature. The new crystal of langasite provides possibility for surface acoustic wave devices applied at high temperature. This paper studies the SAW properties of single and doubly rotated cuts of LGS at high temperature. The calculated SAW properties of LGS are analyzed in space with aid of contour plots, and two promising SAW orientation regions at high temperature are presented. For some typical cuts and propagating directions within the two optimal regions, their SAW characteristics vs temperature are discussed. Based on the experimental evidence in some literatures, the reliability of calculated results is verified.

  3. Physical mechanisms of Cu-Cu wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.

    2014-01-01

    Modern manufacturing processes of complex integrated semiconductor devices are based on wafer-level manufacturing of components which are subsequently interconnected. When compared with classical monolithic bi-dimensional integrated circuits (2D ICs), the new approach of three-dimensional integrated circuits (3D ICs) exhibits significant benefits in terms of signal propagation delay and power consumption due to the reduced metal interconnection length and allows high integration levels with reduced form factor. Metal thermo-compression bonding is a process suitable for 3D interconnects applications at wafer level, which facilitates the electrical and mechanical connection of two wafers even processed in different technologies, such as complementary metal oxide semiconductor (CMOS) and microelectromechanical systems (MEMS). Due to its high electrical conductivity, copper is a very attractive material for electrical interconnects. For Cu-Cu wafer bonding the process requires typically bonding for around 1 h at 400°C and high contact pressure applied during bonding. Temperature reduction below such values is required in order to solve issues regarding (i) throughput in the wafer bonder, (ii) wafer-to-wafer misalignment after bonding and (iii) to minimise thermo-mechanical stresses or device degradation. The aim of this work was to study the physical mechanisms of Cu-Cu bonding and based on this study to further optimise the bonding process for low temperatures. The critical sample parameters (roughness, oxide, crystallinity) were identified using selected analytical techniques and correlated with the characteristics of the bonded Cu-Cu interfaces. Based on the results of this study the impact of several materials and process specifications on the bonding result were theoretically defined and experimentally proven. These fundamental findings subsequently facilitated low temperature (LT) metal thermo-compression Cu-Cu wafer bonding and even room temperature direct

  4. Modelling deformation and fracture in confectionery wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon; Rasburn, John [Mechanical Engineering Department, Imperial College London, South Kensington, London, SW7 2AZ, United Kingdom and Nestec York Ltd., Nestlé Product Technology Centre, Haxby Road, PO Box 204, York YO91 1XY (United Kingdom)

    2015-01-22

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which was then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.

  5. Parametric optimization of multiple quality characteristics in laser cutting of Inconel-718 by using hybrid approach of multiple regression analysis and genetic algorithm

    Science.gov (United States)

    Shrivastava, Prashant Kumar; Pandey, Arun Kumar

    2018-06-01

    Inconel-718 has found high demand in different industries due to their superior mechanical properties. The traditional cutting methods are facing difficulties for cutting these alloys due to their low thermal potential, lower elasticity and high chemical compatibility at inflated temperature. The challenges of machining and/or finishing of unusual shapes and/or sizes in these materials have also faced by traditional machining. Laser beam cutting may be applied for the miniaturization and ultra-precision cutting and/or finishing by appropriate control of different process parameter. This paper present multi-objective optimization the kerf deviation, kerf width and kerf taper in the laser cutting of Incone-718 sheet. The second order regression models have been developed for different quality characteristics by using the experimental data obtained through experimentation. The regression models have been used as objective function for multi-objective optimization based on the hybrid approach of multiple regression analysis and genetic algorithm. The comparison of optimization results to experimental results shows an improvement of 88%, 10.63% and 42.15% in kerf deviation, kerf width and kerf taper, respectively. Finally, the effects of different process parameters on quality characteristics have also been discussed.

  6. Full Wafer Redistribution and Wafer Embedding as Key Technologies for a Multi-Scale Neuromorphic Hardware Cluster

    OpenAIRE

    Zoschke, Kai; Güttler, Maurice; Böttcher, Lars; Grübl, Andreas; Husmann, Dan; Schemmel, Johannes; Meier, Karlheinz; Ehrmann, Oswin

    2018-01-01

    Together with the Kirchhoff-Institute for Physics(KIP) the Fraunhofer IZM has developed a full wafer redistribution and embedding technology as base for a large-scale neuromorphic hardware system. The paper will give an overview of the neuromorphic computing platform at the KIP and the associated hardware requirements which drove the described technological developments. In the first phase of the project standard redistribution technologies from wafer level packaging were adapted to enable a ...

  7. Global optimization of discrete truss topology design problems using a parallel cut-and-branch method

    DEFF Research Database (Denmark)

    Rasmussen, Marie-Louise Højlund; Stolpe, Mathias

    2008-01-01

    the physics, and the cuts (Combinatorial Benders’ and projected Chvátal–Gomory) come from an understanding of the particular mathematical structure of the reformulation. The impact of a stronger representation is investigated on several truss topology optimization problems in two and three dimensions.......The subject of this article is solving discrete truss topology optimization problems with local stress and displacement constraints to global optimum. We consider a formulation based on the Simultaneous ANalysis and Design (SAND) approach. This intrinsically non-convex problem is reformulated...

  8. Minimizing waste (off-cuts using cutting stock model: The case of one dimensional cutting stock problem in wood working industry

    Directory of Open Access Journals (Sweden)

    Gbemileke A. Ogunranti

    2016-09-01

    Full Text Available Purpose: The main objective of this study is to develop a model for solving the one dimensional cutting stock problem in the wood working industry, and develop a program for its implementation. Design/methodology/approach: This study adopts the pattern oriented approach in the formulation of the cutting stock model. A pattern generation algorithm was developed and coded using Visual basic.NET language. The cutting stock model developed is a Linear Programming (LP Model constrained by numerous feasible patterns. A LP solver was integrated with the pattern generation algorithm program to develop a one - dimensional cutting stock model application named GB Cutting Stock Program. Findings and Originality/value: Applying the model to a real life optimization problem significantly reduces material waste (off-cuts and minimizes the total stock used. The result yielded about 30.7% cost savings for company-I when the total stock materials used is compared with the former cutting plan. Also, to evaluate the efficiency of the application, Case I problem was solved using two top commercial 1D-cutting stock software.  The results show that the GB program performs better when related results were compared. Research limitations/implications: This study round up the linear programming solution for the number of pattern to cut. Practical implications: From Managerial perspective, implementing optimized cutting plans increases productivity by eliminating calculating errors and drastically reducing operator mistakes. Also, financial benefits that can annually amount to millions in cost savings can be achieved through significant material waste reduction. Originality/value: This paper developed a linear programming one dimensional cutting stock model based on a pattern generation algorithm to minimize waste in the wood working industry. To implement the model, the algorithm was coded using VisualBasic.net and linear programming solver called lpsolvedll (dynamic

  9. Thermoelectric properties of boron and boron phosphide CVD wafers

    Energy Technology Data Exchange (ETDEWEB)

    Kumashiro, Y.; Yokoyama, T.; Sato, A.; Ando, Y. [Yokohama National Univ. (Japan)

    1997-10-01

    Electrical and thermal conductivities and thermoelectric power of p-type boron and n-type boron phosphide wafers with amorphous and polycrystalline structures were measured up to high temperatures. The electrical conductivity of amorphous boron wafers is compatible to that of polycrystals at high temperatures and obeys Mott`s T{sup -{1/4}} rule. The thermoelectric power of polycrystalline boron decreases with increasing temperature, while that of amorphous boron is almost constant in a wide temperature range. The weak temperature dependence of the thermal conductivity of BP polycrystalline wafers reflects phonon scattering by grain boundaries. Thermal conductivity of an amorphous boron wafer is almost constant in a wide temperature range, showing a characteristic of a glass. The figure of merit of polycrystalline BP wafers is 10{sup -7}/K at high temperatures while that of amorphous boron is 10{sup -5}/K.

  10. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  11. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  12. Cohesive zone model for direct silicon wafer bonding

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2007-05-01

    Direct silicon wafer bonding and decohesion are simulated using a spectral scheme in conjunction with a rate-dependent cohesive model. The cohesive model is derived assuming the presence of a thin continuum liquid layer at the interface. Cohesive tractions due to the presence of a liquid meniscus always tend to reduce the separation distance between the wafers, thereby opposing debonding, while assisting the bonding process. In the absence of the rate-dependence effects the energy needed to bond a pair of wafers is equal to that needed to separate them. When rate-dependence is considered in the cohesive law, the experimentally observed asymmetry in the energetics can be explained. The derived cohesive model has the potential to form a bridge between experiments and a multiscale-modelling approach to understand the mechanics of wafer bonding.

  13. The optimization study on the tool wear of carbide cutting tool during milling Carbon Fibre Reinforced (CFRP) using Response Surface Methodology (RSM)

    Science.gov (United States)

    Nor Khairusshima, M. K.; Hafiz Zakwan, B. Muhammad; Suhaily, M.; Sharifah, I. S. S.; Shaffiar, N. M.; Rashid, M. A. N.

    2018-01-01

    Carbon Fibre Reinforced Plastic (CFRP) composite has become one of famous materials in industry, such as automotive, aeronautics, aerospace and aircraft. CFRP is attractive due to its properties, which promising better strength and high specification of mechanical properties other than its high resistance to corrosion. Other than being abrasive material due to the carbon nature, CFRP is an anisotropic material, which the knowledge of machining metal and steel cannot be applied during machining CFRP. The improper technique and parameters used to machine CFRP may result in high tool wear. This paper is to study the tool wear of 8 mm diameter carbide cutting tool during milling CFRP. To predict the suitable cutting parameters within range of 3500-6220 (rev/min), 200-245 (mm/min), and 0.4-1.8 (mm) for cutting speed, speed, feed rate and depth of cut respectively, which produce optimized result (less tool wear), Response Surface Methodology (RSM) has been used. Based on the developed mathematical model, feed rate was identified as the primary significant item that influenced tool wear. The optimized cutting parameters are cutting speed, feed and depth of cut of 3500 rev/min, 200 mm/min and 0.5 mm, respectively, with tool wear of 0.0267 mm. It is also can be observed that as the cutting speed and feed rate increased the tool wear is increasing.

  14. Fluorine-enhanced low-temperature wafer bonding of native-oxide covered Si wafers

    Science.gov (United States)

    Tong, Q.-Y.; Gan, Q.; Fountain, G.; Enquist, P.; Scholz, R.; Gösele, U.

    2004-10-01

    The bonding energy of bonded native-oxide-covered silicon wafers treated in the HNO3/H2O/HF or the HNO3/HF solution prior to room-temperature contact is significantly higher than bonded standard RCA1 cleaned wafer pairs after low-temperature annealing. The bonding energy reaches over 2000mJ/m2 after annealing at 100 °C. The very slight etching and fluorine in the chemically grown oxide are believed to be the main contributors to the enhanced bonding energy. Transmission-electron-microscopic images have shown that the chemically formed native oxide at bonding interface is embedded with many flake-like cavities. The cavities can absorb the by-products of the interfacial reactions that result in covalent bond formation at low temperatures allowing the strong bond to be retained.

  15. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  16. Modeling and optimization of kerf taper and surface roughness in laser cutting of titanium alloy sheet

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Arun Kumar; Dubey, Avanish Kumar [Motilal Nehru National Institute of Technology Allahabad, Uttar Pradesh (India)

    2013-07-15

    Laser cutting of titanium and its alloys is difficult due to it's poor thermal conductivity and chemical reactivity at elevated temperatures. But demand of these materials in different advanced industries such as aircraft, automobile and space research, require accurate geometry with high surface quality. The present research investigates the laser cutting process behavior of titanium alloy sheet (Ti-6Al-4V) with the aim to improve geometrical accuracy and surface quality by minimizing the kerf taper and surface roughness. The data obtained from L{sub 27} orthogonal array experiments have been used for developing neural network (NN) based models of kerf taper and surface roughness. A hybrid approach of neural network and genetic algorithm has been proposed and applied for the optimization of different quality characteristics. The optimization results show considerable improvements in both the quality characteristics. The results predicted by NN models are well in agreement with the experimental data.

  17. Clarifying Cutting and Sewing Processes with Due Windows Using an Effective Ant Colony Optimization

    Directory of Open Access Journals (Sweden)

    Rong-Hwa Huang

    2013-01-01

    Full Text Available The cutting and sewing process is a traditional flow shop scheduling problem in the real world. This two-stage flexible flow shop is often commonly associated with manufacturing in the fashion and textiles industry. Many investigations have demonstrated that the ant colony optimization (ACO algorithm is effective and efficient for solving scheduling problems. This work applies a novel effective ant colony optimization (EACO algorithm to solve two-stage flexible flow shop scheduling problems and thereby minimize earliness, tardiness, and makespan. Computational results reveal that for both small and large problems, EACO is more effective and robust than both the particle swarm optimization (PSO algorithm and the ACO algorithm. Importantly, this work demonstrates that EACO can solve complex scheduling problems in an acceptable period of time.

  18. Effect of laser cutting parameters on surface roughness of stainless steel 307

    Directory of Open Access Journals (Sweden)

    Amal NASSAR

    2016-12-01

    Full Text Available Optimal parameters of laser cutting are an important step to improve surface quality of cutting edge in the laser cutting of stainless steel 307. This paper presents a new approach for optimizing the cutting parameters on stainless steel. Based on 33 full factorial experimental design, cutting experiments were conducted for stainless steel 307 plates using a laser machine (AMADA FONT 3015. The cutting parameters such as, cutting speed, cutting power and gas pressure are optimized for maximizing surface quality. The results indicated that cutting power and cutting speed play an important role in surface quality.

  19. Reticle variation influence on manufacturing line and wafer device performance

    Science.gov (United States)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  20. Effect of Rapid Thermal Processing on Light-Induced Degradation of Carrier Lifetime in Czochralski p-Type Silicon Bare Wafers

    Science.gov (United States)

    Kouhlane, Y.; Bouhafs, D.; Khelifati, N.; Belhousse, S.; Menari, H.; Guenda, A.; Khelfane, A.

    2016-11-01

    The electrical properties of Czochralski silicon (Cz-Si) p-type boron-doped bare wafers have been investigated after rapid thermal processing (RTP) with different peak temperatures. Treated wafers were exposed to light for various illumination times, and the effective carrier lifetime ( τ eff) measured using the quasi-steady-state photoconductance (QSSPC) technique. τ eff values dropped after prolonged illumination exposure due to light-induced degradation (LID) related to electrical activation of boron-oxygen (BO) complexes, except in the sample treated with peak temperature of 785°C, for which the τ eff degradation was less pronounced. Also, a reduction was observed when using the 830°C peak temperature, an effect that was enhanced by alteration of the wafer morphology (roughness). Furthermore, the electrical resistivity presented good stability under light exposure as a function of temperature compared with reference wafers. Additionally, the optical absorption edge shifted to higher wavelength, leading to increased free-carrier absorption by treated wafers. Moreover, a theoretical model is used to understand the lifetime degradation and regeneration behavior as a function of illumination time. We conclude that RTP plays an important role in carrier lifetime regeneration for Cz-Si wafers via modification of optoelectronic and structural properties. The balance between an optimized RTP cycle and the rest of the solar cell elaboration process can overcome the negative effect of LID and contribute to achievement of higher solar cell efficiency and module performance.

  1. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'Brien, Deirdre

    2012-02-01

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  2. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'brien, Deirdre

    2010-12-15

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  3. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  4. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  5. An optimal cut-off point for the calving interval may be used as an indicator of bovine abortions.

    Science.gov (United States)

    Bronner, Anne; Morignat, Eric; Gay, Emilie; Calavas, Didier

    2015-10-01

    The bovine abortion surveillance system in France aims to detect as early as possible any resurgence of bovine brucellosis, a disease of which the country has been declared free since 2005. It relies on the mandatory notification and testing of each aborting cow, but under-reporting is high. This research uses a new and simple approach which considers the calving interval (CI) as a "diagnostic test" to determine optimal cut-off point c and estimate diagnostic performance of the CI to identify aborting cows, and herds with multiple abortions (i.e. three or more aborting cows per calving season). The period between two artificial inseminations (AI) was considered as a "gold standard". During the 2006-2010 calving seasons, the mean optimal CI cut-off point for identifying aborting cows was 691 days for dairy cows and 703 days for beef cows. Depending on the calving season, production type and scale at which c was computed (individual or herd), the average sensitivity of the CI varied from 42.6% to 64.4%; its average specificity from 96.7% to 99.7%; its average positive predictive value from 27.6% to 65.4%; and its average negative predictive value from 98.7% to 99.8%. When applied to the French bovine population as a whole, this indicator identified 2-3% of cows suspected to have aborted, and 10-15% of herds suspected of multiple abortions. The optimal cut-off point and CI performance were consistent over calving seasons. By applying an optimal CI cut-off point to the cattle demographics database, it becomes possible to identify herds with multiple abortions, carry out retrospective investigations to find the cause of these abortions and monitor a posteriori compliance of farmers with their obligation to report abortions for brucellosis surveillance needs. Therefore, the CI could be used as an indicator of abortions to help improve the current mandatory notification surveillance system. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Optimal cut-off levels to define obesity: body mass index and waist circumference, and their relationship to cardiovascular disease, dyslipidaemia, hypertension and diabetes in Malaysia.

    Science.gov (United States)

    Zaher, Zaki Morad Mohd; Zambari, Robayaah; Pheng, Chan Siew; Muruga, Vadivale; Ng, Bernard; Appannah, Geeta; Onn, Lim Teck

    2009-01-01

    Many studies in Asia have demonstrated that Asian populations may require lower cut-off levels for body mass index (BMI) and waist circumference to define obesity and abdominal obesity respectively, compared to western populations. Optimal cut-off levels for body mass index and waist circumference were determined to assess the relationship between the two anthropometric- and cardiovascular indices. Receiver operating characteristics analysis was used to determine the optimal cut-off levels. The study sample included 1833 subjects (mean age of 44+/-14 years) from 93 primary care clinics in Malaysia. Eight hundred and seventy two of the subjects were men and 960 were women. The optimal body mass index cut-off values predicting dyslipidaemia, hypertension, diabetes mellitus, or at least one cardiovascular risk factor varied from 23.5 to 25.5 kg/m2 in men and 24.9 to 27.4 kg/m2 in women. As for waist circumference, the optimal cut-off values varied from 83 to 92 cm in men and from 83 to 88 cm in women. The optimal cut-off values from our study showed that body mass index of 23.5 kg/m2 in men and 24.9 kg/m2 in women and waist circumference of 83 cm in men and women may be more suitable for defining the criteria for overweight or obesity among adults in Malaysia. Waist circumference may be a better indicator for the prediction of obesity-related cardiovascular risk factors in men and women compared to BMI. Further investigation using a bigger sample size in Asia needs to be done to confirm our findings.

  7. Development and validation of optimal cut-off value in inter-arm systolic blood pressure difference for prediction of cardiovascular events.

    Science.gov (United States)

    Hirono, Akira; Kusunose, Kenya; Kageyama, Norihito; Sumitomo, Masayuki; Abe, Masahiro; Fujinaga, Hiroyuki; Sata, Masataka

    2018-01-01

    An inter-arm systolic blood pressure difference (IAD) is associated with cardiovascular disease. The aim of this study was to develop and validate the optimal cut-off value of IAD as a predictor of major adverse cardiac events in patients with arteriosclerosis risk factors. From 2009 to 2014, 1076 patients who had at least one cardiovascular risk factor were included in the analysis. We defined 700 randomly selected patients as a development cohort to confirm that IAD was the predictor of cardiovascular events and to determine optimal cut-off value of IAD. Next, we validated outcomes in the remaining 376 patients as a validation cohort. The blood pressure (BP) of both arms measurements were done simultaneously using the ankle-brachial blood pressure index (ABI) form of automatic device. The primary endpoint was the cardiovascular event and secondary endpoint was the all-cause mortality. During a median period of 2.8 years, 143 patients reached the primary endpoint in the development cohort. In the multivariate Cox proportional hazards analysis, IAD was the strong predictor of cardiovascular events (hazard ratio: 1.03, 95% confidence interval: 1.01-1.05, p=0.005). The receiver operating characteristic curve revealed that 5mmHg was the optimal cut-off point of IAD to predict cardiovascular events (p<0.001). In the validation cohort, the presence of a large IAD (IAD ≥5mmHg) was significantly associated with the primary endpoint (p=0.021). IAD is significantly associated with future cardiovascular events in patients with arteriosclerosis risk factors. The optimal cut-off value of IAD is 5mmHg. Copyright © 2017 Japanese College of Cardiology. Published by Elsevier Ltd. All rights reserved.

  8. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  9. Geometrical quality evaluation in laser cutting of Inconel-718 sheet by using Taguchi based regression analysis and particle swarm optimization

    Science.gov (United States)

    Shrivastava, Prashant Kumar; Pandey, Arun Kumar

    2018-03-01

    The Inconel-718 is one of the most demanding advanced engineering materials because of its superior quality. The conventional machining techniques are facing many problems to cut intricate profiles on these materials due to its minimum thermal conductivity, minimum elastic property and maximum chemical affinity at magnified temperature. The laser beam cutting is one of the advanced cutting method that may be used to achieve the geometrical accuracy with more precision by the suitable management of input process parameters. In this research work, the experimental investigation during the pulsed Nd:YAG laser cutting of Inconel-718 has been carried out. The experiments have been conducted by using the well planned orthogonal array L27. The experimentally measured values of different quality characteristics have been used for developing the second order regression models of bottom kerf deviation (KD), bottom kerf width (KW) and kerf taper (KT). The developed models of different quality characteristics have been utilized as a quality function for single-objective optimization by using particle swarm optimization (PSO) method. The optimum results obtained by the proposed hybrid methodology have been compared with experimental results. The comparison of optimized results with the experimental results shows that an individual improvement of 75%, 12.67% and 33.70% in bottom kerf deviation, bottom kerf width, and kerf taper has been observed. The parametric effects of different most significant input process parameters on quality characteristics have also been discussed.

  10. Defining the optimal cut-off values for liver enzymes in diagnosing blunt liver injury.

    Science.gov (United States)

    Koyama, Tomohide; Hamada, Hirohisa; Nishida, Masamichi; Naess, Paal A; Gaarder, Christine; Sakamoto, Tetsuya

    2016-01-25

    Patients with blunt trauma to the liver have elevated levels of liver enzymes within a short time post injury, potentially useful in screening patients for computed tomography (CT). This study was performed to define the optimal cut-off values for serum aspartate aminotransferase (AST) and alanine aminotransferase (ALT) in patients with blunt liver injury diagnosed with contrast enhanced multi detector-row CT (CE-MDCT). All patients admitted from May 2006 to July 2013 to Teikyo University Hospital Trauma and Critical Care Center, and who underwent abdominal CE-MDCT within 3 h after blunt trauma, were retrospectively enrolled. Using receiver operating characteristic (ROC) curve analysis, the optimal cut-off values for AST and ALT were defined, and sensitivity and specificity were calculated. Of a total of 676 blunt trauma patients 64 patients were diagnosed with liver injury (Group LI+) and 612 patients without liver injury (Group LI-). Group LI+ and LI- were comparable for age, Revised Trauma Score, and Probability of survival. The groups differed in Injury Severity Score [median 21 (interquartile range 9-33) vs. 17 (9-26) (p tool for CT scan in patients otherwise eligible for observation only or as a transfer criterion to a facility with CT scan capability.

  11. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  12. Determinants of self-reported smoking and misclassification during pregnancy, and analysis of optimal cut-off points for urinary cotinine: a cross-sectional study.

    Science.gov (United States)

    Aurrekoetxea, Juan J; Murcia, Mario; Rebagliato, Marisa; López, María José; Castilla, Ane Miren; Santa-Marina, Loreto; Guxens, Mónica; Fernández-Somoano, Ana; Espada, Mercedes; Lertxundi, Aitana; Tardón, Adonina; Ballester, Ferran

    2013-01-24

    To estimate the prevalence and factors associated with smoking and misclassification in pregnant women from INMA (INfancia y Medio Ambiente, Environment and Childhood) project, Spain, and to assess the optimal cut-offs for urinary cotinine (UC) that best distinguish daily and occasional smokers with varying levels of second-hand smoke (SHS) exposure. We used logistic regression models to study the relationship between sociodemographic variables and self-reported smoking and misclassification (self-reported non-smokers with UC >50 ng/ml). Receiver operating characteristic (ROC) curves were used to calculate the optimal cut-off point for discriminating smokers. The cut-offs were also calculated after stratification among non-smokers by the number of sources of SHS exposure. The cut-off points used to discriminate smoking status were the level of UC given by Youden's index and for 50 and 100 ng/ml for daily smokers, or 25 and 50 ng/ml for occasional smokers. At the third trimester of pregnancy, 2263 pregnant women of the INMA Project were interviewed between 2004 and 2008 and a urine sample was collected. Prevalence of self-reported smokers at the third trimester of pregnancy was 18.5%, and another 3.9% misreported their smoking status. Variables associated with self-reported smoking and misreporting were similar, including born in Europe, educational level and exposure to SHS. The optimal cut-off was 82 ng/ml (95% CI 42 to 133), sensitivity 95.2% and specificity 96.6%. The area under the ROC curve was 0.986 (95% CI 0.982 to 0.990). The cut-offs varied according to the SHS exposure level being 42 (95% CI 27 to 57), 82 (95% CI 46 to 136) and 106 ng/ml (95% CI 58 to 227) for not being SHS exposed, exposed to one, and to two or more sources of SHS, respectively. The optimal cut-off for discriminating occasional smokers from non-smokers was 27 ng/ml (95% CI 11 to 43). Prevalence of smoking during pregnancy in Spain remains high. UC is a reliable biomarker for classifying

  13. Determinants of self-reported smoking and misclassification during pregnancy, and analysis of optimal cut-off points for urinary cotinine: a cross-sectional study

    Science.gov (United States)

    Aurrekoetxea, Juan J; Murcia, Mario; Rebagliato, Marisa; López, María José; Castilla, Ane Miren; Santa-Marina, Loreto; Guxens, Mónica; Fernández-Somoano, Ana; Espada, Mercedes; Lertxundi, Aitana; Tardón, Adonina; Ballester, Ferran

    2013-01-01

    Objectives To estimate the prevalence and factors associated with smoking and misclassification in pregnant women from INMA (INfancia y Medio Ambiente, Environment and Childhood) project, Spain, and to assess the optimal cut-offs for urinary cotinine (UC) that best distinguish daily and occasional smokers with varying levels of second-hand smoke (SHS) exposure. Design We used logistic regression models to study the relationship between sociodemographic variables and self-reported smoking and misclassification (self-reported non-smokers with UC >50 ng/ml). Receiver operating characteristic (ROC) curves were used to calculate the optimal cut-off point for discriminating smokers. The cut-offs were also calculated after stratification among non-smokers by the number of sources of SHS exposure. The cut-off points used to discriminate smoking status were the level of UC given by Youden's index and for 50 and 100 ng/ml for daily smokers, or 25 and 50 ng/ml for occasional smokers. Participants At the third trimester of pregnancy, 2263 pregnant women of the INMA Project were interviewed between 2004 and 2008 and a urine sample was collected. Results Prevalence of self-reported smokers at the third trimester of pregnancy was 18.5%, and another 3.9% misreported their smoking status. Variables associated with self-reported smoking and misreporting were similar, including born in Europe, educational level and exposure to SHS. The optimal cut-off was 82 ng/ml (95% CI 42 to 133), sensitivity 95.2% and specificity 96.6%. The area under the ROC curve was 0.986 (95% CI 0.982 to 0.990). The cut-offs varied according to the SHS exposure level being 42 (95% CI 27 to 57), 82 (95% CI 46 to 136) and 106 ng/ml (95% CI 58 to 227) for not being SHS exposed, exposed to one, and to two or more sources of SHS, respectively. The optimal cut-off for discriminating occasional smokers from non-smokers was 27 ng/ml (95% CI 11 to 43). Conclusions Prevalence of smoking during pregnancy in

  14. Multiproject wafers: not just for million-dollar mask sets

    Science.gov (United States)

    Morse, Richard D.

    2003-06-01

    With the advent of Reticle Enhancement Technologies (RET) such as Optical Proximity Correction (OPC) and Phase Shift Masks (PSM) required to manufacture semiconductors in the sub-wavelength era, the cost of photomask tooling has skyrocketed. On the leading edge of technology, mask set prices often exceed $1 million. This shifts an enormous burden back to designers and Electronic Design Automation (EDA) software vendors to create perfect designs at a time when the number of transistors per chip is measured in the hundreds of millions, and gigachips are on the drawing boards. Moore's Law has driven technology to incredible feats. The prime beneficiaries of the technology - memory and microprocessor (MPU) manufacturers - can continue to fit the model because wafer volumes (and chip prices in the MPU case) render tooling costs relatively insignificant. However, Application-Specific IC (ASIC) manufacturers and most foundry clients average very small wafer per reticle ratios causing a dramatic and potentially insupportable rise in the cost of manufacturing. Multi-Project wafers (MPWs) are a way to share the cost of tooling and silicon by putting more than one chip on each reticle. Lacking any unexpected breakthroughs in simulation, verification, or mask technology to reduce the cost of prototyping, more efficient use of reticle space becomes a viable and increasingly attractive choice. It is worthwhile therefore, to discuss the economics of prototyping in the sub-wavelength era and the increasing advantages of the MPW, shared-silicon approach. However, putting together a collection of different-sized chips during tapeout can be challenging and time consuming. Design compatibility, reticle field optimization, and frame generation have traditionally been the biggest worries but, with the advent of dummy-fill for planarization and RET for resolution, another layer of complexity has been added. MPW automation software is quite advanced today, but the size of the task

  15. Modeling of tool path for the CNC sheet cutting machines

    Science.gov (United States)

    Petunin, Aleksandr A.

    2015-11-01

    In the paper the problem of tool path optimization for CNC (Computer Numerical Control) cutting machines is considered. The classification of the cutting techniques is offered. We also propose a new classification of toll path problems. The tasks of cost minimization and time minimization for standard cutting technique (Continuous Cutting Problem, CCP) and for one of non-standard cutting techniques (Segment Continuous Cutting Problem, SCCP) are formalized. We show that the optimization tasks can be interpreted as discrete optimization problem (generalized travel salesman problem with additional constraints, GTSP). Formalization of some constraints for these tasks is described. For the solution GTSP we offer to use mathematical model of Prof. Chentsov based on concept of a megalopolis and dynamic programming.

  16. Multifunctional medicated lyophilised wafer dressing for effective chronic wound healing.

    Science.gov (United States)

    Pawar, Harshavardhan V; Boateng, Joshua S; Ayensu, Isaac; Tetteh, John

    2014-06-01

    Wafers combining weight ratios of Polyox with carrageenan (75/25) or sodium alginate (50/50) containing streptomycin and diclofenac were prepared to improve chronic wound healing. Gels were freeze-dried using a lyophilisation cycle incorporating an annealing step. Wafers were characterised for morphology, mechanical and in vitro functional (swelling, adhesion, drug release in the presence of simulated wound fluid) characteristics. Both blank (BLK) and drug-loaded (DL) wafers were soft, flexible, elegant in appearance and non-brittle in nature. Annealing helped to improve porous nature of wafers but was affected by the addition of drugs. Mechanical characterisation demonstrated that the wafers were strong enough to withstand normal stresses but also flexible to prevent damage to newly formed skin tissue. Differences in swelling, adhesion and drug release characteristics could be attributed to differences in pore size and sodium sulphate formed because of the salt forms of the two drugs. BLK wafers showed relatively higher swelling and adhesion than DL wafers with the latter showing controlled release of streptomycin and diclofenac. The optimised dressing has the potential to reduce bacterial infection and can also help to reduce swelling and pain associated with injury due to the anti-inflammatory action of diclofenac and help to achieve more rapid wound healing. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  17. Defining Glaucomatous Optic Neuropathy from a Continuous Measure of Optic Nerve Damage - The Optimal Cut-off Point for Risk-factor Analysis in Population-based Epidemiology

    NARCIS (Netherlands)

    Ramdas, Wishal D.; Rizopoulos, Dimitris; Wolfs, Roger C. W.; Hofman, Albert; de Jong, Paulus T. V. M.; Vingerling, Johannes R.; Jansonius, Nomdo M.

    2011-01-01

    Purpose: Diseases characterized by a continuous trait can be defined by setting a cut-off point for the disease measure in question, accepting some misclassification. The 97.5th percentile is commonly used as a cut-off point. However, it is unclear whether this percentile is the optimal cut-off

  18. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  19. ANALYSIS OF CUTTING FORCES ON CNC LATHES EXPERIMENTAL APPROACH

    Directory of Open Access Journals (Sweden)

    Erdem Koç

    1996-01-01

    Full Text Available Objective of this study is to make use easy programming of CNC lathes and to achieve the optimization of part program prepared considering the limiting parameters of the machine. In the present study, a BOXFORD 250 B CNC lathe has been used for experiment and optimization process. The measurement of cutting forces exerted on the cutting tool of CNC lathe has been performed. The cutting forces occurring during the turning operation have been determined for different depth of" cut, feed rate and cutting speed as well as different cutting tools and related data base has been obtained.

  20. P/N InP solar cells on Ge wafers

    Science.gov (United States)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  1. Plasma Arc Cutting Dimensional Accuracy Optimization employing the Parameter Design approach

    OpenAIRE

    Kechagias John; Petousis Markos; Vidakis Nectarios; Mastorakis Nikos

    2017-01-01

    Plasma Arc Cutting (PAC) is a thermal manufacturing process used for metal plates cutting. This work experimentally investigates the influence of process parameters onto the dimensional accuracy performance of the plasma arc cutting process. The cutting parameters studied were cutting speed (mm/min), torch standoff distance (mm), and arc voltage (volts). Linear dimensions of a rectangular workpiece were measured after PAC cutting following the full factorial design experimental approach. For ...

  2. Wafer Cakes of Improved Amino Acid Structure

    Directory of Open Access Journals (Sweden)

    Roksolana Boidunyk

    2017-11-01

    Full Text Available The article presents the results of the study of the amino acid composition of newly developed wafer cakes with adipose fillings combined with natural additives. The appropriateness of the using non-traditional raw materials (powder of willow herb, poppy oilcake, carob, as well as skimmed milk powder in order to increase the biological value of wafer cakes and improve their amino acid composition is proven.

  3. A Nonlinear Programming and Artificial Neural Network Approach for Optimizing the Performance of a Job Dispatching Rule in a Wafer Fabrication Factory

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2012-01-01

    Full Text Available A nonlinear programming and artificial neural network approach is presented in this study to optimize the performance of a job dispatching rule in a wafer fabrication factory. The proposed methodology fuses two existing rules and constructs a nonlinear programming model to choose the best values of parameters in the two rules by dynamically maximizing the standard deviation of the slack, which has been shown to benefit scheduling performance by several studies. In addition, a more effective approach is also applied to estimate the remaining cycle time of a job, which is empirically shown to be conducive to the scheduling performance. The efficacy of the proposed methodology was validated with a simulated case; evidence was found to support its effectiveness. We also suggested several directions in which it can be exploited in the future.

  4. Optimization of Minimum Quantity Lubricant Conditions and Cutting Parameters in Hard Milling of AISI H13 Steel

    OpenAIRE

    The-Vinh Do; Quang-Cherng Hsu

    2016-01-01

    As a successful solution applied to hard machining, the minimum quantity lubricant (MQL) has already been established as an alternative to flood coolant processing. The optimization of MQL parameters and cutting parameters under MQL condition are essential and pressing. The study was divided into two parts. In the first part of this study, the Taguchi method was applied to find the optimal values of MQL condition in the hard milling of AISI H13 with consideration of reduced surface roughness....

  5. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    Science.gov (United States)

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  6. Laser Cutting of Different Materials

    Directory of Open Access Journals (Sweden)

    Kadir ÇAVDAR

    2013-08-01

    Full Text Available In this paper; in general potential developments and trends of a particular machining field by extensively evaluating present studies of laser beam machining have been discussed. As it is indicated below, technical literatures have been subsumed under five major headlines: Experimental studies, reviews, optimization researches of the cutting parameters, theoretical modelling studies of laser beam cutting and academic studies relating to laser cutting

  7. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  8. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  9. Low-temperature wafer-level gold thermocompression bonding: modeling of flatness deviations and associated process optimization for high yield and tough bonds

    Science.gov (United States)

    Stamoulis, Konstantinos; Tsau, Christine H.; Spearing, S. Mark

    2005-01-01

    Wafer-level, thermocompression bonding is a promising technique for MEMS packaging. The quality of the bond is critically dependent on the interaction between flatness deviations, the gold film properties and the process parameters and tooling used to achieve the bonds. The effect of flatness deviations on the resulting bond is investigated in the current work. The strain energy release rate associated with the elastic deformation required to overcome wafer bow is calculated. A contact yield criterion is used to examine the pressure and temperature conditions required to flatten surface roughness asperities in order to achieve bonding over the full apparent area. The results are compared to experimental data of bond yield and toughness obtained from four-point bend delamination testing and microscopic observations of the fractured surfaces. Conclusions from the modeling and experiments indicate that wafer bow has negligible effect on determining the variability of bond quality and that the well-bonded area is increased with increasing bonding pressure. The enhanced understanding of the underlying deformation mechanisms allows for a better controlled trade-off between the bonding pressure and temperature.

  10. Precision cutting and drilling with the Nd-YAG laser

    International Nuclear Information System (INIS)

    Lingenfelter, A.C.; Anglin, C.D.; Westrich, C.N.; Murchie, J.R.

    1986-01-01

    The Laser Processing Facility of the Materials Fabrication Division of Lawrence Livermore National Laboratory has two pulsed Nd-YAG lasers mounted on machine tool bases. Over the years the authors have had requirements for cutting a wide variety of materials, frequently to very tight dimensional tolerances. They have found that the laser process is particularly well suited for two application areas; (1) for thin cross sections of most all materials, and (2) for refractory metals and ceramics such as tungsten, tantalum, and molybdenum, silicon wafers, alumina, and graphite foil. Some of these materials are difficult if not impossible to cut and drill in any other manner. Examples of each of these types of applications are sighted. Data are presented to illustrate the effects and interactions of laser parameter variables such as aperturing, choice of lenses, focus, pulse length, and energy level. Examples of applications are shown

  11. Lamb wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Fromme, P.; Pizzolato, M.; Robyr, J-L; Masserey, B.

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness a...

  12. Gear cutting tools fundamentals of design and computation

    CERN Document Server

    Radzevich, Stephen P

    2010-01-01

    Presents the DG/K-based method of surface generation, a novel and practical mathematical method for designing gear cutting tools with optimal parameters. This book proposes a scientific classification for the various kinds of the gear machining meshes, discussing optimal designs of gear cutting tools.

  13. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  14. Exploration of the optimal diameter cut-off value in patients with nonfunctional adrenal tumor suitable for surgery

    Directory of Open Access Journals (Sweden)

    Dan-dan LIU

    2016-12-01

    Full Text Available Objective  To analyze the pathology of the patients with nonfunctional adrenal tumor (NFA, and explore the optimal diameter cut-off value. Methods  The clinical data of 243 patients with NFA, evaluated in the Department of Endocrinology and operated in the Department of Urology of General Hospital of Chinese PLA from Feb. 1996 to Jan. 2016, were collected. The patients were divided into two groups according to pathology: those in real demand of surgery were classified to the surgery-need group (n=57, while the others were categorized as the surgery-unwanted group (n=186. The general situation, pathological type and tumor diameter of the two groups and the factors affecting the surgery were analyzed, and the ROC curve was used to explore the optimal surgery cut-off value, which represents the maximum value of the sum of sensitivity and specificity. Results  Of the 57 patients in surgery-need group (27 males and 30 females, the lesions were on the right in 31 cases, on the left in 25 cases, and on bilateral sides in 1 case; the median of lesion diameter was 4.5cm, and the average age was 41.5±12.1 years old. Of the 186 patients in surgery-unwanted group (87 males and 99 females, the lesions were on the right in 99 cases, on the left in 86 cases, and on bilateral sides in 1 case; the median of lesion diameter was 3.0cm, and the average age was 50.6±10.9 years old. Logistic regression revealed that lesion diameter might be a risk factor (OR=1.340, 95%CI 1.266-1.418, P=0.000 and age be a protective factor (OR=0.942, 95%CI 0.929-0.955, P=0.000 for real demand of surgery. The area under the ROC curve (AUC of lesion diameter was 0.757(95%CI 0.681-0.833. The optimal cut-off value was 4.1cm (sensitivity 60.7% and specificity 83.0%. Conclusions  Younger patients with bigger lesion diameter may have greater possibility for surgery. The optimal surgery cut-off value of the lesion diameter is 4.1cm. DOI: 10.11855/j.issn.0577-7402.2016.11.11

  15. Wafer-level testing and test during burn-in for integrated circuits

    CERN Document Server

    Bahukudumbi, Sudarshan

    2010-01-01

    Wafer-level testing refers to a critical process of subjecting integrated circuits and semiconductor devices to electrical testing while they are still in wafer form. Burn-in is a temperature/bias reliability stress test used in detecting and screening out potential early life device failures. This hands-on resource provides a comprehensive analysis of these methods, showing how wafer-level testing during burn-in (WLTBI) helps lower product cost in semiconductor manufacturing.Engineers learn how to implement the testing of integrated circuits at the wafer-level under various resource constrain

  16. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    Science.gov (United States)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  17. I-line stepper based overlay evaluation method for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2018-03-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules additionally require to process the backside of the wafer; thus require an accurate alignment between the front and backside of the wafer. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 µm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8-9]. In this work, the non-contact infrared alignment system of the Nikon® i-line Stepper NSR-SF150 for both alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the

  18. Fabrication of Through via Holes in Ultra-Thin Fused Silica Wafers for Microwave and Millimeter-Wave Applications

    Directory of Open Access Journals (Sweden)

    Xiao Li

    2018-03-01

    Full Text Available Through via holes in fused silica are a key infrastructure element of microwave and millimeter-wave circuits and 3D integration. In this work, etching through via holes in ultra-thin fused silica wafers using deep reactive-ion etching (DRIE and laser ablation was developed and analyzed. The experimental setup and process parameters for both methods are presented and compared. For DRIE, three types of mask materials including KMPR 1035 (Nippon Kayaku, Tokyo, Japan photoresist, amorphous silicon and chromium—with their corresponding optimized processing recipes—were tested, aiming at etching through a 100 μm fused silica wafer. From the experiments, we concluded that using chromium as the masking material is the best choice when using DRIE. However, we found that the laser ablation method with a laser pulse fluence of 2.89 J/cm2 and a pulse overlap of 91% has advantages over DRIE. The laser ablation method has a simpler process complexity, while offering a fair etching result. In particular, the sidewall profile angle is measured to be 75° to the bottom surface of the wafer, which is ideal for the subsequent metallization process. As a demonstration, a two-inch wafer with 624 via holes was processed using both technologies, and the laser ablation method showed better efficiency compared to DRIE.

  19. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  20. Wafer plane inspection for advanced reticle defects

    Science.gov (United States)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  1. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang; Carreno, Armando Arpys Arevalo; Li, Huawei; Foulds, Ian G.

    2014-01-01

    feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared

  2. A multi objective optimization of gear cutting in WEDM of Inconel 718 using TOPSIS method

    Directory of Open Access Journals (Sweden)

    K.D. Mohapatra

    2017-07-01

    Full Text Available The present paper deals with the experimental analysis and multi objective optimization of gear cutting process of Inconel 718 using WEDM. The objective of the present work is to optimize the parameters in order to maximize the material removal rate and minimize the kerf in a gear cutting process to get the optimum value. The MRR and kerf play a major role in optimizing the parameters in WEDM process. The experiment is carried out in the wire EDM machine using brass wire as the electrode, Inconel 718 as the work-piece material and distilled water as the dielectric. The design array is created by using Design of Experiment in a Taguchi L16 orthogonal array repeated once. The gear has a base diameter of 20 mm, addendum diameter of 22.5 mm and a pressure angle of 20º with 16 numbers of teeth. The machining operation is carried out by taking 3 input parameters at 4 different levels each. The output parameters such as Material Removal rate and Kerf width were obtained and optimized using TOPSIS method to know the optimum setting. Microstructural analysis of both material and wire were studied to know the various defects during the machining operation. Various plots were obtained to know the effects of the process parameters in WEDM. A regression model was also obtained to validate the statistical model values with the experimental. ANOVA table and Response table were carried out to know the significant parameters and rank respectively in the Wire EDM process. Surface roughness, Addendum and Tooth width of gears were also found out at the optimum settings. The optimum setting of the gear obtained can be used to produce high quality gears and can also be applied for future findings.

  3. 120 mm Single-crystalline perovskite and wafers: towards viable applications

    Institute of Scientific and Technical Information of China (English)

    Yucheng Liu; Bo Wang; Qingbo Wei; Fengwei Xiao; Haibo Fan; Hao Deng; Liangping Deng; Shengzhong (Frank) Liu; Xiaodong Ren; Jing Zhang; Zhou Yang; Dong Yang; Fengyang Yu; Jiankun Sun; Changming Zhao; Zhun Yao

    2017-01-01

    As the large single-crystalline silicon wafers have revolutionized many industries including electronics and solar cells,it is envisioned that the availability of large single-crystalline perovskite crystals and wafers will revolutionize its broad applications in photovoltaics,optoelectronics,lasers,photodetectors,light emitting diodes (LEDs),etc.Here we report a method to grow large single-crystalline perovskites including single-halide crystals:CH3NH3PbX3 (X=Ⅰ,Br,Cl),and dual-halide ones:CH3NH3Pb(ClxBr1-x)3 and CH3NH3Pb(BrxI1-x)3,with the largest crystal being 120 mm in length.Meanwhile,we have advanced a process to slice the large perovskite crystals into thin wafers.It is found that the wafers exhibit remarkable features:(1) its trap-state density is a million times smaller than that in the microcrystalline perovskite thin films (MPTF);(2) its carrier mobility is 410 times higher than its most popular organic counterpart P3HT;(3) its optical absorption is expanded to as high as 910 nm comparing to 797 nm for the MPTF;(4) while MPTF decomposes at 150 ℃,the wafer is stable at high temperature up to 270 ℃;(5) when exposed to high humidity (75% RH),MPTF decomposes in 5 h while the wafer shows no change for overnight;(6) its photocurrent response is 250 times higher than its MPTF counterpart.A few electronic devices have been fabricated using the crystalline wafers.Among them,the Hall test gives low carrier concentration with high mobility.The trap-state density is measured much lower than common semiconductors.Moreover,the large SC-wafer is found particularly useful for mass production of integrated circuits.By adjusting the halide composition,both the optical absorption and the light emission can be fine-tuned across the entire visible spectrum from 400 nm to 800 nm.It is envisioned that a range of visible lasers and LEDs may be developed using the dual-halide perovskites.With fewer trap states,high mobility,broader absorption,and humidity resistance,it is

  4. The uses of Man-Made diamond in wafering applications

    Science.gov (United States)

    Fallon, D. B.

    1982-01-01

    The continuing, rapid growth of the semiconductor industry requires the involvement of several specialized industries in the development of special products geared toward the unique requirements of this new industry. A specialized manufactured diamond to meet various material removal needs was discussed. The area of silicon wafer slicing has presented yet anothr challenge and it is met most effectively. The history, operation, and performance of Man-Made diamond and particularly as applied to silicon wafer slicing is discussed. Product development is underway to come up with a diamond specifically for sawing silicon wafers on an electroplated blade.

  5. MULTI-OBJECTIVE OPTIMISATION OF LASER CUTTING USING CUCKOO SEARCH ALGORITHM

    Directory of Open Access Journals (Sweden)

    M. MADIĆ

    2015-03-01

    Full Text Available Determining of optimal laser cutting conditions for improving cut quality characteristics is of great importance in process planning. This paper presents multi-objective optimisation of the CO2 laser cutting process considering three cut quality characteristics such as surface roughness, heat affected zone (HAZ and kerf width. It combines an experimental design by using Taguchi’s method, modelling the relationships between the laser cutting factors (laser power, cutting speed, assist gas pressure and focus position and cut quality characteristics by artificial neural networks (ANNs, formulation of the multiobjective optimisation problem using weighting sum method, and solving it by the novel meta-heuristic cuckoo search algorithm (CSA. The objective is to obtain optimal cutting conditions dependent on the importance order of the cut quality characteristics for each of four different case studies presented in this paper. The case studies considered in this study are: minimisation of cut quality characteristics with equal priority, minimisation of cut quality characteristics with priority given to surface roughness, minimisation of cut quality characteristics with priority given to HAZ, and minimisation of cut quality characteristics with priority given to kerf width. The results indicate that the applied CSA for solving the multi-objective optimisation problem is effective, and that the proposed approach can be used for selecting the optimal laser cutting factors for specific production requirements.

  6. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  7. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  8. Penggunaan Limbah Kopi Sebagai Bahan Penyusun Ransum Itik Peking dalam Bentuk Wafer Ransum Komplit

    Directory of Open Access Journals (Sweden)

    Muhammad Daud

    2013-04-01

    Full Text Available Effect of coffee waste as component of compiler ration peking duck in the form of wafer complete ration ABSTRACT. Coffee waste is a by-product of coffee processing that potential to be used as feed stuff for peking duck. The weakness of this coffee waste, among others, is perishable, voluminous (bulky and the availability was fluctuated so the processing technology is needed to make this vegetable waste to be durable, easy to stored and to be given to livestock. To solve this problem vegetable waste could be formed as wafer. This research was conducted to study effectiveness of coffee waste as component of compiler ration peking duck in the form of wafer complete ration This experiment was run in completely randomized design which consist of 4 feed treatment and 3 replications.  Ration used was consisted of  P0 = wafer complete ration 0% coffee waste (control, P1 = wafer complete ration 2,5% coffee waste, P2 = wafer complete ration 5% coffee waste, and P3 = Wafer complete ration 7,5% coffee waste. The Variables observed were: physical characteristic (aroma, color, and wafer density and palatability of wafer complete ration. Data collected was analyzed with ANOVA and Duncan Range Test would be used if the result was significantly different. The result showed that the density of wafer complete ration coffee waste was significantly (P< 0.05 differences between of treatment. Mean density wafer complete ration equal to: P0= 0,52±0,03, P1 =0,67±0,04, P2 =0,72±0,03, and P3 = 0,76±0.05 g/cm3. Wafer complete ration coffee waste palatability was significantly (P< 0.05 differences between of treatment. It is concluded that of wafer complete ration composition 5 and 7,5% coffee waste was significantly wafer palatability and gave a highest wafer density. The ration P0 was the most palatable compare to other treatments for the experimental peking duck.

  9. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  10. Plasma Arc Cutting Dimensional Accuracy Optimization employing the Parameter Design approach

    Directory of Open Access Journals (Sweden)

    Kechagias John

    2017-01-01

    Full Text Available Plasma Arc Cutting (PAC is a thermal manufacturing process used for metal plates cutting. This work experimentally investigates the influence of process parameters onto the dimensional accuracy performance of the plasma arc cutting process. The cutting parameters studied were cutting speed (mm/min, torch standoff distance (mm, and arc voltage (volts. Linear dimensions of a rectangular workpiece were measured after PAC cutting following the full factorial design experimental approach. For each one of the three process parameters, three parameter levels were used. Analysis of means (ANOM and analysis of variances (ANOVA were performed in order for the effect of each parameter on the leaner dimensional accuracy to be assessed.

  11. Ropossum: An Authoring Tool for Designing, Optimizing and Solving Cut the Rope Levels

    DEFF Research Database (Denmark)

    Shaker, Mohammad; Shaker, Noor; Togelius, Julian

    2013-01-01

    We present a demonstration of Ropossum, an authoring tool for the generation and testing of levels of the physics-based game, Cut the Rope. Ropossum integrates many features: (1) automatic design of complete solvable content, (2) incorporation of designer’s input through the creation of complete...... or partial designs, (3) automatic check for playability and (4) optimization of a given design based on playability. The system includes a physics engine to simulate the game and an evolutionary framework to evolve content as well as an AI reasoning agent to check for playability. The system is optimised...

  12. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  13. Wafer level hermetic packaging based on Cu-Sn isothermal solidification technology

    International Nuclear Information System (INIS)

    Cao Yuhan; Luo Le

    2009-01-01

    A novel wafer level bonding method based on Cu-Sn isothermal solidification technology is established. A multi-layer sealing ring and the bonding processing are designed, and the amount of solder and the bonding parameters are optimized based on both theoretical and experimental results. Verification shows that oxidation of the solder layer, voids and the scalloped-edge appearance of the Cu 6 Sn 5 phase are successfully avoided. An average shear strength of 19.5 MPa and an excellent leak rate of around 1.9 x 10 -9 atm cc/s are possible, meeting the demands of MIL-STD-883E. (semiconductor technology)

  14. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  15. Wafer-Level Vacuum Packaging of Smart Sensors

    OpenAIRE

    Hilton, Allan; Temple, Dorota S.

    2016-01-01

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging...

  16. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  17. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  18. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    Science.gov (United States)

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  19. Laser Cutting of Carbon Fiber Fabrics

    Science.gov (United States)

    Fuchs, A. N.; Schoeberl, M.; Tremmer, J.; Zaeh, M. F.

    Due to their high weight-specific mechanical stiffness and strength, parts made from carbon fiber reinforced polymers (CFRP) are increasingly used as structural components in the aircraft and automotive industry. However, the cutting of preforms, as with most automated manufacturing processes for CFRP components, has not yet been fully optimized. This paper discusses laser cutting, an alternative method to the mechanical cutting of preforms. Experiments with remote laser cutting and gas assisted laser cutting were carried out in order to identify achievable machining speeds. The advantages of the two different processes as well as their fitness for use in mass production are discussed.

  20. On the application of response surface methodology for predicting and optimizing surface roughness and cutting forces in hard turning by PVD coated insert

    Directory of Open Access Journals (Sweden)

    Hessainia Zahia

    2015-04-01

    Full Text Available This paper focuses on the exploitation of the response surface methodology (RSM to determine optimum cutting conditions leading to minimum surface roughness and cutting force components. The technique of RSM helps to create an efficient statistical model for studying the evolution of surface roughness and cutting forces according to cutting parameters: cutting speed, feed rate and depth of cut. For this purpose, turning tests of hardened steel alloy (AISI 4140 (56 HRC were carried out using PVD – coated ceramic insert under different cutting conditions. The equations of surface roughness and cutting forces were achieved by using the experimental data and the technique of the analysis of variance (ANOVA. The obtained results are presented in terms of mean values and confidence levels. It is shown that feed rate and depth of cut are the most influential factors on surface roughness and cutting forces, respectively. In addition, it is underlined that the surface roughness is mainly related to the cutting speed, whereas depth of cut has the greatest effect on the evolution of cutting forces. The optimal machining parameters obtained in this study represent reductions about 6.88%, 3.65%, 19.05% in cutting force components (Fa, Fr, Ft, respectively. The latters are compared with the results of initial cutting parameters for machining AISI 4140 steel in the hard turning process.

  1. Synchrotron radiation total reflection x-ray fluorescence analysis; of polymer coated silicon wafers

    International Nuclear Information System (INIS)

    Brehm, L.; Kregsamer, P.; Pianetta, P.

    2000-01-01

    It is well known that total reflection x-ray fluorescence (TXRF) provides an efficient method for analyzing trace metal contamination on silicon wafer surfaces. New polymeric materials used as interlayer dielectrics in microprocessors are applied to the surface of silicon wafers by a spin-coating process. Analysis of these polymer coated wafers present a new challenge for TXRF analysis. Polymer solutions are typically analyzed for bulk metal contamination prior to application on the wafer using inductively coupled plasma mass spectrometry (ICP-MS). Questions have arisen about how to relate results of surface contamination analysis (TXRF) of a polymer coated wafer to bulk trace analysis (ICP-MS) of the polymer solutions. Experiments were done to explore this issue using synchrotron radiation (SR) TXRF. Polymer solutions were spiked with several different concentrations of metals. These solutions were applied to silicon wafers using the normal spin-coating process. The polymer coated wafers were then measured using the SR-TXRF instrument set-up at the Stanford Synchrotron Radiation Laboratory (SSRL). Several methods of quantitation were evaluated. The best results were obtained by developing calibration curves (intensity versus ppb) using the spiked polymer coated wafers as standards. Conversion of SR-TXRF surface analysis results (atoms/cm 2 ) to a volume related concentration was also investigated. (author)

  2. Wafer level packaging of MEMS

    International Nuclear Information System (INIS)

    Esashi, Masayoshi

    2008-01-01

    Wafer level packaging plays many important roles for MEMS (micro electro mechanical systems), including cost, yield and reliability. MEMS structures on silicon chips are encapsulated between bonded wafers or by surface micromachining, and electrical interconnections are made from the cavity. Bonding at the interface, such as glass–Si anodic bonding and metal-to-metal bonding, requires electrical interconnection through the lid vias in many cases. On the other hand, lateral electrical interconnections on the surface of the chip are used for bonding with intermediate melting materials, such as low melting point glass and solder. The cavity formed by surface micromachining is made using sacrificial etching, and the openings needed for the sacrificial etching are plugged using deposition sealing methods. Vacuum packaging methods and the structures for electrical feedthrough for the interconnection are discussed in this review. (topical review)

  3. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  4. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Science.gov (United States)

    Lim, Stephen CB; Paech, Michael J; Sunderland, Bruce; Liu, Yandi

    2013-01-01

    Background The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. PMID:23596347

  5. High Speed On-Wafer Characterization Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — At the High Speed On-Wafer Characterization Laboratory, researchers characterize and model devices operating at terahertz (THz) and millimeter-wave frequencies. The...

  6. Polifeprosan 20, 3.85% carmustine slow release wafer in malignant glioma: patient selection and perspectives on a low-burden therapy

    Directory of Open Access Journals (Sweden)

    Kleinberg L

    2016-11-01

    Full Text Available Lawrence Kleinberg Department of Radiation Oncology and Molecular Radiation Sciences, Johns Hopkins University, Baltimore, MD, USA Abstract: Polifeprosan 20 with carmustine (GLIADEL® polymer implant wafer is a biodegradable compound containing 3.85% carmustine (BCNU, bischloroethylnitrosourea implanted in the brain at the time of planned tumor surgery, which then slowly degrades to release the BCNU chemotherapy directly into the brain thereby bypassing the blood–brain barrier. Carmustine implant wafers were demonstrated to improve survival in randomized placebo-controlled trials in patients undergoing a near total resection of newly diagnosed or recurrent malignant glioma. Based on these trials and other supporting data, carmustine wafer therapy was approved for use for newly diagnosed and recurrent malignant glioma in the United States and the European Union. Adverse events are uncommon, and as this therapy is placed at the time of surgery, it does not add to patient treatment burden. Nevertheless, this therapy appears to be underutilized. This article reviews the evidence for a favorable therapeutic ratio for the patient and the potential barriers. Consideration of these issues is important for optimal use of this therapeutic approach and may be important as this technology and other local therapies are further developed in the future. Keywords: carmustine, wafer, gliadel, glioblastoma

  7. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  8. Evaluation of laser cutting process with auxiliary gas pressure by soft computing approach

    Science.gov (United States)

    Lazov, Lyubomir; Nikolić, Vlastimir; Jovic, Srdjan; Milovančević, Miloš; Deneva, Heristina; Teirumenieka, Erika; Arsic, Nebojsa

    2018-06-01

    Evaluation of the optimal laser cutting parameters is very important for the high cut quality. This is highly nonlinear process with different parameters which is the main challenge in the optimization process. Data mining methodology is one of most versatile method which can be used laser cutting process optimization. Support vector regression (SVR) procedure is implemented since it is a versatile and robust technique for very nonlinear data regression. The goal in this study was to determine the optimal laser cutting parameters to ensure robust condition for minimization of average surface roughness. Three cutting parameters, the cutting speed, the laser power, and the assist gas pressure, were used in the investigation. As a laser type TruLaser 1030 technological system was used. Nitrogen as an assisted gas was used in the laser cutting process. As the data mining method, support vector regression procedure was used. Data mining prediction accuracy was very high according the coefficient (R2) of determination and root mean square error (RMSE): R2 = 0.9975 and RMSE = 0.0337. Therefore the data mining approach could be used effectively for determination of the optimal conditions of the laser cutting process.

  9. Gas flow parameters in laser cutting of wood- nozzle design

    Science.gov (United States)

    Kali Mukherjee; Tom Grendzwell; Parwaiz A.A. Khan; Charles McMillin

    1990-01-01

    The Automated Lumber Processing System (ALPS) is an ongoing team research effort to optimize the yield of parts in a furniture rough mill. The process is designed to couple aspects of computer vision, computer optimization of yield, and laser cutting. This research is focused on optimizing laser wood cutting. Laser machining of lumber has the advantage over...

  10. Qualitative analysis of laser cutting of CV joints for automobile industry

    Science.gov (United States)

    Aboites, Vicente; Ramirez, Roberto; Rayas, Juan

    2005-02-01

    The optimization of an automatic laser cutting system is reported. This CO2 laser system assisted by an O2 gas jet is used in the cutting of Constant Velocity (CV) joint for the automotive industry. The experimental parameters varied in order to obtain cuts with low roughness were the laser power, cutting speed and oxygen pressure. A mathematical model is presented which explains many of the features of the qualitative optimization realized.

  11. Homogeneous transparent conductive ZnO:Ga by ALD for large LED wafers

    Energy Technology Data Exchange (ETDEWEB)

    Szabó, Zoltán; Baji, Zsófia [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary); Basa, Péter [Semilab Semiconductor Physics Laboratory Co. Ltd., Prielle K. u. 2, H-1117 Budapest (Hungary); Czigány, Zsolt; Bársony, István [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary); Wang, Hsin-Ying [Epistar corporation No 5, Li-hsin 5th Rd., Hsinchu Science Park, Hsinchu 300, Taiwan (China); Volk, János, E-mail: volk@mfa.kfki.hu [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary)

    2016-08-30

    Highlights: • Highly conductive, transparent GZO layers were deposited by ALD. • The ALD layers show superior thickness and sheet resistance homogeneity for 4” wafers. • A two-step ALD deposition technique was proposed and demonstrated to improve the quality of GZO/p-GaN interface. - Abstract: Highly conductive and uniform Ga doped ZnO (GZO) films were prepared by atomic layer deposition (ALD) as transparent conductive layers for InGaN/GaN LEDs. The optimal Ga doping concentration was found to be 3 at%. Even for 4” wafers, the TCO layer shows excellent homogeneity of film resistivity (0.8 %) according to Eddy current and spectroscopic ellipsometry mapping. This makes ALD a favourable technique over concurrent methods like MBE and PLD where the up-scaling is problematic. In agreement with previous studies, it was found that by an annealing treatment the quality of the GZO/p-GaN interface can be improved, although it causes the degradation of TCO conductivity. Therefore, a two-step ALD deposition technique was proposed and demonstrated: a “buffer layer” deposited and annealed first was followed by a second deposition step to maintain the high conductivity of the top layer.

  12. An investigation of the DC and RF performance of InP DHBTs transferred to RF CMOS wafer substrate

    Science.gov (United States)

    Ren, Kun; Zheng, Jiachen; Lu, Haiyan; Liu, Jun; Wu, Lishu; Zhou, Wenyong; Cheng, Wei

    2018-05-01

    This paper investigated the DC and RF performance of the InP double heterojunction bipolar transistors (DHBTs) transferred to RF CMOS wafer substrate. The measurement results show that the maximum values of the DC current gain of a substrate transferred device had one emitter finger, of 0.8 μm in width and 5 μm in length, are changed unobviously, while the cut-off frequency and the maximum oscillation frequency are decreased from 220 to 171 GHz and from 204 to 154 GHz, respectively. In order to have a detailed insight on the degradation of the RF performance, small-signal models for the InP DHBT before and after substrate transferred are presented and comparably extracted. The extracted results show that the degradation of the RF performance of the device transferred to RF CMOS wafer substrate are mainly caused by the additional introduced substrate parasitics and the increase of the capacitive parasitics induced by the substrate transfer process itself. Project supported by the National Natural Science Foundation of China (No. 61331006) and the Natural Science Foundation of Zhejiang Province (No. Y14F010017).

  13. Nonuniformities of electrical resistivity in undoped 6H-SiC wafers

    International Nuclear Information System (INIS)

    Li, Q.; Polyakov, A.Y.; Skowronski, M.; Sanchez, E.K.; Loboda, M.J.; Fanton, M.A.; Bogart, T.; Gamble, R.D.

    2005-01-01

    Chemical elemental analysis, temperature-dependent Hall measurements, deep-level transient spectroscopy, and contactless resistivity mapping were performed on undoped semi-insulating (SI) and lightly nitrogen-doped conducting 6H-SiC crystals grown by physical vapor transport (PVT). Resistivity maps of commercial semi-insulating SiC wafers revealed resistivity variations across the wafers between one and two orders of magnitude. Two major types of variations were identified. First is the U-shape distribution with low resistivity in the center and high in the periphery of the wafer. The second type had an inverted U-shape distribution. Secondary-ion-mass spectrometry measurements of the distribution of nitrogen concentration along the growth axis and across the wafers sliced from different locations of lightly nitrogen-doped 6H-SiC boules were conducted. The measured nitrogen concentration gradually decreased along the growth direction and from the center to the periphery of the wafers. This change gives rise to the U-like distribution of resistivity in wafers of undoped SI-SiC. The concentrations of deep electron traps exhibited similar dependence. Compensation of nitrogen donors by these traps can result in the inverted U-like distribution of resistivity. Possible reasons for the observed nonuniformities include formation of a (0001) facet in PVT growth coupled with orientation-dependent nitrogen incorporation, systematic changes of the gas phase composition, and increase of the deposition temperature during boule growth

  14. A wafer mapping technique for residual stress in surface micromachined films

    International Nuclear Information System (INIS)

    Schiavone, G; Murray, J; Smith, S; Walton, A J; Desmulliez, M P Y; Mount, A R

    2016-01-01

    The design of MEMS devices employing movable structures is crucially dependant on the mechanical behaviour of the deposited materials. It is therefore important to be able to fully characterize the micromachined films and predict with confidence the mechanical properties of patterned structures. This paper presents a characterization technique that enables the residual stress in MEMS films to be mapped at the wafer level by using microstructures released by surface micromachining. These dedicated MEMS test structures and the associated measurement techniques are used to extract localized information on the strain and Young’s modulus of the film under investigation. The residual stress is then determined by numerically coupling this data with a finite element analysis of the structure. This paper illustrates the measurement routine and demonstrates it with a case study using electrochemically deposited alloys of nickel and iron, particularly prone to develop high levels of residual stress. The results show that the technique enables wafer mapping of film non-uniformities and identifies wafer-to-wafer differences. A comparison between the results obtained from the mapping technique and conventional wafer bow measurements highlights the benefits of using a procedure tailored to films that are non-uniform, patterned and surface-micromachined, as opposed to simple standard stress extraction methods. The presented technique reveals detailed information that is generally unexplored when using conventional stress extraction methods such as wafer bow measurements. (paper)

  15. Evaluation of an Optimal Cut-Off Point for the Ki-67 Index as a Prognostic Factor in Primary Breast Cancer: A Retrospective Study.

    Directory of Open Access Journals (Sweden)

    Rumiko Tashima

    Full Text Available The Ki-67 index is an important biomarker for indicating the proliferation of cancer cells and is considered to be an effective prognostic factor for breast cancer. However, a standard cut-off point for the Ki-67 index has not yet been established. Therefore, the aim of this retrospective study was to determine an optimal cut-off point in order to establish it as a more accurate prognostic factor. Immunohistochemical analysis of the Ki-67 index was performed on 4329 patients with primary breast cancer from August 1987 to March 2012. Out of this sample, there were 3186 consecutive cases from September 1997 with simultaneous evaluations of ER, PgR and HER2 status. Cox's proportional hazard model was used to perform univariate and multivariate analyses of the factors related to OS. The hazard ratios (HR and the p values were then compared to determine the optimal cut-off point for the Ki-67 index. The median Ki-67 index value was 20.5% (mean value 26.2%. The univariate analysis revealed that there was a statistically significant negative correlation with DFS and OS and the multivariate analysis revealed that the Ki-67 index value was a significant factor for DFS and OS. The top seven cut-off points were then carefully chosen based on the results of the univariate analysis using the lowest p-values and the highest HR as the main selection criteria. The multivariate analysis of the factors for OS showed that the cut-off point of 20% had the highest HR in all of the cases. However, the cutoff point of 20% was only a significant factor for OS in the Luminal/HER2- subtype. There was no correlation between the Ki-67 index value and OS in any of the other subtypes. These data indicate that the optimal cut-off point of 20% is the most effective prognostic factor for Luminal/HER2- breast cancer.

  16. Trends and Cut-Point Changes in Obesity Parameters by Age Groups Considering Metabolic Syndrome.

    Science.gov (United States)

    Park, Hyung Jun; Hong, Young Ho; Cho, Yun Jung; Lee, Ji Eun; Yun, Jae Moon; Kwon, Hyuktae; Kim, Sang Hyuck

    2018-02-12

    Non-communicable diseases (NCDs) are an important issue worldwide. Obesity has a close relationship with NCDs. Various age-related changes should be considered when evaluating obesity. National representative cohort data from the National Health Insurance Service National Sample Cohort from 2012 to 2013 were used. Sex-specific and age group-specific (10-year intervals) means for body mass index (BMI), waist circumference (WC), and waist-to-height ratio (WtHR) were calculated. Optimal cut-points for obesity parameters were defined as the value predicting two or more components of metabolic syndrome (except WC). The mean value and optimal cut-point for BMI decreased with age for men. The mean BMI value for women increased with age, but optimal cut-points showed no remarkable difference. The mean WC of men increased with age, but the optimal cut-points were similar for age groups. For women, the mean value and optimal cut-point for WC increased with age. Regarding WtHR, the mean value and optimal cut-point increased with age for men and women. Differences across age groups were larger for women. The mean values of the obesity indices and the optimal cut-points were changed according to age groups. This study supports the necessity of applying age group-specific cut-points for the various obesity parameters. © 2018 The Korean Academy of Medical Sciences.

  17. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  18. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wobrauschek, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Kregsamer, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Ladisich, W [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Streli, C [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Pahlke, S [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Fabry, L [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Garbe, S [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Haller, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Knoechel, A [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Radtke, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany)

    1995-09-11

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.).

  19. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    International Nuclear Information System (INIS)

    Wobrauschek, P.; Kregsamer, P.; Ladisich, W.; Streli, C.; Pahlke, S.; Fabry, L.; Garbe, S.; Haller, M.; Knoechel, A.; Radtke, M.

    1995-01-01

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.)

  20. The Optimal Cut-Off Value of Neutrophil-to-Lymphocyte Ratio for Predicting Prognosis in Adult Patients with Henoch–Schönlein Purpura

    Science.gov (United States)

    Park, Chan Hyuk; Han, Dong Soo; Jeong, Jae Yoon; Eun, Chang Soo; Yoo, Kyo-Sang; Jeon, Yong Cheol; Sohn, Joo Hyun

    2016-01-01

    Background The development of gastrointestinal (GI) bleeding and end-stage renal disease (ESRD) can be a concern in the management of Henoch–Schönlein purpura (HSP). We aimed to evaluate whether the neutrophil-to-lymphocyte ratio (NLR) is associated with the prognosis of adult patients with HSP. Methods Clinical data including the NLR of adult patients with HSP were retrospectively analyzed. Patients were classified into three groups as follows: (a) simple recovery, (b) wax & wane without GI bleeding, and (c) development of GI bleeding. The optimal cut-off value was determined using a receiver operating characteristics curve and the Youden index. Results A total of 66 adult patients were enrolled. The NLR was higher in the GI bleeding group than in the simple recovery or wax & wane group (simple recovery vs. wax & wane vs. GI bleeding; median [IQR], 2.32 [1.61–3.11] vs. 3.18 [2.16–3.71] vs. 7.52 [4.91–10.23], P<0.001). For the purpose of predicting simple recovery, the optimal cut-off value of NLR was 3.18, and the sensitivity and specificity were 74.1% and 75.0%, respectively. For predicting development of GI bleeding, the optimal cut-off value was 3.90 and the sensitivity and specificity were 87.5% and 88.6%, respectively. Conclusions The NLR is useful for predicting development of GI bleeding as well as simple recovery without symptom relapse. Two different cut-off values of NLR, 3.18 for predicting an easy recovery without symptom relapse and 3.90 for predicting GI bleeding can be used in adult patients with HSP. PMID:27073884

  1. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  2. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  3. Bondability of processed glass wafers

    NARCIS (Netherlands)

    Pandraud, G.; Gui, C.; Lambeck, Paul; Pigeon, F.; Parriaux, O.; Gorecki, Christophe

    1999-01-01

    The mechanism of direct bonding at room temperature has been attributed to the short range inter-molecular and inter-atomic attraction forces, such as Van der Waals forces. Consequently, the wafer surface smoothness becomes one of the most critical parameters in this process. High surface roughness

  4. Analysis and synthesis of a system for optimal automatic regulation of the process of mechanical cutting by a combine

    Energy Technology Data Exchange (ETDEWEB)

    Pop, E.; Coroescu, T.; Poanta, A.; Pop, M.

    1978-01-01

    Uncontrollable dynamic operating regime of a combine has a negative effect. A consequence of the uncontrolled change in productivity and rate during cutting is total decrease in productivity. The cutters of the cutting mechanism are prematurely worn out. The quality of the coal decreases. Complications with combine control reduce productivity. The motor is exposed to the maximum loads, its service life decreases, and there is an inefficient consumption of electricity. Studies of the optimal automatic regulation of the cutting process were made by the method of modeled analysis on digital and analog machines. The method uses an electronic-automatic device with integrating circuit of domestic production (A-741, A-723). This device controls and regulates the current parameters of the acting motor. The device includes primarily an element of information type of the Hall TH traductor type, the regulating element is an electronic relay, electronic power distributor, etc.

  5. Lamb wave propagation in monocrystalline silicon wafers.

    Science.gov (United States)

    Fromme, Paul; Pizzolato, Marco; Robyr, Jean-Luc; Masserey, Bernard

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness and beam skewing of the two fundamental Lamb wave modes A 0 and S 0 were investigated. Experimental measurements using contact wedge transducer excitation and laser measurement were conducted. Good agreement was found between the theoretically calculated angular dependency of the phase slowness and measurements for different propagation directions relative to the crystal orientation. Significant wave skew and beam widening was observed experimentally due to the anisotropy, especially for the S 0 mode. Explicit finite element simulations were conducted to visualize and quantify the guided wave beam skew. Good agreement was found for the A 0 mode, but a systematic discrepancy was observed for the S 0 mode. These effects need to be considered for the non-destructive testing of wafers using guided waves.

  6. Determination of wafer center position during the transfer process by using the beam-breaking method

    International Nuclear Information System (INIS)

    Chen, Yi-Cheng; Wang, Zhi-Gen; Huang, Bo-Kai

    2014-01-01

    A wafer on a robot blade may slip due to inertia sliding during the acceleration or deceleration process. This study presents the implementation and experimental verification of a novel real-time wafer positioning system to be used during the transfer process. A system-integration computer program involving a human–machine interface (HMI) was also developed, exhibiting the following functions: (a) moving direction judgment; (b) notch-passing judgment; (c) indicating the sensor by which the notch passes; and (d) computing the wafer center in real time. The position of the wafer center is calculated based on the time-sequence of the beam-breaking signals from two optical sensors, and the geometric relations among the sensing points of the robot blade and wafer. When using eight-inch wafers, the experimental results indicated the capabilities of the proposed positioning system under various conditions, including distinct parameters regarding the moving direction, wafer displacement and notch-passing sensors. The accuracy and precision (repeatability) of the measurement in various conditions were calculated and discussed. Furthermore, the experimental results demonstrate that, after combining the novel wafer positioning system and HMI program, the proposed method can be used to compute the position of the wafer center in real time in various conditions. (paper)

  7. Qualitative analysis of laser cutting of CV joints for the automobile industry

    Science.gov (United States)

    Aboites, V.; Ramírez, R.; Rayas, J.

    2006-02-01

    The optimization of an automatic laser cutting system is reported. This CO II laser system assisted by an O II gas jet is used in the cutting of Constant Velocity (CV) joint for the automotive industry. The experimental parameters varied in order to obtain cuts with low roughness were the laser power, cutting speed and oxygen pressure. A mathematical model is presented which explains many of the features of the qualitative optimization realized.

  8. Optimal cut-off of homeostasis model assessment of insulin resistance (HOMA-IR) for the diagnosis of metabolic syndrome: third national surveillance of risk factors of non-communicable diseases in Iran (SuRFNCD-2007).

    Science.gov (United States)

    Esteghamati, Alireza; Ashraf, Haleh; Khalilzadeh, Omid; Zandieh, Ali; Nakhjavani, Manouchehr; Rashidi, Armin; Haghazali, Mehrdad; Asgari, Fereshteh

    2010-04-07

    We have recently determined the optimal cut-off of the homeostatic model assessment of insulin resistance for the diagnosis of insulin resistance (IR) and metabolic syndrome (MetS) in non-diabetic residents of Tehran, the capital of Iran. The aim of the present study is to establish the optimal cut-off at the national level in the Iranian population with and without diabetes. Data of the third National Surveillance of Risk Factors of Non-Communicable Diseases, available for 3,071 adult Iranian individuals aging 25-64 years were analyzed. MetS was defined according to the Adult Treatment Panel III (ATPIII) and International Diabetes Federation (IDF) criteria. HOMA-IR cut-offs from the 50th to the 95th percentile were calculated and sensitivity, specificity, and positive likelihood ratio for MetS diagnosis were determined. The receiver operating characteristic (ROC) curves of HOMA-IR for MetS diagnosis were depicted, and the optimal cut-offs were determined by two different methods: Youden index, and the shortest distance from the top left corner of the curve. The area under the curve (AUC) (95%CI) was 0.650 (0.631-0.670) for IDF-defined MetS and 0.683 (0.664-0.703) with the ATPIII definition. The optimal HOMA-IR cut-off for the diagnosis of IDF- and ATPIII-defined MetS in non-diabetic individuals was 1.775 (sensitivity: 57.3%, specificity: 65.3%, with ATPIII; sensitivity: 55.9%, specificity: 64.7%, with IDF). The optimal cut-offs in diabetic individuals were 3.875 (sensitivity: 49.7%, specificity: 69.6%) and 4.325 (sensitivity: 45.4%, specificity: 69.0%) for ATPIII- and IDF-defined MetS, respectively. We determined the optimal HOMA-IR cut-off points for the diagnosis of MetS in the Iranian population with and without diabetes.

  9. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Directory of Open Access Journals (Sweden)

    Lim SCB

    2013-04-01

    Full Text Available Stephen CB Lim,1,3 Michael J Paech,2 Bruce Sunderland,3 Yandi Liu3 1Pharmacy Department, Armadale Health Service, Armadale, 2School of Medicine and Pharmacology, University of Western Australia, and Department of Anaesthesia and Pain Medicine, King Edward Memorial Hospital for Women, Subiaco, 3School of Pharmacy, Curtin Health Innovation Research Institute, Curtin University, Perth, WA, Australia Background: The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods: The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results: In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion: These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. Keywords: absolute bioavailability, fentanyl wafer, in vitro dissolution, in vivo study, pharmacokinetics, sublingual

  10. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  11. Ambient plasma treatment of silicon wafers for surface passivation recovery

    Science.gov (United States)

    Ge, Jia; Prinz, Markus; Markert, Thomas; Aberle, Armin G.; Mueller, Thomas

    2017-08-01

    In this work, the effect of an ambient plasma treatment powered by compressed dry air on the passivation quality of silicon wafers coated with intrinsic amorphous silicon sub-oxide is investigated. While long-time storage deteriorates the effective lifetime of all samples, a short ambient plasma treatment improves their passivation qualities. By studying the influence of the plasma treatment parameters on the passivation layers, an optimized process condition was identified which even boosted the passivation quality beyond its original value obtained immediately after deposition. On the other hand, the absence of stringent requirement on gas precursors, vacuum condition and longtime processing makes the ambient plasma treatment an excellent candidate to replace conventional thermal annealing in industrial heterojunction solar cell production.

  12. CO2 Laser Cutting of Hot Stamping Boron Steel Sheets

    Directory of Open Access Journals (Sweden)

    Pasquale Russo Spena

    2017-10-01

    Full Text Available This study investigates the quality of CO2 laser cutting of hot stamping boron steel sheets that are employed in the fabrication of automotive body-in-white. For this purpose, experimental laser cutting tests were conducted on 1.2 mm sheets at varying levels of laser power, cutting speed, and oxygen pressure. The resulting quality of cut edges was evaluated in terms of perpendicularity tolerance, surface irregularity, kerf width, heat affected zone, and dross extension. Experimental tests were based on a L9(34 orthogonal array design, with the effects of the process parameters on the quality responses being determined by means of a statistical analysis of variance (ANOVA. Quadratic mathematical models were developed to determine the relationships between the cutting parameters and the quality responses. Finally, a routine based on an optimization criterion was employed to predict the optimal setting of cutting factors and its effect on the quality responses. A confirmation experiment was conducted to verify the appropriateness of the optimization routine. The results show that all of the examined process parameters have a key role in determining the cut quality of hot stamping boron steel sheets, with cutting speed and their interactions having the most influencing effects. Particularly, interactions can have an opposite behavior for different levels of the process parameters.

  13. Preparation and characterisation of immobilised humic acid on silicon wafer

    International Nuclear Information System (INIS)

    Szabo, Gy.; Guczi, J.; Telegdi, J.; Pashalidis, I.; Szymczak, W.; Buckau, G.

    2005-01-01

    Full text of publication follows: The chemistry of the interactions of radionuclides with humic acid needs to be understood in details so that humate-mediated migration of radionuclides through the environment can be predicted. To achieve such a data in microscopic scale, several detective techniques, such as atomic force microscopy (AFM), chemical force microscopy (CFM), nuclear microprobe analysis (NMA) and X-ray photoelectron spectroscopy (XPS) can be used to measure intermolecular forces and to visualize the surface morphology. The main aim of this work was to provide humic material with specific properties in order to study with different spectroscopic techniques, the complexation behaviour of surface bound humic acid in microscopic scale. Namely, humic acid has been immobilised on silicon wafers in order to mimic surface bound humic substances in natural aquatic systems. In this communication, we present a simple protocol to immobilize humic acid on silicon wafer surface. A tri-functional silane reagent 3-amino-propyl-tri-methoxy-silane (APTES) was used to modify the surface of silicon wafers and appeared to be able to strongly attached soluble humic acid through their carboxylic groups to solid support. Characterisation of the surfaces, after any preparation steps, was done by ATR-FTIR, AFM and TOF-SIMS. These methods have proved that the humic acid forms a relatively homogeneous layer on the wafers. Immobilisation of humic acid on silicon wafer was further proved by binding isotherm of Am/Nd. (authors)

  14. Effect of type and percentage of reinforcement for optimization of the cutting force in turning of Aluminium matrix nanocomposites using response surface methodologies

    Energy Technology Data Exchange (ETDEWEB)

    Priyadarshi, Devinder [DAV Institute of Engineering and Technology, Jalandhar (India); Sharma, Rajesh Kumar [Institute of Technology, Hamirpur (India)

    2016-03-15

    Aluminium matrix composites (AMCs) now hold a significant share of raw materials in many applications. It is of prime importance to study the machinability of such composites so as to enhance their applicability. Sufficient work has been done for studying the machining of AMCs with particle reinforcements of micron range. This paper presents the study of AMCs with particle reinforcement of under micron range i.e. nanoparticles. This paper brings out the results of an experimental investigation of type and weight percent of nanoparticles on the tangential cutting force during turning operation. SiC, Gr and SiC-Gr (in equal proportions) were used with Al-6061 alloy as the matrix phase. The results indicate that composites with SiC require greater cutting force followed by hybrid and then Gr. Increase in the weight percent also significantly affected the magnitude of cutting force. RSM was used first to design and analyze the experiments and then to optimize the turning process and obtain optimal conditions of weight and type of reinforcements for turning operation.

  15. Slicing Cuts on Food Materials Using Robotic-Controlled Razor Blade

    Directory of Open Access Journals (Sweden)

    Debao Zhou

    2011-01-01

    Full Text Available Cutting operations using blades can arise in a number of industries, for example, food processing industry, in which cheese, fruit and vegetable, even meat, are involved. Certain questions will rise during these works, such as “why pressing-and-slicing cuts use less force than pressing-only cuts” and “how is the influence of the blade cutting-edge on force”. To answer these questions, this research developed a mathematical expression of the cutting stress tensor. Based on the analysis of the stress tensor on the contact surface, the influence of the blade edge-shape and slicing angle on the resultant cutting force were formulated and discussed. These formulations were further verified using experimental results by robotic cutting of potatoes. Through studying the change of the cutting force, the optimal slicing angle can be obtained in terms of maximum feeding distance and minimum cutting force. Based on the blade sharpness properties and the specific materials, the required cutting force can be predicted. These formulation and experimental results explained the basic theory of blade cutting fracture and further provided the support to optimize the cutting mechanism design and to develop the force control algorithms for the automation of blade cutting operations.

  16. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    Science.gov (United States)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  17. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    Science.gov (United States)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  18. Handbook of wafer bonding

    CERN Document Server

    Ramm, Peter; Taklo, Maaike M V

    2011-01-01

    Written by an author and editor team from microsystems companies and industry-near research organizations, this handbook and reference presents dependable, first-hand information on bonding technologies.In the first part, researchers from companies and institutions around the world discuss the most reliable and reproducible technologies for the production of bonded wafers. The second part is devoted to current and emerging applications, including microresonators, biosensors and precise measuring devices.

  19. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2017-05-10

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10 billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).

  20. Extreme Learning Machine and Particle Swarm Optimization in optimizing CNC turning operation

    Science.gov (United States)

    Janahiraman, Tiagrajah V.; Ahmad, Nooraziah; Hani Nordin, Farah

    2018-04-01

    The CNC machine is controlled by manipulating cutting parameters that could directly influence the process performance. Many optimization methods has been applied to obtain the optimal cutting parameters for the desired performance function. Nonetheless, the industry still uses the traditional technique to obtain those values. Lack of knowledge on optimization techniques is the main reason for this issue to be prolonged. Therefore, the simple yet easy to implement, Optimal Cutting Parameters Selection System is introduced to help the manufacturer to easily understand and determine the best optimal parameters for their turning operation. This new system consists of two stages which are modelling and optimization. In modelling of input-output and in-process parameters, the hybrid of Extreme Learning Machine and Particle Swarm Optimization is applied. This modelling technique tend to converge faster than other artificial intelligent technique and give accurate result. For the optimization stage, again the Particle Swarm Optimization is used to get the optimal cutting parameters based on the performance function preferred by the manufacturer. Overall, the system can reduce the gap between academic world and the industry by introducing a simple yet easy to implement optimization technique. This novel optimization technique can give accurate result besides being the fastest technique.

  1. Wafer-level packaged RF-MEMS switches fabricated in a CMOS fab

    NARCIS (Netherlands)

    Tilmans, H.A.C.; Ziad, H.; Jansen, Henricus V.; Di Monaco, O.; Jourdain, A.; De Raedt, W.; Rottenberg, X.; De Backer, E.; Decoussernaeker, A.; Baert, K.

    2001-01-01

    Reports on wafer-level packaged RF-MEMS switches fabricated in a commercial CMOS fab. Switch fabrication is based on a metal surface micromachining process. A novel wafer-level packaging scheme is developed, whereby the switches are housed in on-chip sealed cavities using benzocyclobutene (BCB) as

  2. InP-based photonic integrated circuit platform on SiC wafer.

    Science.gov (United States)

    Takenaka, Mitsuru; Takagi, Shinichi

    2017-11-27

    We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.

  3. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography

    International Nuclear Information System (INIS)

    Gourgon, C; Perret, C; Tallal, J; Lazzarino, F; Landis, S; Joubert, O; Pelzer, R

    2005-01-01

    Uniformity of the printing process is one of the key parameters of nanoimprint lithography. This technique has to be extended to large size wafers to be useful for several industrial applications, and the uniformity of micro and nanostructures has to be guaranteed on large surfaces. This paper presents results of printing on 200 mm diameter wafers. The residual thickness uniformity after printing is demonstrated at the wafer scale in large patterns (100 μm), in smaller lines of 250 nm and in sub-100 nm features. We show that a mould deformation occurs during the printing process, and that this deformation is needed to guarantee printing uniformity. However, the mould deformation is also responsible for the potential degradation of the patterns

  4. Physical mechanisms of copper-copper wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.; Hingerl, K.

    2015-01-01

    The study of the physical mechanisms driving Cu-Cu wafer bonding allowed for reducing the bonding temperatures below 200 °C. Metal thermo-compression Cu-Cu wafer bonding results obtained at such low temperatures are very encouraging and suggest that the process is possible even at room temperature if some boundary conditions are fulfilled. Sputtered (PVD) and electroplated Cu thin layers were investigated, and the analysis of both metallization techniques demonstrated the importance of decreasing Cu surface roughness. For an equal surface roughness, the bonding temperature of PVD Cu wafers could be even further reduced due to the favorable microstructure. Their smaller grain size enhances the length of the grain boundaries (observed on the surface prior bonding), acting as efficient mass transfer channels across the interface, and hence the grains are able to grow over the initial bonding interface. Due to the higher concentration of random high-angle grain boundaries, this effect is intensified. The model presented is explaining the microstructural changes based on atomic migration, taking into account that the reduction of the grain boundary area is the major driving force to reduce the Gibbs free energy, and predicts the subsequent microstructure evolution (grain growth) during thermal annealing

  5. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  6. Aggressive plaque modification with rotational atherectomy and cutting balloon for optimal stent expansion in calcified lesions

    Science.gov (United States)

    Tang, Zhe; Bai, Jing; Su, Shao-Ping; Lee, Pui-Wai; Peng, Liang; Zhang, Tao; Sun, Ting; Nong, Jing-Guo; Li, Tian-De; Wang, Yu

    2016-01-01

    Objective To evaluate the factors affecting optimal stent expansion in calcified lesions treated by aggressive plaque modification with rotational atherectomy (RA) and a cutting balloon (CB). Methods From January 2014 to May 2015, 92 patients with moderate to severe coronary calcified lesions underwent rotational atherectomy and intravascular ultrasound imaging at Chinese PLA General Hospital (Beijing, China) were included in this study. They were divided into a rotational artherectomy combined with cutting balloon (RACB) group (46 patients treated with RA followed by CB angioplasty) and an RA group (46 patients treated with RA followed by plain balloon angioplasty). Another 40 patients with similar severity of their calcified lesions treated with plain old balloon angioplasty (POBA) were demographically matched to the other groups and defined as the POBA group. All patients received a drug-eluting stent after plaque preparation. Lumen diameter and lumen diameter stenosis (LDS) were measured by quantitative coronary angiography at baseline, after RA, after dilatation, and after stenting. Optimal stent expansion was defined as the final LDS < 10%. Results The initial and post-RA LDS values were similar among the three groups. However, after dilatation, the LDS significantly decreased in the RACB group (from 54.5% ± 8.9% to 36.1% ± 7.1%) but only moderately decreased (from 55.7% ± 7.8% to 46.9% ± 9.4%) in the RA group (time × group, P < 0.001). After stenting, there was a higher rate of optimal stent expansion in the RACB group (71.7% in the RACB group, 54.5% in the RA group, and 15% in the POBA group, P < 0.001), and the final LDS was significantly diminished in the RACB group compared to the other two groups (6.0% ± 2.3%, 10.8% ± 3.3%, 12.7% ± 2.1%, P < 0.001). Moreover, an LDS ≤ 40% after plaque preparation (OR = 2.994, 95% CI: 1.297–6.911) was associated with optimal stent expansion, which also had a positive correlation with the appearance of a

  7. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    Science.gov (United States)

    Vega, M.; Granell, P.; Lasorsa, C.; Lerner, B.; Perez, M.

    2016-02-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production.

  8. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    International Nuclear Information System (INIS)

    Vega, M; Lasorsa, C; Lerner, B; Perez, M; Granell, P

    2016-01-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production. (paper)

  9. Laser Cutting of Materials of Various Thicknesses

    Directory of Open Access Journals (Sweden)

    Martin Grepl

    2012-01-01

    Full Text Available Thise paper deals with the application of laser technology and optimizing the parameters for cutting nickel alloy. The theoretical part of the paper describes various types of lasers, their principles and usage. The experimental part focuses on optimizing the section parameteres of Haynes 718 alloy using a CO2 gas laser. This alloy is employed in the production of components for the aircraft industry. The experiment was performed on the Wibro Delta laser system designed for sizable parts. The actual section is measured with respect to its quality and any accompanying side effects that occur during the process. In this case, laser output and cutting speed were the parameters with most influence on the final cut. The summary explains the results achieved in a metallographic laboratory.

  10. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  11. Micro-cutting of silicon implanted with hydrogen and post-implantation thermal treatment

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet; Sundaravel, B.; Xiao, Gaobo; Huang, Hu

    2016-07-01

    It was reported that non-amorphizing implantation by hydrogen has a potential in improving silicon machining. Post-implantation high-temperature treatment will affect implantation-induced damage, which can have impact on silicon machining. In this article, a relation of a thermal annealing of hydrogen implanted in silicon to micro-cutting experiment is investigated. Hydrogen ions were implanted into 4″ silicon wafers with 175 keV, 150 keV, 125 keV and doses of 2 × 1016 cm-2, 2 × 1016 cm-2 and 3 × 1016 cm-2, respectively. In this way, low hydrogen atom-low defect concentration was created in the region less than ~0.8 μm deep and high hydrogen atom-high defect concentration was obtained at silicon depth of ~0.8-1.5 μm. The post-implantation annealing was carried out at 300 and 400 °C in nitrogen for 1 h. Physical and electrical properties of implanted and annealed samples were characterized by secondary ion mass spectroscopy (SIMS), X-ray diffraction (XRD), Rutherford backscattering (RBS) and nanoindentation. Plunge cutting experiment was carried out in and silicon crystal direction. The critical depth of cut and cutting force were monitored and found to be influenced by the annealing. The limits of hydrogen implantation annealing contribution to the cutting characteristics of silicon are discussed in light of implantation process and redistribution of hydrogen and defects generation during annealing process.

  12. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  13. Qualification of multi-crystalline silicon wafers by optical imaging for industrial use

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, G.J.M.; Van der Borg, N.J.C.M.; Manshanden, P.; De Bruijne, M.; Bende, E.E. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    We have developed a method to qualify multi-crystalline silicon (mc-Si) wafers that are being used in a production process. An optical image of an etched wafer is made. This etching can be a standard industrial acid etching for mc-Si wafers as is commonly used for saw damage removal and simultaneous iso-texturing. Digital image processing is then applied to identify the number of dislocations and their distribution over the wafer. This information is used as input for a cell performance prediction model, where the performance is characterized by the open circuit voltage (Voc) or the efficiency. The model can include various levels of sophistication, i.e. from using an average density of dislocations to the full spatial resolution of the dislocations in a 2D simulation that includes also the metallization pattern on the cell. The predicted performance is then evaluated against pre-selected criteria. The possibility to apply this optical qualification method in an initial stage in the production enables early rejection of the wafers, further tailoring of the cell production process or identification of instabilities in the production process.

  14. Tool path strategy and cutting process monitoring in intelligent machining

    Science.gov (United States)

    Chen, Ming; Wang, Chengdong; An, Qinglong; Ming, Weiwei

    2018-06-01

    Intelligent machining is a current focus in advanced manufacturing technology, and is characterized by high accuracy and efficiency. A central technology of intelligent machining—the cutting process online monitoring and optimization—is urgently needed for mass production. In this research, the cutting process online monitoring and optimization in jet engine impeller machining, cranio-maxillofacial surgery, and hydraulic servo valve deburring are introduced as examples of intelligent machining. Results show that intelligent tool path optimization and cutting process online monitoring are efficient techniques for improving the efficiency, quality, and reliability of machining.

  15. All-in-One Wafer-Level Solution for MMIC Automatic Testing

    Directory of Open Access Journals (Sweden)

    Xu Ding

    2018-04-01

    Full Text Available In this paper, we present an all-in-one wafer-level solution for MMIC (monolithic microwave integrated circuit automatic testing. The OSL (open short load two tier de-embedding, the calibration verification model, the accurate PAE (power added efficiency testing, and the optimized vector cold source NF (noise figure measurement techniques are integrated in this solution to improve the measurement accuracy. A dual-core topology formed by an IPC (industrial personal computer and a VNA (vector network analyzer, and an automatic test software based on a three-level driver architecture, are applied to enhance the test efficiency. The benefit from this solution is that all the data of a MMIC can be achieved in only one contact, which shows state-of-the-art accuracy and efficiency.

  16. Wafer integrated micro-scale concentrating photovoltaics

    Science.gov (United States)

    Gu, Tian; Li, Duanhui; Li, Lan; Jared, Bradley; Keeler, Gordon; Miller, Bill; Sweatt, William; Paap, Scott; Saavedra, Michael; Das, Ujjwal; Hegedus, Steve; Tauke-Pedretti, Anna; Hu, Juejun

    2017-09-01

    Recent development of a novel micro-scale PV/CPV technology is presented. The Wafer Integrated Micro-scale PV approach (WPV) seamlessly integrates multijunction micro-cells with a multi-functional silicon platform that provides optical micro-concentration, hybrid photovoltaic, and mechanical micro-assembly. The wafer-embedded micro-concentrating elements is shown to considerably improve the concentration-acceptance-angle product, potentially leading to dramatically reduced module materials and fabrication costs, sufficient angular tolerance for low-cost trackers, and an ultra-compact optical architecture, which makes the WPV module compatible with commercial flat panel infrastructures. The PV/CPV hybrid architecture further allows the collection of both direct and diffuse sunlight, thus extending the geographic and market domains for cost-effective PV system deployment. The WPV approach can potentially benefits from both the high performance of multijunction cells and the low cost of flat plate Si PV systems.

  17. Mechanics of wafer bonding: Effect of clamping

    Science.gov (United States)

    Turner, K. T.; Thouless, M. D.; Spearing, S. M.

    2004-01-01

    A mechanics-based model is developed to examine the effects of clamping during wafer bonding processes. The model provides closed-form expressions that relate the initial geometry and elastic properties of the wafers to the final shape of the bonded pair and the strain energy release rate at the interface for two different clamping configurations. The results demonstrate that the curvature of bonded pairs may be controlled through the use of specific clamping arrangements during the bonding process. Furthermore, it is demonstrated that the strain energy release rate depends on the clamping configuration and that using applied loads usually leads to an undesirable increase in the strain energy release rate. The results are discussed in detail and implications for process development and bonding tool design are highlighted.

  18. X-Ray Diffraction (XRD) Characterization Methods for Sigma=3 Twin Defects in Cubic Semiconductor (100) Wafers

    Science.gov (United States)

    Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); King, Glen C. (Inventor); Choi, Sang Hyouk (Inventor)

    2017-01-01

    An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.

  19. Design and implementation of a S-parameter wafer defect scanner

    International Nuclear Information System (INIS)

    Naik, P.S.; Beling, C.D.; Fung, S.

    2004-01-01

    We describe the design and implementation of a real-time automated scanning system that gives an S-parameter image of a semiconductor wafer, thus allowing the density of vacancy type defects to be shown as a function of position on the wafer. A conventional 22 Na positron source of 0.5 mm diameter rasters across 5 x 5 cm 2 region of two times per hour in rectilinear motion. Gamma ray energies E γ are processed using a standard HP Ge spectroscopy system and a 14 bit nuclear ADC. Over a period of 1-2 days a high resolution 128 x 128 pixel image with 256 colours (scaled to the S-parameter range) can be formed as a wafer defect map. The system is reliable, interactive and user-friendly (patent pending 2003). (orig.)

  20. Theory and applications for optimization of every part of a photovoltaic system

    Science.gov (United States)

    Redfield, D.

    1978-01-01

    A general method is presented for quantitatively optimizing the design of every part and fabrication step of an entire photovoltaic system, based on the criterion of minimum cost/Watt for the system output power. It is shown that no element or process step can be optimized properly by considering only its own cost and performance. Moreover, a fractional performance loss at any fabrication step within the cell or array produces the same fractional increase in the cost/Watt of the entire array, but not of the full system. One general equation is found to be capable of optimizing all parts of a system, although the cell and array steps are basically different from the power-handling elements. Applications of this analysis are given to show (1) when Si wafers should be cut to increase their packing fraction; and (2) what the optimum dimensions for solar cell metallizations are. The optimum shadow fraction of the fine grid is shown to be independent of metal cost and resistivity as well as cell size. The optimum thicknesses of both the fine grid and the bus bar are substantially greater than the values in general use, and the total array cost has a major effect on these values. By analogy, this analysis is adaptable to other solar energy systems.

  1. Fabrication and Characterization of Capacitive Micromachined Ultrasonic Transducers with Low-Temperature Wafer Direct Bonding

    Directory of Open Access Journals (Sweden)

    Xiaoqing Wang

    2016-12-01

    Full Text Available This paper presents a fabrication method of capacitive micromachined ultrasonic transducers (CMUTs by wafer direct bonding, which utilizes both the wet chemical and O2plasma activation processes to decrease the bonding temperature to 400 °C. Two key surface properties, the contact angle and surface roughness, are studied in relation to the activation processes, respectively. By optimizing the surface activation parameters, a surface roughness of 0.274 nm and a contact angle of 0° are achieved. The infrared images and static deflection of devices are assessed to prove the good bonding effect. CMUTs having silicon membranes with a radius of 60 μm and a thickness of 2 μm are fabricated. Device properties have been characterized by electrical and acoustic measurements to verify their functionality and thus to validate this low-temperature process. A resonant frequency of 2.06 MHz is obtained by the frequency response measurements. The electrical insertion loss and acoustic signal have been evaluated. This study demonstrates that the CMUT devices can be fabricated by low-temperature wafer direct bonding, which makes it possible to integrate them directly on top of integrated circuit (IC substrates.

  2. Accurate characterization of wafer bond toughness with the double cantilever specimen

    Science.gov (United States)

    Turner, Kevin T.; Spearing, S. Mark

    2008-01-01

    The displacement loaded double cantilever test, also referred to as the "Maszara test" and the "crack opening method" by the wafer bonding community, is a common technique used to evaluate the interface toughness or surface energy of direct wafer bonds. While the specimen is widely used, there has been a persistent question as to the accuracy of the method since the actual specimen geometry differs from the ideal beam geometry assumed in the expression used for data reduction. The effect of conducting the test on whole wafer pairs, in which the arms of cantilevers are wide plates rather than slender beams, is examined in this work using finite element analysis. A model is developed to predict the equilibrium shape of the crack front and to develop a corrected expression for calculating interface toughness from crack length measurements obtained in tests conducted on whole wafer pairs. The finite element model, which is validated through comparison to experiments, demonstrates that using the traditional beam theory-based expressions for data reduction can lead to errors of up to 25%.

  3. The preparation and thermoelectric properties of molten salt electrodeposited boron wafers

    International Nuclear Information System (INIS)

    Kumashiro, Y.; Ozaki, S.; Sato, K.; Kataoka, Y.; Hirata, K.; Yokoyama, T.; Nagatani, S.; Kajiyama, K.

    2004-01-01

    We have prepared electrodeposited boron wafer by molten salts with KBF 4 -KF at 680 deg. C using graphite crucible for anode and silicon wafer and nickel plate for cathodes. Experiments were performed by various molar ratios KBF 4 /KF and current densities. Amorphous p-type boron wafers with purity 87% was deposited on nickel plate for 1 h. Thermal diffusivity by ring-flash method and heat capacity by DSC method produced thermal conductivity showing amorphous behavior in the entire temperature range. The systematical results on thermoelectric properties were obtained for the wafers prepared with KBF 4 -KF (66-34 mol%) under various current densities in the range 1-2 A/cm 2 . The temperature dependencies of electrical conductivity showed thermal activated type with activation energy of 0.5 eV. Thermoelectric power tended to increase with increasing temperature up to high temperatures with high values of (1-10) mV/K. Thermoelectric figure-of-merit was 10 -4 /K at high temperatures. Estimated efficiency of thermoelectric energy conversion would be calculated to be 4-5%

  4. OPTIMIZATION OF MACHINING PARAMETERS USING TAGUCHI APPROACH DURING HARD TURNING OF ALLOY STEEL WITH UNCOATED CARBIDE UNDER DRY CUTTING ENVIRONMENT

    Directory of Open Access Journals (Sweden)

    A. Das

    2015-12-01

    Full Text Available In today’s world of manufacturing by machining process two things are very important, one is productivity and the other one is quality. Quality of a product generally depends upon the surface finish and dimensional deviations. The productivity can be seen as a key economic indicator of innovation in terms of higher material removal rate with a less time and cost in machining industries. Taguchi method is a popular statistical technique for optimization of input parameters to get the best output results. Dry machining is a popular methodology for machining hard material and it has been accepted by many researchers to a great extent because of its low cost and safety. Many scientists have taken various input parameters and studied their effects on different output responses. In the present paper an attempt has been made to study the effect of input parameters such as cutting speed, feed rate and depth of cut on Surface roughness, Tool wear, Power consumption and Chip reduction co-efficient under dry condition using uncoated carbide insert. Signal to noise ratio has been used to select the optimal condition for various output responses. ANOVA table has been drawn for each output responses and finally mathematical model of multiple regression analysis has been prepared and authenticity of the statistical model have been checked by normal probability plot. It has been found from the experimental result that the power consumption and flank wear both were minimum at the cutting speed of 250 rpm and 400 rpm respectively. Chip reduction coefficient has been found minimum at a depth of cut of 0.3 mm and surface roughness was minimum at 0.1 mm/rev. feed rate.

  5. Impurity engineering for germanium-doped Czochralski silicon wafer used for ultra large scale integrated circuit

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jiahe; Yang, Deren [State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou (China)

    2009-07-01

    Internal gettering (IG) technology has been challenged by both the reduction of thermal budget during device fabrication and the enlargement of wafer diameter. Improving the properties of Czochralski (Cz) silicon wafers by intentional impurity doping, the so-called 'impurity engineering (IE)', is defined. Germanium has been found to be one of the important impurities for improving the internal gettering effect in Cz silicon wafer. In this paper, the investigations on IE involved with the conventional furnace anneal based denudation processing for germanium-doped Cz silicon wafer are reviewed. Meanwhile, the potential mechanisms of germanium effects for the IE of Cz silicon wafer are also interpreted based on the experimental facts. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  7. Crack detection and analyses using resonance ultrasonic vibrations in full-size crystalline silicon wafers

    International Nuclear Information System (INIS)

    Belyaev, A.; Polupan, O.; Dallas, W.; Ostapenko, S.; Hess, D.; Wohlgemuth, J.

    2006-01-01

    An experimental approach for fast crack detection and length determination in full-size solar-grade crystalline silicon wafers using a resonance ultrasonic vibrations (RUV) technique is presented. The RUV method is based on excitation of the longitudinal ultrasonic vibrations in full-size wafers. Using an external piezoelectric transducer combined with a high sensitivity ultrasonic probe and computer controlled data acquisition system, real-time frequency response analysis can be accomplished. On a set of identical crystalline Si wafers with artificially introduced periphery cracks, it was demonstrated that the crack results in a frequency shift in a selected RUV peak to a lower frequency and increases the resonance peak bandwidth. Both characteristics were found to increase with the length of the crack. The frequency shift and bandwidth increase serve as reliable indicators of the crack appearance in silicon wafers and are suitable for mechanical quality control and fast wafer inspection

  8. Wafer plane inspection with soft resist thresholding

    Science.gov (United States)

    Hess, Carl; Shi, Rui-fang; Wihl, Mark; Xiong, Yalin; Pang, Song

    2008-10-01

    Wafer Plane Inspection (WPI) is an inspection mode on the KLA-Tencor TeraScaTM platform that uses the high signalto- noise ratio images from the high numerical aperture microscope, and then models the entire lithographic process to enable defect detection on the wafer plane[1]. This technology meets the needs of some advanced mask manufacturers to identify the lithographically-significant defects while ignoring the other non-lithographically-significant defects. WPI accomplishes this goal by performing defect detection based on a modeled image of how the mask features would actually print in the photoresist. There are several advantages to this approach: (1) the high fidelity of the images provide a sensitivity advantage over competing approaches; (2) the ability to perform defect detection on the wafer plane allows one to only see those defects that have a printing impact on the wafer; (3) the use of modeling on the lithographic portion of the flow enables unprecedented flexibility to support arbitrary illumination profiles, process-window inspection in unit time, and combination modes to find both printing and non-printing defects. WPI is proving to be a valuable addition to the KLA-Tencor detection algorithm suite. The modeling portion of WPI uses a single resist threshold as the final step in the processing. This has been shown to be adequate on several advanced customer layers, but is not ideal for all layers. Actual resist chemistry has complicated processes including acid and base-diffusion and quench that are not consistently well-modeled with a single resist threshold. We have considered the use of an advanced resist model for WPI, but rejected it because the burdensome requirements for the calibration of the model were not practical for reticle inspection. This paper describes an alternative approach that allows for a "soft" resist threshold to be applied that provides a more robust solution for the most challenging processes. This approach is just

  9. Silicon waveguides produced by wafer bonding

    DEFF Research Database (Denmark)

    Poulsen, Mette; Jensen, Flemming; Bunk, Oliver

    2005-01-01

    X-ray waveguides are successfully produced employing standard silicon technology of UV photolithography and wafer bonding. Contrary to theoretical expectations for similar systems even 100 mu m broad guides of less than 80 nm height do not collapse and can be used as one dimensional waveguides...

  10. Wafer scale integration of catalyst dots into nonplanar microsystems

    DEFF Research Database (Denmark)

    Gjerde, Kjetil; Kjelstrup-Hansen, Jakob; Gammelgaard, Lauge

    2007-01-01

    In order to successfully integrate bottom-up fabricated nanostructures such as carbon nanotubes or silicon, germanium, or III-V nanowires into microelectromechanical systems on a wafer scale, reliable ways of integrating catalyst dots are needed. Here, four methods for integrating sub-100-nm...... diameter nickel catalyst dots on a wafer scale are presented and compared. Three of the methods are based on a p-Si layer utilized as an in situ mask, an encapsulating layer, and a sacrificial window mask, respectively. All methods enable precise positioning of nickel catalyst dots at the end...

  11. Determining Optimal Decision Version

    Directory of Open Access Journals (Sweden)

    Olga Ioana Amariei

    2014-06-01

    Full Text Available In this paper we start from the calculation of the product cost, applying the method of calculating the cost of hour- machine (THM, on each of the three cutting machines, namely: the cutting machine with plasma, the combined cutting machine (plasma and water jet and the cutting machine with a water jet. Following the calculation of cost and taking into account the precision of manufacturing of each machine, as well as the quality of the processed surface, the optimal decisional version needs to be determined regarding the product manufacturing. To determine the optimal decisional version, we resort firstly to calculating the optimal version on each criterion, and then overall using multiattribute decision methods.

  12. Relation between film character and wafer alignment: critical alignment issues on HV device for VLSI manufacturing

    Science.gov (United States)

    Lo, Yi-Chuan; Lee, Chih-Hsiung; Lin, Hsun-Peng; Peng, Chiou-Shian

    1998-06-01

    Several continuous splits for wafer alignment target topography conditions to improve epitaxy film alignment were applied. The alignment evaluation among former layer pad oxide thickness (250 angstrom - 500 angstrom), drive oxide thickness (6000 angstrom - 10000 angstrom), nitride film thickness (600 angstrom - 1500 angstrom), initial oxide etch (fully wet etch, fully dry etch and dry plus wet etch) will be split to this experiment. Also various epitaxy deposition recipe such as: epitaxy source (SiHCl2 or SiCHCl3) and growth rate (1.3 micrometer/min approximately 2.0 micrometer/min) will be used to optimize the process window for alignment issue. All the reflectance signal and cross section photography of alignment target during NIKON stepper alignment process will be examined. Experimental results show epitaxy recipe plays an important role to wafer alignment. Low growth rate with good performance conformity epitaxy lead to alignment target avoid washout, pattern shift and distortion. All the results (signal monitor and film character) combined with NIKON's stepper standard laser scanning alignment system will be discussed in this paper.

  13. Effect of nanoscale surface roughness on the bonding energy of direct-bonded silicon wafers

    Science.gov (United States)

    Miki, N.; Spearing, S. M.

    2003-11-01

    Direct wafer bonding of silicon wafers is a promising technology for manufacturing three-dimensional complex microelectromechanical systems as well as silicon-on-insulator substrates. Previous work has reported that the bond quality declines with increasing surface roughness, however, this relationship has not been quantified. This article explicitly correlates the bond quality, which is quantified by the apparent bonding energy, and the surface morphology via the bearing ratio, which describes the area of surface lying above a given depth. The apparent bonding energy is considered to be proportional to the real area of contact. The effective area of contact is defined as the area sufficiently close to contribute to the attractive force between the two bonding wafers. Experiments were conducted with silicon wafers whose surfaces were roughened by a buffered oxide etch solution (BOE, HF:NH4F=1:7) and/or a potassium hydroxide solution. The surface roughness was measured by atomic force microscopy. The wafers were direct bonded to polished "monitor" wafers following a standard RCA cleaning and the resulting bonding energy was measured by the crack-opening method. The experimental results revealed a clear correlation between the bonding energy and the bearing ratio. A bearing depth of ˜1.4 nm was found to be appropriate for the characterization of direct-bonded silicon at room temperature, which is consistent with the thickness of the water layer at the interface responsible for the hydrogen bonds that link the mating wafers.

  14. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    Science.gov (United States)

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  15. Non-invasive thermal profiling of silicon wafer surface during RTP using acoustic and signal processing techniques

    Science.gov (United States)

    Syed, Ahmed Rashid

    Among the great physical challenges faced by the current front-end semiconductor equipment manufacturers is the accurate and repeatable surface temperature measurement of wafers during various fabrication steps. Close monitoring of temperature is essential in that it ensures desirable device characteristics to be reliably reproduced across various wafer lots. No where is the need to control temperature more pronounced than it is during Rapid Thermal Processing (RTP) which involves temperature ramp rates in excess of 200°C/s. This dissertation presents an elegant and practical approach to solve the wafer surface temperature estimation problem, in context of RTP, by deploying hardware that acquires the necessary data while preserving the integrity and purity of the wafer. In contrast to the widely used wafer-contacting (and hence contaminating) methods, such as bonded thermocouples, or environment sensitive schemes, such as light-pipes and infrared pyrometry, the proposed research explores the concept of utilizing Lamb (acoustic) waves to detect changes in wafer surface temperature, during RTP. Acoustic waves are transmitted to the wafer via an array of quartz rods that normally props the wafer inside an RTP chamber. These waves are generated using piezoelectric transducers affixed to the bases of the quartz rods. The group velocity of Lamb waves traversing the wafer surface undergoes a monotonic decrease with rise in wafer temperature. The correspondence of delay in phase of the received Lamb waves and the ambient temperature, along all direct paths between sending and receiving transducers, yields a psuedo real-time thermal image of the wafer. Although the custom built hardware-setup implements the above "proof-of-concept" scheme by transceiving acoustic signals at a single frequency, the real-world application will seek to enhance the data acquistion. rate (>1000 temperature measurements per seconds) by sending and receiving Lamb waves at multiple frequencies (by

  16. Modified GrabCut for human face segmentation

    Directory of Open Access Journals (Sweden)

    Dina Khattab

    2014-12-01

    Full Text Available GrabCut is a segmentation technique for 2D still color images, which is mainly based on an iterative energy minimization. The energy function of the GrabCut optimization algorithm is based mainly on a probabilistic model for pixel color distribution. Therefore, GrabCut may introduce unacceptable results in the cases of low contrast between foreground and background colors. In this manner, this paper presents a modified GrabCut technique for the segmentation of human faces from images of full humans. The modified technique introduces a new face location model for the energy minimization function of the GrabCut, in addition to the existing color one. This location model considers the distance distribution of the pixels from the silhouette boundary of a fitted head, of a 3D morphable model, to the image. The experimental results of the modified GrabCut have demonstrated better segmentation robustness and accuracy compared to the original GrabCut for human face segmentation.

  17. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  18. Determination of ultra-trace contaminants on silicon wafer surfaces using TXRF. Present state of the art

    International Nuclear Information System (INIS)

    Pahlke, S.; Fabry, L.; Kotz, L.; Mantler, C.; Ehmann, T.

    2000-01-01

    Recently, TXRF became a standard, on-line inspection tool for controlling the cleanliness of polished Si wafers for semiconductor use now up to 300 diameter. Wafer makers strive for an all-over metallic cleanliness of 10 atoms x cm -2 . Therefore an analytical tools must cover LOD in a range 9 atoms x cm -2 or lower. The all-over cleanliness of the whole wafer surface can analyzed using VPD/TXRF. For this chemical wafer-pre-preparation under cleanroom conditions class 1 we have developed a full automatic 'Wafer Surface Preparation System' coupled with a new generation TXRF. We have also combined this system with other independent methods for Na, Al, anions and cations. Only the combination of automatic wafer handling systems, modem analytical tools, ultra-pure water, ULSI chemicals and special cleanroom conditions provides us a chance to achieve the present and the future demands for semiconductor industry. (author)

  19. Surface modification of silicon wafer by grafting zwitterionic polymers to improve its antifouling property

    Science.gov (United States)

    Sun, Yunlong; Chen, Changlin; Xu, Heng; Lei, Kun; Xu, Guanzhe; Zhao, Li; Lang, Meidong

    2017-10-01

    Silicon (111) wafer was modified by triethoxyvinylsilane containing double bond as an intermedium, and then P4VP (polymer 4-vinyl pyridine) brush was "grafted" onto the surface of silicon wafer containing reactive double bonds by adopting the "grafting from" way and Si-P4VP substrate (silicon wafer grafted by P4VP) was obtained. Finally, P4VP brush of Si-P4VP substrate was modified by 1,3-propanesulfonate fully to obtain P4VP-psl brush (zwitterionic polypyridinium salt) and the functional Si-P4VP-psl substrate (silicon wafer grafted by zwitterionic polypyridinium salt based on polymer 4-vinyl pyridine) was obtained successfully. The antifouling property of the silicon wafer, the Si-P4VP substrate and the Si-P4VP-psl substrate was investigated by using bovine serum albumin, mononuclear macrophages (RAW 264.7) and Escherichia coli (E. coli) ATTC25922 as model bacterium. The results showed that compared with the blank sample-silicon wafer, the Si-P4VP-psl substrate had excellent anti-adhesion ability against bovine serum albumin, cells and bacterium, due to zwitterionic P4VP-psl brush (polymer 4-vinyl pyridine salt) having special functionality like antifouling ability on biomaterial field.

  20. Investigation on a procedure for optimal axial depth of cut accuracy in micromilling

    DEFF Research Database (Denmark)

    Bissacco, Giuliano; Hansen, Hans Nørgaard; De Chiffre, Leonardo

    2005-01-01

    On the basis of a previously developed procedure for control of axial depth of cut in high accuracy micromilling operations, this paper presents an investigation on the estimation of the uncertainty of the set axial depth of cut.......On the basis of a previously developed procedure for control of axial depth of cut in high accuracy micromilling operations, this paper presents an investigation on the estimation of the uncertainty of the set axial depth of cut....

  1. Yield impact for wafer shape misregistration-based binning for overlay APC diagnostic enhancement

    Science.gov (United States)

    Jayez, David; Jock, Kevin; Zhou, Yue; Govindarajulu, Venugopal; Zhang, Zhen; Anis, Fatima; Tijiwa-Birk, Felipe; Agarwal, Shivam

    2018-03-01

    The importance of traditionally acceptable sources of variation has started to become more critical as semiconductor technologies continue to push into smaller technology nodes. New metrology techniques are needed to pursue the process uniformity requirements needed for controllable lithography. Process control for lithography has the advantage of being able to adjust for cross-wafer variability, but this requires that all processes are close in matching between process tools/chambers for each process. When this is not the case, the cumulative line variability creates identifiable groups of wafers1 . This cumulative shape based effect is described as impacting overlay measurements and alignment by creating misregistration of the overlay marks. It is necessary to understand what requirements might go into developing a high volume manufacturing approach which leverages this grouping methodology, the key inputs and outputs, and what can be extracted from such an approach. It will be shown that this line variability can be quantified into a loss of electrical yield primarily at the edge of the wafer and proposes a methodology for root cause identification and improvement. This paper will cover the concept of wafer shape based grouping as a diagnostic tool for overlay control and containment, the challenges in implementing this in a manufacturing setting, and the limitations of this approach. This will be accomplished by showing that there are identifiable wafer shape based signatures. These shape based wafer signatures will be shown to be correlated to overlay misregistration, primarily at the edge. It will also be shown that by adjusting for this wafer shape signal, improvements can be made to both overlay as well as electrical yield. These improvements show an increase in edge yield, and a reduction in yield variability.

  2. Estimation of the laser cutting operating cost by support vector regression methodology

    Science.gov (United States)

    Jović, Srđan; Radović, Aleksandar; Šarkoćević, Živče; Petković, Dalibor; Alizamir, Meysam

    2016-09-01

    Laser cutting is a popular manufacturing process utilized to cut various types of materials economically. The operating cost is affected by laser power, cutting speed, assist gas pressure, nozzle diameter and focus point position as well as the workpiece material. In this article, the process factors investigated were: laser power, cutting speed, air pressure and focal point position. The aim of this work is to relate the operating cost to the process parameters mentioned above. CO2 laser cutting of stainless steel of medical grade AISI316L has been investigated. The main goal was to analyze the operating cost through the laser power, cutting speed, air pressure, focal point position and material thickness. Since the laser operating cost is a complex, non-linear task, soft computing optimization algorithms can be used. Intelligent soft computing scheme support vector regression (SVR) was implemented. The performance of the proposed estimator was confirmed with the simulation results. The SVR results are then compared with artificial neural network and genetic programing. According to the results, a greater improvement in estimation accuracy can be achieved through the SVR compared to other soft computing methodologies. The new optimization methods benefit from the soft computing capabilities of global optimization and multiobjective optimization rather than choosing a starting point by trial and error and combining multiple criteria into a single criterion.

  3. Respiration rate and ethylene production of fresh cut lettuce as affected by cutting grade

    Directory of Open Access Journals (Sweden)

    J. MARTÍNEZ

    2008-12-01

    Full Text Available For designing optimal polymeric films for modified atmosphere packaging of whole heads as well as for minimally fresh processed (fresh-cut Iceberg lettuce ‘Coolguard’, the effect of several cutting grades on respiration rate (RR and ethylene production at 5ºC was studied. According to common industrial practices cutting grades less than 0.5 cm, between 0.5 and 1 cm, and 2 cm length were selected. Results from four experiments were compared to those obtained for whole heads in which a homogenous range of 6 to 8 ml CO2 kg-1 h-1 in RR was found. Compared to whole heads, in fresh-cut lettuce the RR was 2-fold higher. The lowest cutting grade showed the highest respiration rate, and no significant differences in RR among lettuce pieces of intermediate and the highest grades were found. No ethylene production was detected in whole heads, while in minimally processed lettuce pieces only traces were found. For avoiding risks of anaerobic respiration and excessive CO2 levels within packages containing fresh-cut lettuce pieces lower than 0.5 cm length, films with relatively high O2 permeability like standard polypropylene or low-density polyethylene must be selected.;

  4. Wafer-level radiometric performance testing of uncooled microbolometer arrays

    Science.gov (United States)

    Dufour, Denis G.; Topart, Patrice; Tremblay, Bruno; Julien, Christian; Martin, Louis; Vachon, Carl

    2014-03-01

    A turn-key semi-automated test system was constructed to perform on-wafer testing of microbolometer arrays. The system allows for testing of several performance characteristics of ROIC-fabricated microbolometer arrays including NETD, SiTF, ROIC functionality, noise and matrix operability, both before and after microbolometer fabrication. The system accepts wafers up to 8 inches in diameter and performs automated wafer die mapping using a microscope camera. Once wafer mapping is completed, a custom-designed quick insertion 8-12 μm AR-coated Germanium viewport is placed and the chamber is pumped down to below 10-5 Torr, allowing for the evaluation of package-level focal plane array (FPA) performance. The probe card is electrically connected to an INO IRXCAM camera core, a versatile system that can be adapted to many types of ROICs using custom-built interface printed circuit boards (PCBs). We currently have the capability for testing 384x288, 35 μm pixel size and 160x120, 52 μm pixel size FPAs. For accurate NETD measurements, the system is designed to provide an F/1 view of two rail-mounted blackbodies seen through the Germanium window by the die under test. A master control computer automates the alignment of the probe card to the dies, the positioning of the blackbodies, FPA image frame acquisition using IRXCAM, as well as data analysis and storage. Radiometric measurement precision has been validated by packaging dies measured by the automated probing system and re-measuring the SiTF and Noise using INO's pre-existing benchtop system.

  5. A modified occlusal wafer for managing partially dentate orthognathic patients--a case series.

    Science.gov (United States)

    Soneji, Bhavin Kiritkumar; Esmail, Zaid; Sharma, Pratik

    2015-03-01

    A multidisciplinary approach is essential in orthognathic surgery to achieve stable and successful outcomes. The model surgery planning is an important aspect in achieving the desired aims. An occlusal wafer used at the time of surgery aids the surgeon during correct placement of the jaws. When dealing with partially dentate patients, the design of the occlusal wafer requires modification to appropriately position the jaw. Two cases with partially dentate jaws are presented in which the occlusal wafer has been modified to provide stability at the time of surgery.

  6. Palladium-based on-wafer electroluminescence studies of GaN-based LED structures

    Energy Technology Data Exchange (ETDEWEB)

    Salcianu, C.O.; Thrush, E.J.; Humphreys, C.J. [Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Street, Cambridge CB2 3QZ (United Kingdom); Plumb, R.G. [Centre for Photonic Systems, Department of Engineering, University of Cambridge, Cambridge CB3 0FD (United Kingdom); Boyd, A.R.; Rockenfeller, O.; Schmitz, D.; Heuken, M. [AIXTRON AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2008-07-01

    Electroluminescence (EL) testing of Light Emitting Diode (LED) structures is usually done at the chip level. Assessing the optical and electrical properties of LED structures at the wafer scale prior to their processing would improve the cost effectiveness of producing LED-lamps. A non-destructive method for studying the luminescence properties of the structure at the wafer-scale is photoluminescence (PL). However, the relationship between the on-wafer PL data and the final device EL can be less than straightforward (Y. H Aliyu et al., Meas. Sci. Technol. 8, 437 (1997)) as the two techniques employ different carrier injection mechanisms. This paper provides an overview of some different techniques in which palladium is used as a contact in order to obtain on-wafer electroluminescence information which could be used to screen wafers prior to processing into final devices. Quick mapping of the electrical and optical characteristics was performed using either palladium needle electrodes directly, or using the latter in conjunction with evaporated palladium contacts to inject both electrons and holes into the active region via the p-type capping layer of the structure. For comparison, indium was also used to make contact to the n-layer so that electrons could be directly injected into that layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Computational Modeling in Plasma Processing for 300 mm Wafers

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Migration toward 300 mm wafer size has been initiated recently due to process economics and to meet future demands for integrated circuits. A major issue facing the semiconductor community at this juncture is development of suitable processing equipment, for example, plasma processing reactors that can accomodate 300 mm wafers. In this Invited Talk, scaling of reactors will be discussed with the aid of computational fluid dynamics results. We have undertaken reactor simulations using CFD with reactor geometry, pressure, and precursor flow rates as parameters in a systematic investigation. These simulations provide guidelines for scaling up in reactor design.

  8. Comparison of optimization techniques for MRR and surface roughness in wire EDM process for gear cutting

    Directory of Open Access Journals (Sweden)

    K.D. Mohapatra

    2016-11-01

    Full Text Available The objective of the present work is to use a suitable method that can optimize the process parameters like pulse on time (TON, pulse off time (TOFF, wire feed rate (WF, wire tension (WT and servo voltage (SV to attain the maximum value of MRR and minimum value of surface roughness during the production of a fine pitch spur gear made of copper. The spur gear has a pressure angle of 20⁰ and pitch circle diameter of 70 mm. The wire has a diameter of 0.25 mm and is made of brass. Experiments were conducted according to Taguchi’s orthogonal array concept with five factors and two levels. Thus, Taguchi quality loss design technique is used to optimize the output responses carried out from the experiments. Another optimization technique i.e. desirability with grey Taguchi technique has been used to optimize the process parameters. Both the optimized results are compared to find out the best combination of MRR and surface roughness. A confirmation test was carried out to identify the significant improvement in the machining performance in case of Taguchi quality loss. Finally, it was concluded that desirability with grey Taguchi technique produced a better result than the Taguchi quality loss technique in case of MRR and Taguchi quality loss gives a better result in case of surface roughness. The quality of the wire after the cutting operation has been presented in the scanning electron microscopy (SEM figure.

  9. High speed laser cutting machine. Kosoku reza kakoki

    Energy Technology Data Exchange (ETDEWEB)

    Shinno, N. (Matsushita Electric Industrial Co. Ltd., Kadoma, Osaka (Japan))

    1993-11-01

    The carbon dioxide gas laser cutting machine is being used widely for from cutting soft steel and stainless steel, etc. to intermetallic welding and in the field of cutting in particular, concerning sheet cutting, it has been changing the existing monopoly of the turret punch press, and as for medium and thick plate cutting, that of the gas plasma fusing device. This article is the general description of high speed laser cutting machine. Concerning the laser cutting (sheet cutting in particular), as the essential items for securing severe cutting accuracy and, at the same time, improving the cutting speed, the following matters are picked up for respective explanation; improvement of stationary machine accuracy, improvement of dynamic machine accuracy, improvement of quality of laser beam as well as optimization of cutting conditions, and shortening of piercing time. Also explanation is given to the respective items, namely speeding-up of medium and thick plate cutting, and reduction of load onto the operator by improved operation. Finally, feeding and removing of a sheet only, and feeding and removing with a pallet are mentioned as the efforts for automation and energy saving. 3 figs., 1 tab.

  10. Large Out-of-Plane Displacement Bistable Electromagnetic Microswitch on a Single Wafer.

    Science.gov (United States)

    Miao, Xiaodan; Dai, Xuhan; Huang, Yi; Ding, Guifu; Zhao, Xiaolin

    2016-05-05

    This paper presents a bistable microswitch fully batch-fabricated on a single glass wafer, comprising of a microactuator, a signal transformer, a microspring and a permanent magnet. The bistable mechanism of the microswitch with large displacement of 160 μm depends on the balance of the magnetic force and elastic force. Both the magnetic force and elastic force were optimized by finite-element simulation to predict the reliable of the device. The prototype was fabricated and characterized. By utilizing thick laminated photoresist sacrificial layer, the large displacement was obtained to ensure the insulation of the microswitch. The testing results show that the microswitch realized the bistable mechanism at a 3-5 V input voltage and closed in 0.96 ms, which verified the simulation.

  11. Characterization of silicon-on-insulator wafers

    Science.gov (United States)

    Park, Ki Hoon

    The silicon-on-insulator (SOI) is attracting more interest as it is being used for an advanced complementary-metal-oxide-semiconductor (CMOS) and a base substrate for novel devices to overcome present obstacles in bulk Si scaling. Furthermore, SOI fabrication technology has improved greatly in recent years and industries produce high quality wafers with high yield. This dissertation investigated SOI material properties with simple, yet accurate methods. The electrical properties of as-grown wafers such as electron and hole mobilities, buried oxide (BOX) charges, interface trap densities, and carrier lifetimes were mainly studied. For this, various electrical measurement techniques were utilized such as pseudo-metal-oxide-semiconductor field-effect-transistor (PseudoMOSFET) static current-voltage (I-V) and transient drain current (I-t), Hall effect, and MOS capacitance-voltage/capacitance-time (C-V/C-t). The electrical characterization, however, mainly depends on the pseudo-MOSFET method, which takes advantage of the intrinsic SOI structure. From the static current-voltage and pulsed measurement, carrier mobilities, lifetimes and interface trap densities were extracted. During the course of this study, a pseudo-MOSFET drain current hysteresis regarding different gate voltage sweeping directions was discovered and the cause was revealed through systematic experiments and simulations. In addition to characterization of normal SOI, strain relaxation of strained silicon-on-insulator (sSOI) was also measured. As sSOI takes advantage of wafer bonding in its fabrication process, the tenacity of bonding between the sSOI and the BOX layer was investigated by means of thermal treatment and high dose energetic gamma-ray irradiation. It was found that the strain did not relax with processes more severe than standard CMOS processes, such as anneals at temperature as high as 1350 degree Celsius.

  12. Numerical Analysis and Optimization on Piezoelectric Properties of 0–3 Type Piezoelectric Cement-Based Materials with Interdigitated Electrodes

    Directory of Open Access Journals (Sweden)

    Jianlin Luo

    2017-03-01

    Full Text Available The health conditions of complicated concrete structures require intrinsic cement-based sensors with a fast sensing response and high accuracy. In this paper, static, modal, harmonic, and transient dynamic analyses for the 0–3 type piezoelectric cement-based material with interdigitated electrodes (IEPCM wafer were investigated using the ANSYS finite element numerical approach. Optimal design of the IEPCM was further implemented with electrode distance (P, electrode width (W, and wafer density (H as the main parameters. Analysis results show that the maximum stress and strain in the x-polarization direction of the IEPCM are 2.6 and 3.19 times higher than that in the y-direction, respectively; there exists no repetition frequency phenomenon for the IEPCM. These indicate 0–3 type IEPCM possesses good orthotropic features, and lateral driving capacity notwithstanding, a hysteresis effect exists. Allowing for the wafer width (Wp of 1 mm, the optimal design of the IEPCM wafer arrives at the best physical values of H, W and P are 6.2, 0.73 and 1.02 mm respectively, whereas the corresponding optimal volume is 10.9 mm3.

  13. Bond strength tests between silicon wafers and duran tubes (fusion bonded fluidic interconnects)

    NARCIS (Netherlands)

    Fazal, I.; Berenschot, Johan W.; de Boer, J.H.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    2005-01-01

    The fusion bond strength of glass tubes with standard silicon wafers is presented. Experiments with plain silicon wafers and those coated with silicon oxide and silicon nitride are presented. Results obtained are discussed in terms of homogeneity and strength of fusion bond. High pressure testing

  14. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  15. Graphene-Decorated Nanocomposites for Printable Electrodes in Thin Wafer Devices

    Science.gov (United States)

    Bakhshizadeh, N.; Sivoththaman, S.

    2017-12-01

    Printable electrodes that induce less stress and require lower curing temperatures compared to traditional screen-printed metal pastes are needed in thin wafer devices such as future solar cells, and in flexible electronics. The synthesis of nanocomposites by incorporating graphene nanopowders as well as silver nanowires into epoxy-based electrically conductive adhesives (ECA) is examined to improve electrical conductivity and to develop alternate printable electrode materials that induce less stress on the wafer. For the synthesized graphene and Ag nanowire-decorated ECA nanocomposites, the curing kinetics were studied by dynamic and isothermal differential scanning calorimetry measurements. Thermogravimetric analysis on ECA, ECA-AG and ECA/graphene nanopowder nanocomposites showed that the temperatures for onset of decomposition are higher than their corresponding glass transition temperature ( T g) indicating an excellent thermal resistance. Printed ECA/Ag nanowire nanocomposites showed 90% higher electrical conductivity than ECA films, whereas the ECA/graphene nanocomposites increased the conductivity by over two orders of magnitude. Scanning electron microscopy results also revealed the effect of fillers morphology on the conductivity improvement and current transfer mechanisms in nanocomposites. Residual stress analysis performed on Si wafers showed that the ECA and nanocomposite printed wafers are subjected to much lower stress compared to those printed with metallic pastes. The observed parameters of low curing temperature, good thermal resistance, reasonably high conductivity, and low residual stress in the ECA/graphene nanocomposite makes this material a promising alternative in screen-printed electrode formation in thin substrates.

  16. Evaluation of a cyanoacrylate dressing to manage peristomal skin alterations under ostomy skin barrier wafers.

    Science.gov (United States)

    Milne, Catherine T; Saucier, Darlene; Trevellini, Chenel; Smith, Juliet

    2011-01-01

    Peristomal skin alterations under ostomy barrier wafers are a commonly reported problem. While a number of interventions to manage this issue have been reported, the use of a topically applied cyanoacrylate has received little attention. This case series describes the use of a topical cyanoacrylate for the management of peristomal skin alterations in persons living with an ostomy. Using a convenience sample, the topical cyanoacrylate dressing was applied to 11 patients with peristomal skin disruption under ostomy wafers in acute care and outpatient settings. The causes of barrier function interruption were also addressed to enhance outcomes. Patients were assessed for wound discomfort using a Likert Scale, time to healing, and number of appliance changes. Patient satisfaction was also examined. Average reported discomfort levels were 9.5 out of 10 at the initial peristomal irritation assessment visit decreased to 3.5 at the first wafer change and were absent by the second wafer change. Wafers had increasing wear time between changes in both settings with acute care patients responding faster. Epidermal resurfacing occurred within 10.2 days in outpatients and within 7 days in acute care patients. Because of the skin sealant action of this dressing, immediate adherence of the wafer was reported at all pouch changes.

  17. Elimination of striation in laser cutting of mild steel

    International Nuclear Information System (INIS)

    Sobih, M; Crouse, P L; Li, L

    2007-01-01

    High-power laser cutting is extensively used in many industrial applications. An important weakness of this process is the formation of striations (regular lines down the cut surface), which affect the quality of the surfaces produced. The elimination of striation formation is of considerable importance, since it could open up a variety of novel high-precision applications. This study presents the results of oxygen-assisted laser cutting of EN43 mild steel sheets, using a high-power fibre laser. Striation-free laser cuts are demonstrated for cutting 1 and 2 mm thick mild steel sheets. The optimal operating windows are presented and a mathematical method is proposed to estimate the critical speed at which striation-free cut can be obtained

  18. Elimination of striation in laser cutting of mild steel

    Energy Technology Data Exchange (ETDEWEB)

    Sobih, M; Crouse, P L; Li, L [Laser Processing Research Centre, School of Mechanical, Aerospace and Civil Engineering, University of Manchester, Sackville Street Building, PO Box 88, Manchester M60 1QD (United Kingdom)

    2007-11-21

    High-power laser cutting is extensively used in many industrial applications. An important weakness of this process is the formation of striations (regular lines down the cut surface), which affect the quality of the surfaces produced. The elimination of striation formation is of considerable importance, since it could open up a variety of novel high-precision applications. This study presents the results of oxygen-assisted laser cutting of EN43 mild steel sheets, using a high-power fibre laser. Striation-free laser cuts are demonstrated for cutting 1 and 2 mm thick mild steel sheets. The optimal operating windows are presented and a mathematical method is proposed to estimate the critical speed at which striation-free cut can be obtaine000.

  19. Optimal body fat percentage cut-off values for identifying cardiovascular risk factors in Mongolian and Han adults: a population-based cross-sectional study in Inner Mongolia, China.

    Science.gov (United States)

    Li, Yanlong; Wang, Hailing; Wang, Ke; Wang, Wenrui; Dong, Fen; Qian, Yonggang; Gong, Haiying; Xu, Guodong; Li, Guoju; Pan, Li; Zhu, Guangjin; Shan, Guangliang

    2017-04-17

    The present study was designed to determine the optimal cut-off values of body fat percentage (BF%) for the detection of cardiovascular disease (CVD) risk factors in Mongolian and Han adults. This cross-sectional study involving 3221 Chinese adults (2308 Han and 913 Mongolian) aged 20-80 years was conducted in Inner Mongolia Autonomous Region, China, in 2014. Data from a standardised questionnaire, physical examination and blood sample were obtained. The BF% was estimated using bioelectrical impedance analysis. Optimal BF% cut-offs were analysed by receiver operating characteristic curves to predict the risk of diabetes, hypertension and dyslipidaemia. Binary logistic regression analysis was performed to evaluate the OR of each CVD risk factor according to obesity defined by BF%. Mean BF% levels were lower in men than in women (22.54±5.77 vs 32.95±6.18 in Han, 23.86±5.72 vs 33.98±6.40 in Mongolian population, respectively; ppopulation, the area under curve (AUC) values for BF% ranged from 0.589 to 0.699 for men and from 0.711 to 0.763 for women. Compared with men, AUCs for diabetes and clustering of ≥2 risk factors in women were significantly higher (ppopulation. In Han adults, the optimal BF% cut-off values to detect CVD risk factors varied from 18.7% to 24.2% in men and 32.7% to 35.4% in women. In Mongolian population, the optimal cut-off values of BF% for men and women ranged from 21.0% to 24.6% and from 35.7% to 40.0%, respectively. Subjects with high BF% (≥24% in men, ≥34% in women) had higher risk of CVD risk factors in Han (age-adjusted ORs from 1.479 to 3.680, 2.660 to 4.016, respectively). In Mongolia, adults with high BF% (≥25% in men, ≥35% in women) had higher risk of CVD risk factors (age-adjusted ORs from 2.587 to 3.772, 2.061 to 4.882, respectively). The optimal BF% cut-offs for obesity for the prediction of CVD risk factors in Chinese men and women were approximately 24% and 34% for Han adults and 25% and 35% for Mongolian

  20. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  1. Peptide and protein loading into porous silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Prestidge, C.A.; Barnes, T.J.; Mierczynska-Vasilev, A.; Kempson, I.; Peddie, F. [Ian Wark Research Institute, University of South Australia, Mawson Lakes (Australia); Barnett, C. [Medica Ltd, Malvern, Worcestershire, UK WR14 3SZ (United Kingdom)

    2008-02-15

    The influence of peptide/protein size and hydrophobicity on the physical and chemical aspects of loading within porous silicon (pSi) wafer samples has been determined using Atomic Force Microscopy (AFM) and Time-of-Flight Secondary Ion Mass Spectroscopy (ToF-SIMS). Both Gramicidin A (a small hydrophobic peptide) and Papain (a larger hydrophilic protein) were observed (ToF-SIMS) to penetrate across the entire pSi layer, even at low loading levels. AFM surface imaging of pSi wafers during peptide/protein loading showed that surface roughness increased with Papain loading, but decreased with Gramicidin A loading. For Papain, the loading methodology was also found to influence loading efficiency. These differences indicate more pronounced surface adsorption of Papain. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. STRUCTURAL ANNOTATION OF EM IMAGES BY GRAPH CUT

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Hang; Auer, Manfred; Parvin, Bahram

    2009-05-08

    Biological images have the potential to reveal complex signatures that may not be amenable to morphological modeling in terms of shape, location, texture, and color. An effective analytical method is to characterize the composition of a specimen based on user-defined patterns of texture and contrast formation. However, such a simple requirement demands an improved model for stability and robustness. Here, an interactive computational model is introduced for learning patterns of interest by example. The learned patterns bound an active contour model in which the traditional gradient descent optimization is replaced by the more efficient optimization of the graph cut methods. First, the energy function is defined according to the curve evolution. Next, a graph is constructed with weighted edges on the energy function and is optimized with the graph cut algorithm. As a result, the method combines the advantages of the level set method and graph cut algorithm, i.e.,"topological" invariance and computational efficiency. The technique is extended to the multi-phase segmentation problem; the method is validated on synthetic images and then applied to specimens imaged by transmission electron microscopy(TEM).

  3. development and evaluation of lyophilized thiolated-chitosan wafers

    African Journals Online (AJOL)

    User

    THIOLATED-CHITOSAN WAFERS FOR BUCCAL DELIVERY. OF PROTEIN ... of the thiolated polymer incorporating per polymer weight, 10 % each of glycerol as plasticizer, D-mannitol as ..... delivery systems: in vitro stability, in vivo fate, and ...

  4. 3D overlapped grouping Ga for optimum 2D guillotine cutting stock problem

    Directory of Open Access Journals (Sweden)

    Maged R. Rostom

    2014-09-01

    Full Text Available The cutting stock problem (CSP is one of the significant optimization problems in operations research and has gained a lot of attention for increasing efficiency in industrial engineering, logistics and manufacturing. In this paper, new methodologies for optimally solving the cutting stock problem are presented. A modification is proposed to the existing heuristic methods with a hybrid new 3-D overlapped grouping Genetic Algorithm (GA for nesting of two-dimensional rectangular shapes. The objective is the minimization of the wastage of the sheet material which leads to maximizing material utilization and the minimization of the setup time. The model and its results are compared with real life case study from a steel workshop in a bus manufacturing factory. The effectiveness of the proposed approach is shown by comparing and shop testing of the optimized cutting schedules. The results reveal its superiority in terms of waste minimization comparing to the current cutting schedules. The whole procedure can be completed in a reasonable amount of time by the developed optimization program.

  5. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  6. Investigation and validation of optimal cutting parameters for least ...

    African Journals Online (AJOL)

    user

    Turning is carried on lathe that provides the power to turn the work piece at a given rotational speed and ... The cutting parameters influencing the surface finish in EN24 is to be studied ...... Design from Anna University, Chennai, India in 2004.

  7. Comparative TEM study of bonded silicon/silicon interfaces fabricated by hydrophilic, hydrophobic and UHV wafer bonding

    International Nuclear Information System (INIS)

    Reznicek, A.; Scholz, R.; Senz, S.; Goesele, U.

    2003-01-01

    Wafers of Czochralski-grown silicon were bonded hydrophilically, hydrophobically and in ultrahigh vacuum (UHV) at room temperature. Wafers bonded hydrophilically adhere together by hydrogen bonds, those bonded hydrophobically by van der Waals forces and UHV-bonded ones by covalent bonds. Annealing the pre-bonded hydrophilic and hydrophobic wafer pairs in argon for 2 h at different temperatures increases the initially low bonding energy. UHV-bonded wafer pairs were also annealed to compare the results. Transmission electron microscopy (TEM) investigations show nano-voids at the interface. The void density depends on the initial bonding strength. During annealing the shape, coverage and density of the voids change significantly

  8. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging

    International Nuclear Information System (INIS)

    Esposito, M; Evans, P M; Wells, K; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Allinson, N M

    2014-01-01

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  9. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging.

    Science.gov (United States)

    Esposito, M; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Evans, P M; Allinson, N M; Wells, K

    2014-07-07

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  10. Clean solutions to the incoming wafer quality impact on lithography process yield limits in a dynamic copper/low-k research and development environment

    Science.gov (United States)

    Lysaght, Patrick S.; Ybarra, Israel; Sax, Harry; Gupta, Gaurav; West, Michael; Doros, Theodore G.; Beach, James V.; Mello, Jim

    2000-06-01

    particle/residual contamination, (2) wafer flatness, and (3) control of contaminant materials such as copper (Cu). Data associated with the SpCE process, optimized for flatness improvement, particle removal, and Cu contamination control is presented in this paper, as it relates to excessive consumption of the usable depth of focus (UDOF) and comprehensive yield enhancement in photolithography. Additionally, data illustrating a highly effective means of eliminating copper from the wafer backside, bevel/edge, and frontside edge exclusion zone (0.5 mm - 3 mm), is presented. The data, obtained within the framework of standard and experimental copper/low-k device production at SEMATECH, quantifies the benefits of implementing the SEZ SpCE clean operation. Furthermore, this data confirms the feasibility of utilizing existing (non-copper) process equipment in conjunction with the development of copper applications by verifying the reliability and cost effectiveness of SpCE functionality.

  11. Riemannian and Lorentzian flow-cut theorems

    Science.gov (United States)

    Headrick, Matthew; Hubeny, Veronika E.

    2018-05-01

    We prove several geometric theorems using tools from the theory of convex optimization. In the Riemannian setting, we prove the max flow-min cut (MFMC) theorem for boundary regions, applied recently to develop a ‘bit-thread’ interpretation of holographic entanglement entropies. We also prove various properties of the max flow and min cut, including respective nesting properties. In the Lorentzian setting, we prove the analogous MFMC theorem, which states that the volume of a maximal slice equals the flux of a minimal flow, where a flow is defined as a divergenceless timelike vector field with norm at least 1. This theorem includes as a special case a continuum version of Dilworth’s theorem from the theory of partially ordered sets. We include a brief review of the necessary tools from the theory of convex optimization, in particular Lagrangian duality and convex relaxation.

  12. The development of the wafer cost and availability for the photovoltaic industry

    International Nuclear Information System (INIS)

    Herzer, H.

    1991-01-01

    The photovoltaic (PV) industry is a young industry which has not yet matured to handle its business in a profitable way. One of the main reasons is the conflict between operating technologies, real costs, and diversified applications under loss-generating market conditions and the big visions to make photovoltaics become a renewable clean energy source for the future. A driving force always has been the projection of low-cost metallurgical sand reduction combined with ribbon/sheet approaches if c-Si is concerned, and the advent of a-Si and thin film technologies if alternatives and c-Si replacing materials are concerned. Today, we recognize a concentration towards c-Si as the basic material for power PV modules and systems. With regard to the scientific/technological state of the art, even here, a wide range of methods are presently investigated. The potential in terms of efficiency and cost-advantages/disadvantages will be commented. Looking at the industrial status of large-scale production commercial and economical aspects are dominating, bringing everything to the classical production of monocrystalline and multicrystalline wafers, both in connection with ID or multi-wire cutting. 5 figs., 4 tabs., 12 refs

  13. Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs

    Science.gov (United States)

    Zibold, Axel M.; Schmid, Rainer M.; Stegemann, B.; Scheruebl, Thomas; Harnisch, Wolfgang; Kobiyama, Yuji

    2004-08-01

    The Aerial Image Measurement System (AIMS)* for 193 nm lithography emulation has been brought into operation successfully worldwide. A second generation system comprising 193 nm AIMS capability, mini-environment and SMIF, the AIMS fab 193 plus is currently introduced into the market. By adjustment of numerical aperture (NA), illumination type and partial illumination coherence to match the conditions in 193 nm steppers or scanners, it can emulate the exposure tool for any type of reticles like binary, OPC and PSM down to the 65 nm node. The system allows a rapid prediction of wafer printability of defects or defect repairs, and critical features, like dense patterns or contacts on the masks without the need to perform expensive image qualification consisting of test wafer exposures followed by SEM measurements. Therefore, AIMS is a mask quality verification standard for high-end photo masks and established in mask shops worldwide. The progress on the AIMS technology described in this paper will highlight that besides mask shops there will be a very beneficial use of the AIMS in the wafer fab and we propose an Automated Reticle Defect Disposition (ARDD) process. With smaller nodes, where design rules are 65 nm or less, it is expected that smaller defects on reticles will occur in increasing numbers in the wafer fab. These smaller mask defects will matter more and more and become a serious yield limiting factor. With increasing mask prices and increasing number of defects and severability on reticles it will become cost beneficial to perform defect disposition on the reticles in wafer production. Currently ongoing studies demonstrate AIMS benefits for wafer fab applications. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node based on emulation of immersion scanners.

  14. Optimal cut?off value of alanine aminotransferase level to precisely estimate the presence of fatty liver in patients with poorly controlled type?2 diabetes

    OpenAIRE

    Tanabe, Akihito; Tatsumi, Fuminori; Okauchi, Seizo; Yabe, Hiroki; Tsuda, Tomohiro; Okutani, Kazuma; Yamashita, Kazuki; Nakashima, Koji; Kaku, Kohei; Kaneto, Hideaki

    2016-01-01

    Optimal cut?off value of ALT level to precisely estimate the presence of fatty liver was as low as 28.0?U/L. We should consider the possibility of fatty liver even when ALT level is within normal range in subjects with poorly controlled type 2 diabetes.

  15. Comparison of Different Approaches to the Cutting Plan Scheduling

    Directory of Open Access Journals (Sweden)

    Peter Bober

    2011-10-01

    Full Text Available Allocation of specific cutting plans and their scheduling to individual cutting machines presents a combinatorial optimization problem. In this respect, various approaches and methods are used to arrive to a viable solution. The paper reports three approaches represented by three discreet optimization methods. The first one is back-tracing algorithm and serves as a reference to verify functionality of the other two ones. The second method is optimization using genetic algorithms, and the third one presents heuristic approach to optimization based on anticipated properties of an optimal solution. Research results indicate that genetic algorithms are demanding to calculate though not dependant on the selected objective function. Heuristic algorithm is fast but dependant upon anticipated properties of the optimal solution. Hence, at change of the objective function it has to be changed. When the scheduling by genetic algorithms is solvable in a sufficiently short period of time, it is more appropriate from the practical point than the heuristic algorithm. The back-tracing algorithm usually does not provide a result in a feasible period of time.

  16. Terahertz transmission properties of silicon wafers using continuous-wave terahertz spectroscopy

    Science.gov (United States)

    Kim, Chihoon; Ahn, Jae Sung; Ji, Taeksoo; Eom, Joo Beom

    2017-04-01

    We present the spectral properties of Si wafers using continuous-wave terahertz (CW-THz) spectroscopy. By using a tunable laser source and a fixed distributed-feedback laser diode (DFB-LD), a stably tunable beat source for CW-THz spectroscopy system can be implemented. THz radiation is generated in the frequency range of 100 GHz-800 GHz by photomixing in a photoconductive antenna. We also measured CW-THz waveforms by changing the beat frequency and confirmed repeatability through repeated measurement. We calculated the peaks of the THz frequency by taking fast Fourier transforms (FFTs) of measured THz waveforms. The feasibility of CW-THz spectroscopy is demonstrated by the THz spectra of Si wafers with different resistivities, mobilities, and carrier concentrations. The results show that Si wafers with a lower resistivity absorb more THz waves. Thus, we expect our CW-THz system to have the advantage of being able to perform fast non-destructive analysis.

  17. Sample pretreatment for the determination of metal impurities in silicon wafer

    International Nuclear Information System (INIS)

    Chung, H. Y.; Kim, Y. H.; Yoo, H. D.; Lee, S. H.

    1999-01-01

    The analytical results obtained by microwave digestion and acid digestion methods for sample pretreatment to determine metal impurities in silicon wafer by inductively coupled plasma--mass spectrometry(ICP-MS) were compared. In order to decompose the silicon wafer, a mixed solution of HNO 3 and HF was added to the sample and the metal elements were determined after removing the silicon matrix by evaporating silicon in the form of Si-F. The recovery percentages of Ni, Cr and Fe were found to be 95∼106% for both microwave digestion and acid digestion methods. The recovery percentage of Cu obtained by the acid digestion method was higher than that obtained by the microwave digestion method. For Zn, however, the microwave digestion method gave better result than the acid digestion method. Fe was added to a silicon wafer using a spin coater. The concentration of Fe in this sample was determined by ICP-MS, and the same results were obtained in the two pretreatment methods

  18. Terahertz transmission properties of silicon wafers using continuous-wave terahertz spectroscopy

    International Nuclear Information System (INIS)

    Kim, Chihoon; Ahn, Jae Sung; Eom, Joo Beom; Ji, Taeksoo

    2017-01-01

    We present the spectral properties of Si wafers using continuous-wave terahertz (CW-THz) spectroscopy. By using a tunable laser source and a fixed distributed-feedback laser diode (DFB-LD), a stably tunable beat source for CW-THz spectroscopy system can be implemented. THz radiation is generated in the frequency range of 100 GHz–800 GHz by photomixing in a photoconductive antenna. We also measured CW-THz waveforms by changing the beat frequency and confirmed repeatability through repeated measurement. We calculated the peaks of the THz frequency by taking fast Fourier transforms (FFTs) of measured THz waveforms. The feasibility of CW-THz spectroscopy is demonstrated by the THz spectra of Si wafers with different resistivities, mobilities, and carrier concentrations. The results show that Si wafers with a lower resistivity absorb more THz waves. Thus, we expect our CW-THz system to have the advantage of being able to perform fast non-destructive analysis. (paper)

  19. Wafer-scale pixelated detector system

    Science.gov (United States)

    Fahim, Farah; Deptuch, Grzegorz; Zimmerman, Tom

    2017-10-17

    A large area, gapless, detection system comprises at least one sensor; an interposer operably connected to the at least one sensor; and at least one application specific integrated circuit operably connected to the sensor via the interposer wherein the detection system provides high dynamic range while maintaining small pixel area and low power dissipation. Thereby the invention provides methods and systems for a wafer-scale gapless and seamless detector systems with small pixels, which have both high dynamic range and low power dissipation.

  20. Industrial Silicon Wafer Solar Cells

    OpenAIRE

    Neuhaus, Dirk-Holger; Münzer, Adolf

    2007-01-01

    In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future e...

  1. The perfection of the construction of a combined cutting tool on the basis of the results of mathematical modelling of working cutting processes in RecurDyn

    Directory of Open Access Journals (Sweden)

    Poddubny Vladimir

    2017-01-01

    Full Text Available As the title implies the article describes how to optimize the construction of a combined cutting tool on the example of developed design of the face milling cutter with regulable rigidity of damping elements in order to improve the vibration resistance of the cutting process. RecurDyn is proposed, which is widely used for creating models of different mechanical systems, their analysis and optimization of construction, uses the ideology of visual object-oriented programming and computer research of volume solid-state models. Much attention is given to the description of the mechanical and mathematical model of the face milling cutter in RecurDyn and the results of mathematical modeling of the face milling cutter with damping elements, consisting of individual elements, with the possibility of program controlling its operation in the process of cutting. The applying of RecurDyn made it possible to carry out a complex assessment of influence of separate elements of a design of the combined cutting tool on quantitative and qualitative parameters of milling process and to define optimal values of the input and output parameters of technological process of machining for various damping elements.

  2. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    Science.gov (United States)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  3. Fabrication of CVD graphene-based devices via laser ablation for wafer-scale characterization

    DEFF Research Database (Denmark)

    Mackenzie, David; Buron, Jonas Christian Due; Whelan, Patrick Rebsdorf

    2015-01-01

    Selective laser ablation of a wafer-scale graphene film is shown to provide flexible, high speed (1 wafer/hour) device fabrication while avoiding the degradation of electrical properties associated with traditional lithographic methods. Picosecond laser pulses with single pulse peak fluences of 140......-effect mobility, doping level, on–off ratio, and conductance minimum before and after laser ablation fabrication....

  4. Trend of laser cutting technology; Laser setsudan gijutsu no saikin no doko

    Energy Technology Data Exchange (ETDEWEB)

    Murai, T. [Mitsubishi Electric Corp., Tokyo (Japan)

    1997-10-05

    Recent trend of CO2 laser cutting is described. The cutting speed has increased five times in these seven years, and cutting is now performed at 8m/min for a hole that satisfies a circularity of 50{mu}m. In the low-speed domain, again, cutting accuracy is as high as 20{mu}m. In the cutting of thick plates, laser encounters some difficulties, which include the increased thermal load on optical parts and devices in the oscillator, lessened cutting condition tolerance, variation in the accuracy of products, difficult cutting, etc. Discussed in this report are measures for correcting factors affecting product quality with reference taken to a thick soft steel plate. A laser beam emitted by an oscillator is condensed by and projected through a condenser lens, and the condensing capability is affected by various factors, such as the beam mode order, beam diameter, and focal length, etc. What is important is to find out the optimal conditions. The assist gas has to satisfy certain conditions because it assumes an important role in controlling the discharge of molten metal from cut grooves, heat generated by oxidation reaction, and cooling. The properties of the material to be cut also exert some influence. In the case of thick plate cutting, the cutting capability is governed by the geometry to be fabricated. Also employed for the prevention of poor jobs due to improper condition switchover and cutting routes is an optimal cutting condition control technique. 3 refs., 12 figs.

  5. Environmental management of the stone cutting industry in Hebron, Palestine

    International Nuclear Information System (INIS)

    Nasserdine, K.; Mimi, Z.

    2007-01-01

    Environmental Management of stone cutting industry in Hebron, Palestine, is required to reduce the industry's adverse impacts on the downstream agricultural land and on the drinking water aquifers. This situation required the implementation of an industrial waste water management strategic approach and technology within the environmental need and available technical and financial resources. Ten pilot projects at different locations were built at Hebron to reduce or eliminate the incompatible discharge of the liquid and solid waste to the environment and improve the stone cutting industry's effluent quality. A review of existing practices and jar test experiments were used to optimize the water recycling and treatment facilities. The factors reviewed included influent pumping rates and cycles, selection of the optimal coagulant type and addition methods, control of sludge recycling process, control over flow rates, control locations of influent and effluent and sludge depth. Based on the optimized doses and Turbidity results, it was determined that the use of Fokland polymer with an optimal dose of 1.5 mg/L could achieve the target turbidity levels. The completion of pilot projects resulted in the elimination of 18% of the total stone cutting waste discharges in Hebron. The results included an improvement in the recycled effluent quality by 44.99%. This in turn reduced the long term operating costs for each participating firm. A full-scale project that will include all the stone cutting firms in Hebron industrial area is required. (author)

  6. PHYSICAL-MATEMATICALSCIENCE MECHANICS SIMULATION CHALLENGES IN OPTIMISING THEORETICAL METAL CUTTING TASKS

    Directory of Open Access Journals (Sweden)

    Rasul V. Guseynov

    2017-01-01

    Full Text Available Abstract. Objectives In the article, problems in the optimising of machining operations, which provide end-unit production of the required quality with a minimum processing cost, are addressed. Methods Increasing the effectiveness of experimental research was achieved through the use of mathematical methods for planning experiments for optimising metal cutting tasks. The minimal processing cost model, in which the objective function is polynomial, is adopted as a criterion for the selection of optimal parameters. Results Polynomial models of the influence of angles φ, α, γ on the torque applied when cutting threads in various steels are constructed. Optimum values of the geometrical tool parameters were obtained using the criterion of minimum cutting forces during processing. The high stability of tools having optimal geometric parameters is determined. It is shown that the use of experimental planning methods allows the optimisation of cutting parameters. In optimising solutions to metal cutting problems, it is found to be expedient to use multifactor experimental planning methods and to select the cutting force as the optimisation parameter when determining tool geometry. Conclusion The joint use of geometric programming and experiment planning methods in order to optimise the parameters of cutting significantly increases the efficiency of technological metal processing approaches. 

  7. Female genital cutting.

    Science.gov (United States)

    Perron, Liette; Senikas, Vyta; Burnett, Margaret; Davis, Victoria

    2013-11-01

    patterns have brought female genital cutting to Europe, Australia, New Zealand, and North America, including Canada. (II-3) 5. Performing or assisting in female genital cutting is a criminal offense in Canada. (III) 6. Reporting to appropriate child welfare protection services is mandatory when a child has recently been subjected to female genital cutting or is at risk of being subjected to the procedure. (III) 7. There is concern that female genital cutting continues to be perpetuated in receiving countries, mainly through the act of re-infibulation. (III) 8. There is a perception that the care of women with female genital cutting is not optimal in receiving countries. (III) 9. Female genital cutting is not considered an indication for Caesarean section. (III) Recommendations 1. Health care professionals must be careful not to stigmatize women who have undergone female genital cutting. (III-A) 2. Requests for re-infibulation should be declined. (III-B) 3. Health care professionals should strengthen their understanding and knowledge of female genital cutting and develop greater skills for the management of its complications and the provision of culturally competent care to adolescents and women who have undergone genital cutting. (III-A) 4. Health care professionals should use their knowledge and influence to educate and counsel families against having female genital cutting performed on their daughters and other family members. (III-A) 5. Health care professionals should advocate for the availability of and access to appropriate support and counselling services. (III-A) 6. Health care professionals should lend their voices to community-based initiatives seeking to promote the elimination of female genital cutting. (III-A) 7. Health care professionals should use interactions with patients as opportunities to educate women and their families about female genital cutting and other aspects of women's health and reproductive rights. (III-A) 8. Research into female genital

  8. Comparison of silicon strip tracker module size using large sensors from 6 inch wafers

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Two large silicon strip sensor made from 6 inch wafers are placed next to each other to simulate the size of a CMS outer silicon tracker module. On the left is a prototype 2 sensor CMS inner endcap silicon tracker module made from 4 inch wafers.

  9. Lumped Parameter experiments for Single Mode Fiber Laser Cutting of Thin Stainless Steel Plate

    Science.gov (United States)

    Lai, Shengying; Jia, Ye; Han, Bing; Wang, Jun; Liu, Zongkai; Ni, Xiaowu; Shen, Zhonghua; Lu, Jian

    2017-06-01

    The present work reports the parameters on laser cutting stainless steel including workpiece thickness, cutting speed, defocus length and assisting gas pressure. The cutting kerf width, dross attachment and cut edge squareness deviation are examined to provide information on cutting quality. The results show that with the increasing thickness, the cutting speed decrease rate is about 27%. The optimal ranges of cutting speed, defocus length and gas pressure are obtained with maximum quality. The first section in your paper

  10. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  11. Analysis Of Factors Affecting Gravity-Induced Deflection For Large And Thin Wafers In Flatness Measurement Using Three-Point-Support Method

    Directory of Open Access Journals (Sweden)

    Liu Haijun

    2015-12-01

    Full Text Available Accurate flatness measurement of silicon wafers is affected greatly by the gravity-induced deflection (GID of the wafers, especially for large and thin wafers. The three-point-support method is a preferred method for the measurement, in which the GID uniquely determined by the positions of the supports could be calculated and subtracted. The accurate calculation of GID is affected by the initial stress of the wafer and the positioning errors of the supports. In this paper, a finite element model (FEM including the effect of initial stress was developed to calculate GID. The influence of the initial stress of the wafer on GID calculation was investigated and verified by experiment. A systematic study of the effects of positioning errors of the support ball and the wafer on GID calculation was conducted. The results showed that the effect of the initial stress could not be neglected for ground wafers. The wafer positioning error and the circumferential error of the support were the most influential factors while the effect of the vertical positioning error was negligible in GID calculation.

  12. Electronic properties of interfaces produced by silicon wafer hydrophilic bonding

    Energy Technology Data Exchange (ETDEWEB)

    Trushin, Maxim

    2011-07-15

    The thesis presents the results of the investigations of electronic properties and defect states of dislocation networks (DNs) in silicon produced by wafers direct bonding technique. A new insight into the understanding of their very attractive properties was succeeded due to the usage of a new, recently developed silicon wafer direct bonding technique, allowing to create regular dislocation networks with predefined dislocation types and densities. Samples for the investigations were prepared by hydrophilic bonding of p-type Si (100) wafers with same small misorientation tilt angle ({proportional_to}0.5 ), but with four different twist misorientation angles Atw (being of < , 3 , 6 and 30 , respectively), thus giving rise to the different DN microstructure on every particular sample. The main experimental approach of this work was the measurements of current and capacitance of Schottky diodes prepared on the samples which contained the dislocation network at a depth that allowed one to realize all capabilities of different methods of space charge region spectroscopy (such as CV/IV, DLTS, ITS, etc.). The key tasks for the investigations were specified as the exploration of the DN-related gap states, their variations with gradually increasing twist angle Atw, investigation of the electrical field impact on the carrier emission from the dislocation-related states, as well as the establishing of the correlation between the electrical (DLTS), optical (photoluminescence PL) and structural (TEM) properties of DNs. The most important conclusions drawn from the experimental investigations and theoretical calculations can be formulated as follows: - DLTS measurements have revealed a great difference in the electronic structure of small-angle (SA) and large-angle (LA) bonded interfaces: dominating shallow level and a set of 6-7 deep levels were found in SA-samples with Atw of 1 and 3 , whereas the prevalent deep levels - in LA-samples with Atw of 6 and 30 . The critical twist

  13. CO sub 2 laser cutting of ceramics and metal-ceramic composites. CO sub 2 -Laserschneiden von Keramik und Metall-Keramik-Verbunden

    Energy Technology Data Exchange (ETDEWEB)

    Wielage, B.; Drozak, J. (Dortmund Univ. (Germany, F.R.). Lehrstuhl fuer Werkstofftechnologie)

    1991-01-01

    Oxide and non-oxide ceramics as well as active brazed and APS-sprayed metal-ceramic composites are cut by means of a 1500 Watt CO{sub 2} laser. In this context, the experience from ceramics cutting applications is applied to laser cutting of composites. The process parameters, which are adjusted to the property profile and the thickness of the material, permit cutting of ceramics of a maximum thickness of 10 mm with optimal cut edge quality and minimum damage to the material. The parameter sets were also optimized in the case of laser-cut active brazed and plasma-sprayed composites. In terms of roughness, composition and structure of the cut edge, composites can be optimally cut using oxygen as process gas. (orig.).

  14. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  15. OPTIMASI PARAMETER MESIN LASER CUTTING TERHADAP KEKASARAN DAN LAJU PEMOTONGAN PADA SUS 316L MENGGUNAKAN TAGUCHI GREY RELATIONAL ANALYSIS METHOD

    Directory of Open Access Journals (Sweden)

    Rakasita R

    2016-06-01

    Full Text Available Optimasi parameter adalah teknik yang digunakan pada proses manufaktur untuk menghasilkan produk terbaik. Penelitian ini bertujuan untuk mengoptimasi parameter CNC laser cutting, yaitu titik fokus sinar laser, tekanan gas cutting dan cutting speed untuk mengurangi variasi terhadap respon kekasaran dan laju pemotongan pada material SUS 316L. Masing-masing parameter memiliki 3 level dan pada penelitian ini menggunakan matriks orthogonal L9 (34. Metode ANOVA dan Taguchi digunakan untuk menganalisis data hasil percobaan. Optimasi kekasaran minimum permukaan dan laju pemotongan maksimum pada proses laser cutting dilakukan dengan menggunakan Grey relational analysis. Eksperimen konfirmasi digunakan untuk membuktikan hasil optimal yang telah didapatkan dari metode Taguchi Grey relational analysis. Hasil eksperimen menunjukkan bahwa Taguchi Grey relational analysis efektif digunakan untuk mengoptimasi parameter pemesinan pada laser cutting dengan multi respon.   Abstract Parameter optimization is used in manufacturing as an indicator to produce the best manufacturing product. This paper studies an optimization parameters of CNC laser cutting such as focus of laser beam, pressure cutting gases and cutting speed for reducing variation of surface roughness and cutting rate on material SUS 316L. Based on L9(34 orthogonal array parameters, it is analized using ANOVA based on Taguchi method. In order to optimaze the minimum surface roughness and maximum cutting rate in laser cutting process, it is used Grey relational analysis. The confirmation experiments used to validate the optimal results that has done by Taguchi method. The results show that the Taguchi Grey relational analysis is being effective to optimize the machining parameters for laser cutting process with two responses.

  16. Low cost and conformal microwave water-cut sensor for optimizing oil production process

    KAUST Repository

    Karimi, Muhammad Akram

    2015-01-01

    Efficient oil production and refining processes require the precise measurement of water content in oil (i.e., water-cut) which is extracted out of a production well as a byproduct. Traditional water-cut (WC) laboratory measurements are precise

  17. External self-gettering of nickel in float zone silicon wafers

    Science.gov (United States)

    Gay, N.; Martinuzzi, S.

    1997-05-01

    During indiffusion of Ni atoms in silicon crystals at 950 °C from a nickel layer source, Ni-Si alloys can be formed close to the surface. Metal solubility in these alloys is higher than in silicon, which induces a marked segregation gettering of the Ni atoms which have diffused in the bulk of the wafers. Consequently, the regions of the wafers covered with the Ni layer are less contaminated than adjacent regions in which Ni atoms have also penetrated, as shown by the absence of precipitates and the higher diffusion length of minority carriers. The results suggest the existence of external self-gettering of Ni atoms by the nickel source.

  18. Novel SU-8 based vacuum wafer-level packaging for MEMS devices

    DEFF Research Database (Denmark)

    Murillo, Gonzalo; Davis, Zachary James; Keller, Stephan Urs

    2010-01-01

    This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability and versa......This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability...

  19. The influence of the dimensions of electrodes on the frequency-temperature characteristics of at and BT-cut quartz resonators

    International Nuclear Information System (INIS)

    Zelenka, J.

    1996-01-01

    The comparison of the measured resonant frequency-temperature characteristics of the AT-and BT-cut square and circular quartz resonators with the computed ones is given in the paper. The curves which express the frequency-temperature behavior of the resonators are compared. The influence of the thickness of the silver and gold electrodes on the first order frequency temperature coefficient is presented. The influence of the dimension ratio of the wafer on the orientation for which the zero first order temperature coefficient occurs at the temperature T O = 25 O C are given. (authors)

  20. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  1. Laser beam machining of polycrystalline diamond for cutting tool manufacturing

    Science.gov (United States)

    Wyszyński, Dominik; Ostrowski, Robert; Zwolak, Marek; Bryk, Witold

    2017-10-01

    The paper concerns application of DPSS Nd: YAG 532nm pulse laser source for machining of polycrystalline WC based diamond inserts (PCD). The goal of the research was to determine optimal laser cutting parameters for cutting tool shaping. Basic criteria to reach the goal was cutting edge quality (minimalization of finishing operations), material removal rate (time and cost efficiency), choice of laser beam characteristics (polarization, power, focused beam diameter). The research was planned and realised and analysed according to design of experiment rules (DOE). The analysis of the cutting edge was prepared with use of Alicona Infinite Focus measurement system.

  2. Formation of III–V-on-insulator structures on Si by direct wafer bonding

    International Nuclear Information System (INIS)

    Yokoyama, Masafumi; Iida, Ryo; Ikku, Yuki; Kim, Sanghyeon; Takenaka, Mitsuru; Takagi, Shinichi; Takagi, Hideki; Yasuda, Tetsuji; Yamada, Hisashi; Ichikawa, Osamu; Fukuhara, Noboru; Hata, Masahiko

    2013-01-01

    We have studied the formation of III–V-compound-semiconductors-on-insulator (III–V-OI) structures with thin buried oxide (BOX) layers on Si wafers by using developed direct wafer bonding (DWB). In order to realize III–V-OI MOSFETs with ultrathin body and extremely thin body (ETB) InGaAs-OI channel layers and ultrathin BOX layers, we have developed an electron-cyclotron resonance (ECR) O 2 plasma-assisted DWB process with ECR sputtered SiO 2 BOX layers and a DWB process based on atomic-layer-deposition Al 2 O 3 (ALD-Al 2 O 3 ) BOX layers. It is essential to suppress micro-void generation during wafer bonding process to achieve excellent wafer bonding. We have found that major causes of micro-void generation in DWB processes with ECR-SiO 2 and ALD-Al 2 O 3 BOX layers are desorption of Ar and H 2 O gas, respectively. In order to suppress micro-void generation in the ECR-SiO 2 BOX layers, it is effective to introduce the outgas process before bonding wafers. On the other hand, it is a possible solution for suppressing micro-void generation in the ALD-Al 2 O 3 BOX layers to increase the deposition temperature of the ALD-Al 2 O 3 BOX layers. It is also another possible solution to deposit ALD-Al 2 O 3 BOX layers on thermally oxidized SiO 2 layers, which can absorb the desorption gas from ALD-Al 2 O 3 BOX layers. (invited paper)

  3. Optimal Cut-Offs of Homeostasis Model Assessment of Insulin Resistance (HOMA-IR) to Identify Dysglycemia and Type 2 Diabetes Mellitus: A 15-Year Prospective Study in Chinese.

    Science.gov (United States)

    Lee, C H; Shih, A Z L; Woo, Y C; Fong, C H Y; Leung, O Y; Janus, E; Cheung, B M Y; Lam, K S L

    The optimal reference range of homeostasis model assessment of insulin resistance (HOMA-IR) in normal Chinese population has not been clearly defined. Here we address this issue using the Hong Kong Cardiovascular Risk Factor Prevalence Study (CRISPS), a prospective population-based cohort study with long-term follow-up. In this study, normal glucose tolerance (NGT), impaired fasting glucose (IFG), impaired glucose tolerance (IGT) and type 2 diabetes mellitus (T2DM) were defined according to the 1998 World Health Organization criteria. Dysglycemia referred to IFG, IGT or T2DM. This study comprised two parts. Part one was a cross-sectional study involving 2,649 Hong Kong Chinese subjects, aged 25-74 years, at baseline CRISPS-1 (1995-1996). The optimal HOMA-IR cut-offs for dysglycemia and T2DM were determined by the receiver-operating characteristic (ROC) curve. Part two was a prospective study involving 872 subjects who had persistent NGT at CRISPS-4 (2010-2012) after 15 years of follow-up. At baseline, the optimal HOMA-IR cut-offs to identify dysglyceia and T2DM were 1.37 (AUC = 0.735; 95% confidence interval [CI] = 0.713-0.758; Sensitivity [Se] = 65.6%, Specificity [Sp] = 71.3%] and 1.97 (AUC = 0.807; 95% CI = 0.777-0.886; Se = 65.5%, Sp = 82.9%) respectively. These cut-offs, derived from the cross-sectional study at baseline, corresponded closely to the 75th (1.44) and 90th (2.03) percentiles, respectively, of the HOMA-IR reference range derived from the prospective study of subjects with persistent NGT. HOMA-IR cut-offs, of 1.4 and 2.0, which discriminated dysglycemia and T2DM respectively from NGT in Southern Chinese, can be usefully employed as references in clinical research involving the assessment of insulin resistance.

  4. パルスYAGレーザによるSiウエハの割断機構に関する研究

    OpenAIRE

    山田, 啓司; 大礒, 桂一; 細川, 晃; 上田, 隆司

    2001-01-01

    The thermal stress cleaving of silicon wafer with a laser beam is a prospective technique, which supersedes the mechanical dicing. This method makes it possible to cut a wafer at very high production rate in comparison with the diamond thin blade wheel and to protect the wafer from the contamination of cutting coolant and chips. In this paper, the cleaving mechanism of silicon wafer irradiated with the pulsed Nd:YAG laser is investigated. The temperature at the area irradiated with laser is m...

  5. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  6. A Study of the Charge Trap Transistor (CTT) for Post-Fab Modification of Wafers

    Science.gov (United States)

    2018-04-01

    AFRL-RY-WP-TR-2018-0030 A STUDY OF THE CHARGE TRAP TRANSISTOR (CTT) FOR POST- FAB MODIFICATION OF WAFERS Subramanian S. Iyer University of California...Final 13 June 2016 – 13 December 2017 4. TITLE AND SUBTITLE A STUDY OF THE CHARGE TRAP TRANSISTOR (CTT) FOR POST- FAB MODIFICATION OF WAFERS 5a. CONTRACT

  7. Cohesive zone modelling of wafer bonding and fracture: effect of patterning and toughness variations

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2006-03-01

    Direct wafer bonding has increasingly become popular in the manufacture of microelectromechanical systems and semiconductor microelectronics components. The success of the bonding process is controlled by variables such as wafer flatness and surface preparation. In order to understand the effects of these variables, spontaneous planar crack propagation simulations were performed using the spectral scheme in conjunction with a cohesive zone model. The fracture-toughness on the bond interface is varied to simulate the effect of surface roughness (nanotopography) and patterning. Our analysis indicated that the energetics of crack propagation is sensitive to the local surface property variations. The patterned wafers are tougher (well bonded) than the unpatterned ones of the same average fracture-toughness.

  8. Mechanical Properties of Photovoltaic Silicon in Relation to Wafer Breakage

    Science.gov (United States)

    Kulshreshtha, Prashant Kumar

    This thesis focuses on the fundamental understanding of stress-modified crack-propagation in photovoltaic (PV) silicon in relation to the critical issue of PV silicon "wafer breakage". The interactions between a propagating crack and impurities/defects/residual stresses have been evaluated for consequential fracture path in a thin PV Si wafer. To investigate the mechanism of brittle fracture in silicon, the phase transformations induced by elastic energy released at a propagating crack-tip have been evaluated by locally stressing the diamond cubic Si lattice using a rigid Berkovich nanoindenter tip (radius ≈50 nm). Unique pressure induced phase transformations and hardness variations have been then related to the distribution of precipitates (O, Cu, Fe etc.), and the local stresses in the wafer. This research demonstrates for the first time the "ductile-like fracture" in almost circular crack path that significantly deviates from its energetically favorable crystallographic [110](111) system. These large diameter (≈ 200 mm) Si wafers were sliced to less than 180 microm thickness from a Czochralski (CZ) ingot that was grown at faster than normal growth rates. The vacancy (vSi) driven precipitation of oxygen at enhanced thermal gradients in the wafer core develops large localized stresses (upto 100 MPa) which we evaluated using Raman spectral analysis. Additional micro-FTIR mapping and microscopic etch pit measurements in the wafer core have related the observed crack path deviations to the presence of concentric ring-like distributions of oxygen precipitates (OPs). To replicate these "real-world" breakage scenarios and provide better insight on crack-propagation, several new and innovative tools/devices/methods have been developed in this study. An accurate quantitative profiling of local stress, phase changes and load-carrying ability of Si lattice has been performed in the vicinity of the controlled micro-cracks created using micro-indentations to represent

  9. Silicon-to-silicon wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Weichel, Steen; Reus, Roger De; Lindahl, M.

    1998-01-01

    Anodic bending of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 degrees C to 450 degrees C. The composition of the deposited glass is enriched in sodium as compared to the target material....... The roughness of the as-deposited films was below 5 nm and was found to be unchanged by annealing at 500 degrees C for 1 h in air. No change in the macroscopic edge profiles of the glass film was found as a function of annealing; however, small extrusions appear when annealing above 450 degrees C. Annealing...... of silicon/glass structures in air around 340 degrees C for 15 min leads to stress-free structures. Bonded wafer pairs, however, show no reduction in stress and always exhibit compressive stress. The bond yield is larger than 95% for bonding temperatures around 350 degrees C and is above 80% for bonding...

  10. The Effects of Operational Parameters on a Mono-wire Cutting System: Efficiency in Marble Processing

    Science.gov (United States)

    Yilmazkaya, Emre; Ozcelik, Yilmaz

    2016-02-01

    Mono-wire block cutting machines that cut with a diamond wire can be used for squaring natural stone blocks and the slab-cutting process. The efficient use of these machines reduces operating costs by ensuring less diamond wire wear and longer wire life at high speeds. The high investment costs of these machines will lead to their efficient use and reduce production costs by increasing plant efficiency. Therefore, there is a need to investigate the cutting performance parameters of mono-wire cutting machines in terms of rock properties and operating parameters. This study aims to investigate the effects of the wire rotational speed (peripheral speed) and wire descending speed (cutting speed), which are the operating parameters of a mono-wire cutting machine, on unit wear and unit energy, which are the performance parameters in mono-wire cutting. By using the obtained results, cuttability charts for each natural stone were created on the basis of unit wear and unit energy values, cutting optimizations were performed, and the relationships between some physical and mechanical properties of rocks and the optimum cutting parameters obtained as a result of the optimization were investigated.

  11. Non-axisymmetric flexural vibrations of free-edge circular silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Dmitriev, A.V., E-mail: dmitriev@hbar.phys.msu.ru; Gritsenko, D.S.; Mitrofanov, V.P., E-mail: mitr@hbar.phys.msu.ru

    2014-02-07

    Non-axisymmetric flexural vibrations of circular silicon (111) wafers are investigated. The modes with azimuthal index 2⩽k⩽30 are electrostatically excited and monitored by a capacitive sensor. The splitting of the mode frequencies associated with imperfection of the wafer is observed. The measured loss factors for the modes with 6≲k≲26 are close to those calculated according to the thermoelastic damping theory, while clamping losses likely dominate for k≲6, and surface losses at the level of inverse Q-factor Q{sup −1}≈4×10{sup −6} prevail for the modes with large k. The modes demonstrate nonlinear behavior of mainly geometrical origin at large amplitudes.

  12. Contacting graphene in a 200 mm wafer silicon technology environment

    Science.gov (United States)

    Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas

    2018-06-01

    Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.

  13. Process Optimization of EDM Cutting Process on Tool Steel using Zinc Coated Electrode

    Directory of Open Access Journals (Sweden)

    Hanizam H.

    2017-01-01

    Full Text Available In WEDM machining process, surface finish quality depends on intensity and duration of spark plasma. Electrode wire diameter has significant effect on the spark intensity and yet the studies on this matter still less. Therefore, the main objectives of this studies are to compare the different diameters of zinc coated and uncoated brass electrode on H13 tool steel surface roughness. The experiments were conducted on Sodick VZ300L WEDM and work piece material of tool steel AISI H13 block. Electrode of zinc coated brass with diameters of 0.1 mm, 0.2 mm, 0.25 mm and uncoated brass 0.2 mm were used. The surface roughness of cutting was measured using the SUR-FTEST SJ-410 Mitutoyo, surface roughness tester. The results suggest that better surface roughness quality can be achieved through smaller electrode wire diameter. The zinc coated improves flushing ability and sparks intensity resulting in better surface finish of H13 tool steel. New alloys and coating materials shall be experimented to optimized the process further.

  14. Wafer-level hermetic vacuum packaging by bonding with a copper-tin thin film sealing ring

    Science.gov (United States)

    Akashi, Teruhisa; Funabashi, Hirofumi; Takagi, Hideki; Omura, Yoshiteru; Hata, Yoshiyuki

    2018-04-01

    A wafer-level hermetic vacuum packaging technology intended for use with MEMS devices was developed based on a copper-tin (CuSn) thin film sealing ring. To allow hermetic packaging, the shear strength of the CuSn thin film bond was improved by optimizing the pretreatment conditions. As a result, an average shear strength of 72.3 MPa was obtained and a cavity that had been hermetically sealed using wafer-level packaging (WLP) maintained its vacuum for 1.84 years. The total pressures in the cavities and the partial pressures of residual gases were directly determined with an ultra-low outgassing residual gas analyzer (RGA) system. Hermeticity was evaluated based on helium leak rates, which were calculated from helium pressures determined with the RGA system. The resulting data showed that a vacuum cavity following 1.84 years storage had a total pressure of 83.1 Pa, contained argon as the main residual gas and exhibited a helium leak rate as low as 1.67  ×  10-17 Pa · m3 s-1, corresponding to an air leak rate of 6.19  ×  10-18 Pa · m3 s-1. The RGA data demonstrate that WLP using a CuSn thin film sealing ring permits ultra-high hermeticity in conjunction with long-term vacuum packaging that is applicable to MEMS devices.

  15. Probing and irradiation tests of ALICE pixel chip wafers and sensors

    CERN Document Server

    Cinausero, M; Antinori, F; Chochula, P; Dinapoli, R; Dima, R; Fabris, D; Galet, G; Lunardon, M; Manea, C; Marchini, S; Martini, S; Moretto, S; Pepato, Adriano; Prete, G; Riedler, P; Scarlassara, F; Segato, G F; Soramel, F; Stefanini, G; Turrisi, R; Vannucci, L; Viesti, G

    2004-01-01

    In the framework of the ALICE Silicon Pixel Detector (SPD) project a system dedicated to the tests of the ALICE1LHCb chip wafers has been assembled and is now in use for the selection of pixel chips to be bump-bonded to sensor ladders. In parallel, radiation hardness tests of the SPD silicon sensors have been carried out using the 27 MeV proton beam delivered by the XTU TANDEM accelerator at the SIRAD facility in LNL. In this paper we describe the wafer probing and irradiation set-ups and we report the obtained results. (6 refs).

  16. Wafer defect detection by a polarization-insensitive external differential interference contrast module.

    Science.gov (United States)

    Nativ, Amit; Feldman, Haim; Shaked, Natan T

    2018-05-01

    We present a system that is based on a new external, polarization-insensitive differential interference contrast (DIC) module specifically adapted for detecting defects in semiconductor wafers. We obtained defect signal enhancement relative to the surrounding wafer pattern when compared with bright-field imaging. The new DIC module proposed is based on a shearing interferometer that connects externally at the output port of an optical microscope and enables imaging thin samples, such as wafer defects. This module does not require polarization optics (such as Wollaston or Nomarski prisms) and is insensitive to polarization, unlike traditional DIC techniques. In addition, it provides full control of the DIC shear and orientation, which allows obtaining a differential phase image directly on the camera (with no further digital processing) while enhancing defect detection capabilities, even if the size of the defect is smaller than the resolution limit. Our technique has the potential of future integration into semiconductor production lines.

  17. Optimizing the parameters of heat transmission in a small heat exchanger with spiral tapes cut as triangles and Aluminum oxide nanofluid using central composite design method

    Science.gov (United States)

    Ghasemi, Nahid; Aghayari, Reza; Maddah, Heydar

    2018-02-01

    The present study aims at optimizing the heat transmission parameters such as Nusselt number and friction factor in a small double pipe heat exchanger equipped with rotating spiral tapes cut as triangles and filled with aluminum oxide nanofluid. The effects of Reynolds number, twist ratio (y/w), rotating twisted tape and concentration (w%) on the Nusselt number and friction factor are also investigated. The central composite design and the response surface methodology are used for evaluating the responses necessary for optimization. According to the optimal curves, the most optimized value obtained for Nusselt number and friction factor was 146.6675 and 0.06020, respectively. Finally, an appropriate correlation is also provided to achieve the optimal model of the minimum cost. Optimization results showed that the cost has decreased in the best case.

  18. Submerged cutting of steel by abrasive water jets

    International Nuclear Information System (INIS)

    Haferkamp, H.; Louis, H.; Meier, G.

    1990-01-01

    A special cutting head for underwater use was designed and built. Tests were carried out to find out useful parameters for submerged cutting. With regard to the production of secondary waste the abrasive flow rate had to be minimized. This was achieved by using a small water jet nozzle (up to 0.4 mm diameter) and a high pressure (up to 4000 bar) with an optimal abrasive flow rate of about 5 g/s. In the case of a higher ambient pressure a decrease of the cutting performance was measured. But this decrease is not important regarding decommissioning because the ambient pressure is less than 2 bar. An air mantle nozzle was adapted to the cutting head to improve the working distance under water. The air mantle surrounding the abrasive jet lowers the friction between jet and surrounding water and increases the cutting efficiency in the case of greater working distances. (author)

  19. Commissioning a hobby cutting device for radiochromic film preparation.

    Science.gov (United States)

    Zolfaghari, Somayeh; Francis, Kirby E; Kairn, Tanya; Crowe, Scott B

    2017-06-01

    In addition to a high spatial resolution and well characterised dose response, one of the major advantages of radiochromic film as a dosimeter is that sheets of film can be cut into pieces suitable for use as calibration films, and for in vivo and phantom measurements. The cutting of film is typically done using scissors or a guillotine, and this process can be time-consuming, limited in precision, requires extensive handling and does not allow holes to be cut from the film without cutting from an existing edge. This study investigated the use of a Brother ScanNCut hobby cutting system for EBT3 film preparation. The optimal operating parameters (blade size, pressure, speed) that resulted in precise cuts with minimal delamination at cut edges were identified using test cutting patterns. These parameters were then used to cut a large film insert for a stereotactic head phantom for comparison against an insert cut with scissors. While the hobby cutting system caused a wider region of delamination at the film edge (1.8 mm) compared to scissors (1 mm), the hobby cutting system was found to be able to produce reproducible cuts more efficiently and more accurately than scissors. The use of the hobby cutting system is recommended for complex phantom inserts (containing sharp corners or holes for alignment rods) or in situations where large numbers of film pieces need to be prepared.

  20. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  1. Camera-Based Lock-in and Heterodyne Carrierographic Photoluminescence Imaging of Crystalline Silicon Wafers

    Science.gov (United States)

    Sun, Q. M.; Melnikov, A.; Mandelis, A.

    2015-06-01

    Carrierographic (spectrally gated photoluminescence) imaging of a crystalline silicon wafer using an InGaAs camera and two spread super-bandgap illumination laser beams is introduced in both low-frequency lock-in and high-frequency heterodyne modes. Lock-in carrierographic images of the wafer up to 400 Hz modulation frequency are presented. To overcome the frame rate and exposure time limitations of the camera, a heterodyne method is employed for high-frequency carrierographic imaging which results in high-resolution near-subsurface information. The feasibility of the method is guaranteed by the typical superlinearity behavior of photoluminescence, which allows one to construct a slow enough beat frequency component from nonlinear mixing of two high frequencies. Intensity-scan measurements were carried out with a conventional single-element InGaAs detector photocarrier radiometry system, and the nonlinearity exponent of the wafer was found to be around 1.7. Heterodyne images of the wafer up to 4 kHz have been obtained and qualitatively analyzed. With the help of the complementary lock-in and heterodyne modes, camera-based carrierographic imaging in a wide frequency range has been realized for fundamental research and industrial applications toward in-line nondestructive testing of semiconductor materials and devices.

  2. New overlay measurement technique with an i-line stepper using embedded standard field image alignment marks for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2017-06-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules require addition backside processing of the wafer; thus an accurate alignment between the front and backside of the wafer is mandatory. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 μm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8]. Therefore, the available overlay measurement techniques are not suitable if overlay and alignment marks are realized at the bonding interface of a wafer stack which consists of both a silicon device and a silicon carrier wafer. The former used EVG 40NT automated overlay measurement system, which use two opposite positioned microscopes inspecting simultaneous the wafer back and front side, is not capable measuring embedded overlay

  3. Wafer-Scale Integration of Systolic Arrays,

    Science.gov (United States)

    1985-10-01

    hus wtha rbaiith hig robabili, e aubrbe orutysta mostck b(e)adstotoefwsi the cenofther cnnel thati are connted to (g.The kery ato the alevel of t...problems considered heretofore in this paper also have an interpretation in a purely graph theoretic model. Suppose we are given a two-dimensional...graphs," Magyar 7Td. Akad. Math . Kut. Int. Kozl, Vol. 5, 1960, pp. 17-61. [6] D. Fussell and P. Varman, "Fault-tolerant wafer-scale architectures for

  4. Evaluation of the adhesion strength of diamond films brazed on K-10 type hard metal

    Directory of Open Access Journals (Sweden)

    Santos Sérgio Ivan dos

    2004-01-01

    Full Text Available The coating of cutting tools with diamond films considerably increases the tool performance due to the combination of the unique tribological properties of diamond with the bulk properties of the substrate (toughness. The tool performance, however, is strongly related to the adhesion strength between the film and the substrate. In this work our main goal was to propose and to test a procedure, based on a tensile strength test, to evaluate the adhesion strength of a diamond wafer brazed on a hard metal substrate, taking into account the effect of the brazing temperature and time. The temperature range studied was from 800 to 980 °C and the brazing time ranged from 3 to 40 min. The obtained results could be used to optimize the costs and time required to the production of high performance cutting tools with brazed diamond wafers.

  5. Numerical simulation of rock cutting using 2D AUTODYN

    International Nuclear Information System (INIS)

    Woldemichael, D E; Rani, A M Abdul; Lemma, T A; Altaf, K

    2015-01-01

    In a drilling process for oil and gas exploration, understanding of the interaction between the cutting tool and the rock is important for optimization of the drilling process using polycrystalline diamond compact (PDC) cutters. In this study the finite element method in ANSYS AUTODYN-2D is used to simulate the dynamics of cutter rock interaction, rock failure, and fragmentation. A two-dimensional single PDC cutter and rock model were used to simulate the orthogonal cutting process and to investigate the effect of different parameters such as depth of cut, and back rake angle on two types of rocks (sandstone and limestone). In the simulation, the cutting tool was dragged against stationary rock at predetermined linear velocity and the depth of cut (1,2, and 3 mm) and the back rake angles(-10°, 0°, and +10°) were varied. The simulation result shows that the +10° back rake angle results in higher rate of penetration (ROP). Increasing depth of cut leads to higher ROP at the cost of higher cutting force. (paper)

  6. Response Ant Colony Optimization of End Milling Surface Roughness

    Directory of Open Access Journals (Sweden)

    Ahmed N. Abd Alla

    2010-03-01

    Full Text Available Metal cutting processes are important due to increased consumer demands for quality metal cutting related products (more precise tolerances and better product surface roughness that has driven the metal cutting industry to continuously improve quality control of metal cutting processes. This paper presents optimum surface roughness by using milling mould aluminium alloys (AA6061-T6 with Response Ant Colony Optimization (RACO. The approach is based on Response Surface Method (RSM and Ant Colony Optimization (ACO. The main objectives to find the optimized parameters and the most dominant variables (cutting speed, feedrate, axial depth and radial depth. The first order model indicates that the feedrate is the most significant factor affecting surface roughness.

  7. Research of a smart cutting tool based on MEMS strain gauge

    Science.gov (United States)

    Zhao, Y.; Zhao, Y. L.; Shao, YW; Hu, T. J.; Zhang, Q.; Ge, X. H.

    2018-03-01

    Cutting force is an important factor that affects machining accuracy, cutting vibration and tool wear. Machining condition monitoring by cutting force measurement is a key technology for intelligent manufacture. Current cutting force sensors exist problems of large volume, complex structure and poor compatibility in practical application, for these problems, a smart cutting tool is proposed in this paper for cutting force measurement. Commercial MEMS (Micro-Electro-Mechanical System) strain gauges with high sensitivity and small size are adopted as transducing element of the smart tool, and a structure optimized cutting tool is fabricated for MEMS strain gauge bonding. Static calibration results show that the developed smart cutting tool is able to measure cutting forces in both X and Y directions, and the cross-interference error is within 3%. Its general accuracy is 3.35% and 3.27% in X and Y directions, and sensitivity is 0.1 mV/N, which is very suitable for measuring small cutting forces in high speed and precision machining. The smart cutting tool is portable and reliable for practical application in CNC machine tool.

  8. An improved cut-and-solve algorithm for the single-source capacitated facility location problem

    DEFF Research Database (Denmark)

    Gadegaard, Sune Lauth; Klose, Andreas; Nielsen, Lars Relund

    2018-01-01

    In this paper, we present an improved cut-and-solve algorithm for the single-source capacitated facility location problem. The algorithm consists of three phases. The first phase strengthens the integer program by a cutting plane algorithm to obtain a tight lower bound. The second phase uses a two......-level local branching heuristic to find an upper bound, and if optimality has not yet been established, the third phase uses the cut-and-solve framework to close the optimality gap. Extensive computational results are reported, showing that the proposed algorithm runs 10–80 times faster on average compared...

  9. Sol-gel bonding of silicon wafers

    International Nuclear Information System (INIS)

    Barbe, C.J.; Cassidy, D.J.; Triani, G.; Latella, B.A.; Mitchell, D.R.G.; Finnie, K.S.; Short, K.; Bartlett, J.R.; Woolfrey, J.L.; Collins, G.A.

    2005-01-01

    Sol-gel bonds have been produced between smooth, clean silicon substrates by spin-coating solutions containing partially hydrolysed silicon alkoxides. The two coated substrates were assembled and the resulting sandwich fired at temperatures ranging from 60 to 600 deg. C. The sol-gel coatings were characterised using attenuated total reflectance Fourier transform infrared spectroscopy, ellipsometry, and atomic force microscopy, while the corresponding bonded specimens were investigated using scanning electron microscopy and cross-sectional transmission electron microscopy. Mechanical properties were characterised using both microindentation and tensile testing. Bonding of silicon wafers has been successfully achieved at temperatures as low as 60 deg. C. At 300 deg. C, the interfacial fracture energy was 1.55 J/m 2 . At 600 deg. C, sol-gel bonding provided superior interfacial fracture energy over classical hydrophilic bonding (3.4 J/m 2 vs. 1.5 J/m 2 ). The increase in the interfacial fracture energy is related to the increase in film density due to the sintering of the sol-gel interface with increasing temperature. The superior interfacial fracture energy obtained by sol-gel bonding at low temperature is due to the formation of an interfacial layer, which chemically bonds the two sol-gel coatings on each wafer. Application of a tensile stress on the resulting bond leads to fracture of the samples at the silicon/sol-gel interface

  10. Plasma arc cutting: speed and cut quality

    International Nuclear Information System (INIS)

    Nemchinsky, V A; Severance, W S

    2009-01-01

    When cutting metal with plasma arc cutting, the walls of the cut are narrower at the bottom than at the top. This lack of squareness increases as the cutting speed increases. A model of this phenomenon, affecting cut quality, is suggested. A thin liquid layer, which separates the plasma from the solid metal to be melted, plays a key role in the suggested model. This layer decreases heat transfer from the plasma to the solid metal; the decrease is more pronounced the higher the speed and the thicker the liquid metal layer. Since the layer is thicker at the bottom of the cut, the heat transfer effectiveness is lower at the bottom. The decrease in heat transfer effectiveness is compensated by the narrowness of the cut. The suggested model allows one to calculate the profile of the cut. The result of the calculations of the cutting speeds for plates of various thicknesses, at which the squareness of the cut is acceptable, agrees well with the speeds recommended by manufacturers. The second effect considered in the paper is the deflection of the plasma jet from the vertical at a high cutting speed. A qualitative explanation of this phenomenon is given. We believe the considerations of this paper are pertinent to other types of cutting with moving heat sources.

  11. Cutting

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español Cutting KidsHealth / For Teens / Cutting What's in this article? ... Getting Help Print en español Cortarse What Is Cutting? Emma's mom first noticed the cuts when Emma ...

  12. Xe{sup +} ion beam induced rippled structures on Si miscut wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hanisch, Antje; Grenzer, Joerg [Forschungszentrum Dresden-Rossendorf, Dresden (Germany); Biermanns, Andreas; Pietsch, Ullrich [Institute of Physics, University of Siegen (Germany)

    2009-07-01

    We report on the influence of the initial roughness and crystallography of the substrate on the formation of self-organized ripple structures on semiconductors surfaces by noble gas ion bombardment. The Bradley-Harper theory predicts that an initial roughness is most important for starting the sputtering process which in the ends leads to the evolution of regular patterns. We produced periodic structures with intermediate Xe{sup +} ion energies (5-70 keV) at different incidence and azimuthal angles which lead to the assumption that also crystallography plays a role at the beginning of ripple evolution. Most of the previous investigations started from the original roughness of a polished silicon wafer. We used (001) silicon wafers with a miscut angle of 1 , 5 and 10 towards[110]. We studied the ripple formation keeping the ion beam parallel to the[111],[-1-11] or[-111] direction, i.e. parallel, antiparallel or perpendicular to the miscut direction[110]. The parallel and antiparallel case implies a variation of the incidence angle with increased roughness over the surface step terraces. The perpendicular orientation means almost no roughness. The results were compared to normal Si(001) and Si(111) wafers.

  13. The role of Gliadel wafers in the treatment of newly diagnosed GBM: a meta-analysis

    Directory of Open Access Journals (Sweden)

    Xing WK

    2015-06-01

    Full Text Available Wei-kang Xing,1 Chuan Shao,2 Zhen-yu Qi,1 Chao Yang,1 Zhong Wang1 1Department of Neurosurgery, The First Affiliated Hospital of Soochow University, Suzhou, Jiangsu, 2Department of Neurosurgery, The Second Clinical Medical College of North Sichuan Medical College, Nanchong, Sichuan, People’s Republic of China Background: Standard treatment for high-grade glioma (HGG includes surgery followed by radiotherapy and/or chemotherapy. Insertion of carmustine wafers into the resection cavity as a treatment for malignant glioma is currently a controversial topic among neurosurgeons. Our meta-analysis focused on whether carmustine wafer treatment could significantly benefit the survival of patients with newly diagnosed glioblastoma multiforme (GBM.Method: We searched the PubMed and Web of Science databases without any restrictions on language using the keywords “Gliadel wafers”, “carmustine wafers”, “BCNU wafers”, or “interstitial chemotherapy” in newly diagnosed GBM for the period from January 1990 to March 2015. Randomized controlled trials (RCTs and cohort studies/clinical trials that compared treatments designed with and without carmustine wafers and which reported overall survival or hazard ratio (HR or survival curves were included in this study. Moreover, the statistical analysis was conducted by the STATA 12.0 software.Results: Six studies including two RCTs and four cohort studies, enrolling a total of 513 patients (223 with and 290 without carmustine wafers, matched the selection criteria. Carmustine wafers showed a strong advantage when pooling all the included studies (HR =0.63, 95% confidence interval (CI =0.49–0.81; P=0.019. However, the two RCTs did not show a statistical increase in survival in the group with carmustine wafer compared to the group without it (HR =0.51, 95% CI =0.18–1.41; P=0.426, while the cohort studies demonstrated a significant survival increase (HR =0.59, 95% CI =0.44–0.79; P<0.0001.Conclusion

  14. EM Simulation Accuracy Enhancement for Broadband Modeling of On-Wafer Passive Components

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Jiang, Chenhui; Hadziabdic, Dzenan

    2007-01-01

    This paper describes methods for accuracy enhancement in broadband modeling of on-wafer passive components using electromagnetic (EM) simulation. It is shown that standard excitation schemes for integrated component simulation leads to poor correlation with on-wafer measurements beyond the lower...... GHz frequency range. We show that this is due to parasitic effects and higher-order modes caused by the excitation schemes. We propose a simple equivalent circuit for the parasitic effects in the well-known ground ring excitation scheme. An extended L-2L calibration method is shown to improve...

  15. First thin AC-coupled silicon strip sensors on 8-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Bergauer, T., E-mail: thomas.bergauer@oeaw.ac.at [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Dragicevic, M.; König, A. [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Hacker, J.; Bartl, U. [Infineon Technologies Austria AG, Siemensstrasse 2, 9500 Villach (Austria)

    2016-09-11

    The Institute of High Energy Physics (HEPHY) in Vienna and the semiconductor manufacturer Infineon Technologies Austria AG developed a production process for planar AC-coupled silicon strip sensors manufactured on 200 μm thick 8-inch p-type wafers. In late 2015, the first wafers were delivered featuring the world's largest AC-coupled silicon strip sensors. Detailed electrical measurements were carried out at HEPHY, where single strip and global parameters were measured. Mechanical studies were conducted and the long-term behavior was investigated using a climate chamber. Furthermore, the electrical properties of various test structures were investigated to validate the quality of the manufacturing process.

  16. Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer

    OpenAIRE

    Zhuhao Gong; Yulong Zhang; Xin Guo; Zewen Liu

    2018-01-01

    A radio-frequency micro-electro-mechanical system (RF MEMS) wafer-level packaging (WLP) method using pre-patterned benzo-cyclo-butene (BCB) polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to gener...

  17. Prediction of thermo-mechanical reliability of wafer backend processes

    NARCIS (Netherlands)

    Gonda, V.; Toonder, den J.M.J.; Beijer, J.G.J.; Zhang, G.Q.; van Driel, W.D.; Hoofman, R.J.O.M.; Ernst, L.J.

    2004-01-01

    More than 65% of IC failures are related to thermal and mechanical problems. For wafer backend processes, thermo-mechanical failure is one of the major bottlenecks. The ongoing technological trends like miniaturization, introduction of new materials, and function/product integration will increase

  18. Prediction of thermo-mechanical integrity of wafer backend processes

    NARCIS (Netherlands)

    Gonda, V.; Toonder, den J.M.J.; Beijer, J.G.J.; Zhang, G.Q.; Hoofman, R.J.O.M.; Ernst, L.J.; Ernst, L.J.

    2003-01-01

    More than 65% of IC failures are related to thermal and mechanical problems. For wafer backend processes, thermo-mechanical failure is one of the major bottlenecks. The ongoing technological trends like miniaturization, introduction of new materials, and function/product integration will increase

  19. Methods for characterization of wafer-level encapsulation applied on silicon to LTCC anodic bonding

    International Nuclear Information System (INIS)

    Khan, M F; Ghavanini, F A; Enoksson, P; Haasl, S; Löfgren, L; Persson, K; Rusu, C; Schjølberg-Henriksen, K

    2010-01-01

    This paper presents initial results on generic characterization methods for wafer-level encapsulation. The methods, developed specifically to evaluate anodic bonding of low-temperature cofired ceramics (LTCC) to Si, are generally applicable to wafer-level encapsulation. Different microelectromechanical system (MEMS) structures positioned over the whole wafer provide local information about the bond quality. The structures include (i) resonating cantilevers as pressure sensors for bond hermeticity, (ii) resonating bridges as stress sensors for measuring the stress induced by the bonding and (iii) frames/mesas for pull tests. These MEMS structures have been designed, fabricated and characterized indicating that local information can easily be obtained. Buried electrodes to enable localized bonding have been implemented and their effectiveness is indicated from first results of the novel Si to LTCC anodic bonding.

  20. Experimental analysis of Nd-YAG laser cutting of sheet materials - A review

    Science.gov (United States)

    Sharma, Amit; Yadava, Vinod

    2018-01-01

    Cutting of sheet material is considered as an important process due to its relevance among products of everyday life such as aircrafts, ships, cars, furniture etc. Among various sheet cutting processes (ASCPs), laser beam cutting is one of the most capable ASCP to create complex geometries with stringent design requirements in difficult-to-cut sheet materials. Based on the recent research work in the area of sheet cutting, it is found that the Nd-YAG laser is used for cutting of sheet material in general and reflective sheet material in particular. This paper reviews the experimental analysis of Nd-YAG laser cutting process, carried out to study the influence of laser cutting parameters on the process performance index. The significance of experimental modeling and different optimization approaches employed by various researchers has also been discussed in this study.

  1. Reliable four-point flexion test and model for die-to-wafer direct bonding

    Energy Technology Data Exchange (ETDEWEB)

    Tabata, T., E-mail: toshiyuki.tabata@cea.fr; Sanchez, L.; Fournel, F.; Moriceau, H. [Univ. Grenoble Alpes, F-38000 Grenoble, France and CEA, LETI, MINATEC Campus, F-38054 Grenoble (France)

    2015-07-07

    For many years, wafer-to-wafer (W2W) direct bonding has been very developed particularly in terms of bonding energy measurement and bonding mechanism comprehension. Nowadays, die-to-wafer (D2W) direct bonding has gained significant attention, for instance, in photonics and microelectro-mechanics, which supposes controlled and reliable fabrication processes. So, whatever the stuck materials may be, it is not obvious whether bonded D2W structures have the same bonding strength as bonded W2W ones, because of possible edge effects of dies. For that reason, it has been strongly required to develop a bonding energy measurement technique which is suitable for D2W structures. In this paper, both D2W- and W2W-type standard SiO{sub 2}-to-SiO{sub 2} direct bonding samples are fabricated from the same full-wafer bonding. Modifications of the four-point flexion test (4PT) technique and applications for measuring D2W direct bonding energies are reported. Thus, the comparison between the modified 4PT and the double-cantilever beam techniques is drawn, also considering possible impacts of the conditions of measures such as the water stress corrosion at the debonding interface and the friction error at the loading contact points. Finally, reliability of a modified technique and a new model established for measuring D2W direct bonding energies is demonstrated.

  2. A computer system to aid in the planning of steel rolls cuts

    Directory of Open Access Journals (Sweden)

    Nelson Maculan

    2007-03-01

    Full Text Available The planning of cuts in steel rolls is a combinatory optimization problem. Some companies of the metallurgical industry use the steel cold lamination process so that it acquires the necessary physical properties. In this case, the cutting patterns should consist of compartments of items compatible with the lamination process, hindering the task of cuts planning. A compartment represents an intermediate roll to be laminated, so that it is possible to combine intermediate rolls with different lamination needs in the same roll of the stock. In this work the prototype of the RollCut System will be presented to aid with the cuts planning.

  3. ADVANCED CUTTINGS TRANSPORT STUDY

    Energy Technology Data Exchange (ETDEWEB)

    Stefan Miska; Troy Reed; Ergun Kuru

    2004-09-30

    The Advanced Cuttings Transport Study (ACTS) was a 5-year JIP project undertaken at the University of Tulsa (TU). The project was sponsored by the U.S. Department of Energy (DOE) and JIP member companies. The objectives of the project were: (1) to develop and construct a new research facility that would allow three-phase (gas, liquid and cuttings) flow experiments under ambient and EPET (elevated pressure and temperature) conditions, and at different angle of inclinations and drill pipe rotation speeds; (2) to conduct experiments and develop a data base for the industry and academia; and (3) to develop mechanistic models for optimization of drilling hydraulics and cuttings transport. This project consisted of research studies, flow loop construction and instrumentation development. Following a one-year period for basic flow loop construction, a proposal was submitted by TU to the DOE for a five-year project that was organized in such a manner as to provide a logical progression of research experiments as well as additions to the basic flow loop. The flow loop additions and improvements included: (1) elevated temperature capability; (2) two-phase (gas and liquid, foam etc.) capability; (3) cuttings injection and removal system; (4) drill pipe rotation system; and (5) drilling section elevation system. In parallel with the flow loop construction, hydraulics and cuttings transport studies were preformed using drilling foams and aerated muds. In addition, hydraulics and rheology of synthetic drilling fluids were investigated. The studies were performed under ambient and EPET conditions. The effects of temperature and pressure on the hydraulics and cuttings transport were investigated. Mechanistic models were developed to predict frictional pressure loss and cuttings transport in horizontal and near-horizontal configurations. Model predictions were compared with the measured data. Predominantly, model predictions show satisfactory agreements with the measured data. As a

  4. The Evolution of Wafer Bonding Moving from the back-end further to the front-end

    Institute of Scientific and Technical Information of China (English)

    Thomas Glinsner; Peter Hangweier

    2009-01-01

    @@ 1 Introduction As the nanoscale era progresses, innovative new materials and processes continue to be developed and implemented as a means of keeping the industry on the path of Moore's Law. Wafer bonding - literally, the temporary or permanent joining of two wafers or substrates using a suitable combination of process technologies, chemicals and adhesives - is one such innovation.

  5. Effect of Anisotropy on Shape Measurement Accuracy of Silicon Wafer Using Three-Point-Support Inverting Method

    Science.gov (United States)

    Ito, Yukihiro; Natsu, Wataru; Kunieda, Masanori

    This paper describes the influences of anisotropy found in the elastic modulus of monocrystalline silicon wafers on the measurement accuracy of the three-point-support inverting method which can measure the warp and thickness of thin large panels simultaneously. Deflection due to gravity depends on the crystal orientation relative to the positions of the three-point-supports. Thus the deviation of actual crystal orientation from the direction indicated by the notch fabricated on the wafer causes measurement errors. Numerical analysis of the deflection confirmed that the uncertainty of thickness measurement increases from 0.168µm to 0.524µm due to this measurement error. In addition, experimental results showed that the rotation of crystal orientation relative to the three-point-supports is effective for preventing wafer vibration excited by disturbance vibration because the resonance frequency of wafers can be changed. Thus, surface shape measurement accuracy was improved by preventing resonant vibration during measurement.

  6. 'Intelligent' system's cost-cutting power.

    Science.gov (United States)

    Dodge, Jeremy

    2010-05-01

    Jeremy Dodge, business manager at Marshall Tufflex Energy Management, explains how a voltage optimisation system that, in a claimed industry first, uses "auto-transformers" to reduce incoming mains electricity voltage so that electrical equipment receives precisely the "outgoing feed" it needs to function optimally and no more, thus significantly reducing wastage, can help major electricity users cut their bills "by as much as 25%".

  7. Improvements to the solar cell efficiency and production yields of low-lifetime wafers with effective phosphorus gettering

    International Nuclear Information System (INIS)

    Lu, Jiunn-Chenn; Chen, Ping-Nan; Chen, Chih-Min; Wu, Chung-Han

    2013-01-01

    Highlights: • Variable-temperature gettering improves efficiencies when the wafer quality is poor. • High-quality wafers need not be used for variable-temperature gettering. • The proposed gettering method is based on an existing diffusion process. • It has a potential interest for hot-spot prevention. -- Abstract: This research focuses on the improvement of solar cell efficiencies in low-lifetime wafers by implementing an appropriate gettering method of the diffusion process. The study also considers a reduction in the value of the reverse current at −12 V, an important electrical parameter related to the hot-spot heating of solar cells and modules, to improve the product's quality during commercial mass production. A practical solar cell production case study is examined to illustrate the use of the proposed method. The results of this case study indicate that variable-temperature gettering significantly improves solar cell efficiencies by 0.14% compared to constant-temperature methods when the wafer quality is poor. Moreover, this study finds that variable-temperature gettering raises production yields of low quality wafers by more than 30% by restraining the measurement value of the reverse current at −12 V during solar cell manufacturing

  8. GA based CNC turning center exploitation process parameters optimization

    Directory of Open Access Journals (Sweden)

    Z. Car

    2009-01-01

    Full Text Available This paper presents machining parameters (turning process optimization based on the use of artificial intelligence. To obtain greater efficiency and productivity of the machine tool, optimal cutting parameters have to be obtained. In order to find optimal cutting parameters, the genetic algorithm (GA has been used as an optimal solution finder. Optimization has to yield minimum machining time and minimum production cost, while considering technological and material constrains.

  9. Bonding of Si wafers by surface activation method for the development of high efficiency high counting rate radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Onabe, Hideaki

    2006-01-01

    Si wafers with two different resistivities ranging over two orders of magnitude were bonded by the surface activation method. The resistivities of bonded Si wafers were measured as a function of annealing temperature. Using calculations based on a model, the interface resistivities of bonded Si wafers were estimated as a function of the measured resistivities of bonded Si wafers. With thermal treatment from 500degC to 900degC, all interfaces showed high resistivity, with behavior that was close to that of an insulator. Annealing at 1000degC decreased the interface resistivity and showed close to ideal bonding after thermal treatment at 1100degC. (author)

  10. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  11. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  12. Determination of cut front position in laser cutting

    Science.gov (United States)

    Pereira, M.; Thombansen, U.

    2016-07-01

    Laser cutting has a huge importance to manufacturing industry. Laser cutting machines operate with fixed technological parameters and this does not guarantee the best productivity. The adjustment of the cutting parameters during operation can improve the machine performance. Based on a coaxial measuring device it is possible to identify the cut front position during the cutting process. This paper describes the data analysis approach used to determine the cut front position for different feed rates. The cut front position was determined with good resolution, but improvements are needed to make the whole process more stable.

  13. Self-adaptive phosphor coating technology for wafer-level scale chip packaging

    International Nuclear Information System (INIS)

    Zhou Linsong; Rao Haibo; Wang Wei; Wan Xianlong; Liao Junyuan; Wang Xuemei; Zhou Da; Lei Qiaolin

    2013-01-01

    A new self-adaptive phosphor coating technology has been successfully developed, which adopted a slurry method combined with a self-exposure process. A phosphor suspension in the water-soluble photoresist was applied and exposed to LED blue light itself and developed to form a conformal phosphor coating with self-adaptability to the angular distribution of intensity of blue light and better-performing spatial color uniformity. The self-adaptive phosphor coating technology had been successfully adopted in the wafer surface to realize a wafer-level scale phosphor conformal coating. The first-stage experiments show satisfying results and give an adequate demonstration of the flexibility of self-adaptive coating technology on application of WLSCP. (semiconductor devices)

  14. A new cleaning process for the metallic contaminants on a post-CMP wafer's surface

    International Nuclear Information System (INIS)

    Gao Baohong; Liu Yuling; Wang Chenwei; Wang Shengli; Zhou Qiang; Tan Baimei; Zhu Yadong

    2010-01-01

    This paper presents a new cleaning process using boron-doped diamond (BDD) film anode electrochemical oxidation for metallic contaminants on polished silicon wafer surfaces. The BDD film anode electrochemical oxidation can efficiently prepare pyrophosphate peroxide, pyrophosphate peroxide can oxidize organic contaminants, and pyrophosphate peroxide is deoxidized into pyrophosphate. Pyrophosphate, a good complexing agent, can form a metal complex, which is a structure consisting of a copper ion, bonded to a surrounding array of two pyrophosphate anions. Three polished wafers were immersed in the 0.01 mol/L CuSO 4 solution for 2 h in order to make comparative experiments. The first one was cleaned by pyrophosphate peroxide, the second by RCA (Radio Corporation of America) cleaning, and the third by deionized (DI) water. The XPS measurement result shows that the metallic contaminants on wafers cleaned by the RCA method and by pyrophosphate peroxide is less than the XPS detection limits of 1 ppm. And the wafer's surface cleaned by pyrophosphate peroxide is more efficient in removing organic carbon residues than RCA cleaning. Therefore, BDD film anode electrochemical oxidation can be used for microelectronics cleaning, and it can effectively remove organic contaminants and metallic contaminants in one step. It also achieves energy saving and environmental protection. (semiconductor technology)

  15. Cuttings-carried theory and erosion rule in gas drilling horizontal well

    Directory of Open Access Journals (Sweden)

    Wei Na

    2014-01-01

    Full Text Available In gas horizontal drilling, the gas with cuttings will go through the annulus at high speed which will lead strong erosion to the drill tools. This paper proposes a cuttings-carried theory and modified the critical cuttings-carried model for the gas-solid flow. Meanwhile, the erosive energy is obtained through simulating the gas-solid mixture in different conditions. The study result has positive significance on the determination of reasonable injection volume by optimizing construction parameters of horizontal well in gas drilling.

  16. Investigating the CO 2 laser cutting parameters of MDF wood composite material

    Science.gov (United States)

    Eltawahni, H. A.; Olabi, A. G.; Benyounis, K. Y.

    2011-04-01

    Laser cutting of medium density fibreboard (MDF) is a complicated process and the selection of the process parameters combinations is essential to get the highest quality cut section. This paper presents a means for selecting the process parameters for laser cutting of MDF based on the design of experiments (DOE) approach. A CO 2 laser was used to cut three thicknesses, 4, 6 and 9 mm, of MDF panels. The process factors investigated are: laser power, cutting speed, air pressure and focal point position. In this work, cutting quality was evaluated by measuring the upper kerf width, the lower kerf width, the ratio between the upper kerf width to the lower kerf width, the cut section roughness and the operating cost. The effect of each factor on the quality measures was determined. The optimal cutting combinations were presented in favours of high quality process output and in favours of low cutting cost.

  17. Relation between Cutting Surface Quality and Alloying Element Contents when Using a CO2 Laser

    Directory of Open Access Journals (Sweden)

    J. Litecká

    2011-01-01

    Full Text Available This paper deals with the influence of material content on changes in the quality parameters of the cutting surface when cutting with a laser. The study focuses on experiments to find the effect of material structure and cutting parameters on surface roughness, Vickers microhardness and precision of laser cutting. The experimental results are shown in graphs which illustrate the suitability of materials for achieving required cutting surface quality parameters. These results can be used for optimizing production in practical applications using a laser cutting machine.

  18. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  19. Fluorescence hyperspectral imaging technique for the foreign substance detection on fresh-cut lettuce

    Science.gov (United States)

    Nondestructive methods based on fluorescence hyperspectral imaging (HSI) techniques were developed in order to detect worms on fresh-cut lettuce. The optimal wavebands for detecting worms on fresh-cut lettuce were investigated using the one-way ANOVA analysis and correlation analysis. The worm detec...

  20. Experimental testing of exchangeable cutting inserts cutting ability

    OpenAIRE

    Čep, Robert; Janásek, Adam; Čepová, Lenka; Petrů, Jana; Hlavatý, Ivo; Car, Zlatan; Hatala, Michal

    2013-01-01

    The article deals with experimental testing of the cutting ability of exchangeable cutting inserts. Eleven types of exchangeable cutting inserts from five different manufacturers were tested. The tested cutting inserts were of the same shape and were different especially in material and coating types. The main aim was both to select a suitable test for determination of the cutting ability of exchangeable cutting inserts and to design such testing procedure that could make it possible...

  1. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  2. JOINT RIGIDITY ASSESSMENT WITH PIEZOELECTRIC WAFERS AND ACOUSTIC WAVES

    International Nuclear Information System (INIS)

    Montoya, Angela C.; Maji, Arup K.

    2010-01-01

    There has been an interest in the development of rapid deployment satellites. In a modular satellite design, different panels of specific functions can be pre-manufactured. The satellite can then be assembled and tested just prior to deployment. Traditional vibration testing is time-consuming and expensive. An alternative test method to evaluate the connection between two plates will be proposed. The method investigated and described employs piezoelectric wafers to induce and sense lamb waves in two aluminum plates, which were joined by steel brackets to form an 'L-Style' joint. Lamb wave behavior and piezoelectric material properties will be discussed; the experimental setup and results will be presented. A set of 4 piezoelectric ceramic wafers were used alternately as source and sensor. The energy transmitted was shown to correlate with a mechanical assessment of the joint, demonstrating that this method of testing is a feasible and reliable way to inspect the rigidity of joints.

  3. Determination of cut front position in laser cutting

    International Nuclear Information System (INIS)

    Pereira, M; Thombansen, U

    2016-01-01

    Laser cutting has a huge importance to manufacturing industry. Laser cutting machines operate with fixed technological parameters and this does not guarantee the best productivity. The adjustment of the cutting parameters during operation can improve the machine performance. Based on a coaxial measuring device it is possible to identify the cut front position during the cutting process. This paper describes the data analysis approach used to determine the cut front position for different feed rates. The cut front position was determined with good resolution, but improvements are needed to make the whole process more stable. (paper)

  4. Cutting thin glass by femtosecond laser ablation

    Science.gov (United States)

    Shin, Hyesung; Kim, Dongsik

    2018-06-01

    The femtosecond laser ablation process for cutting thin aluminoborosilicate glass sheets of thickness 100 μm was investigated with emphasis on effective cutting speed (Veff) and mechanical strength of diced samples. The process parameters including the laser fluence (F), overlap ratio (r) of the laser beam and polarization direction were varied at a fixed pulse repetition rate f = 1 kHz to find the optimal process condition that maximizes Veff and edge strength. A three-point bending test was performed to evaluate the front-side and back-side bending (edge) strength of the laser-cut samples. Veff was proportional to F unless r exceeded a critical value, at which excessive energy began to be delivered at the same spot. The front-side edge strength was bigger than the back-side strength because of the back-side damages such as chipping. Good edge strength, as high as ∼280 MPa (front-side) and ∼230 MPa (back-side), was obtained at F = 19 J/m2, r = 0.99, with laser polarization vertical to the cutting path.

  5. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  6. The use of cutting temperature to evaluate the machinability of titanium alloys.

    Science.gov (United States)

    Kikuchi, Masafumi

    2009-02-01

    This study investigated the machinability of titanium, two commercial titanium alloys (Ti-6Al-4V and Ti-6Al-7Nb) and free-cutting brass using the cutting temperature. The cutting temperature was estimated by measuring the thermal electromotive force of the tool-workpiece thermocouple during cutting. The thermoelectric power of each metal relative to the tool had previously been determined. The metals were slotted using a milling machine and carbide square end mills under four cutting conditions. The cutting temperatures of Ti-6Al-4V and Ti-6Al-7Nb were significantly higher than that of the titanium, while that of the free-cutting brass was lower. This result coincided with the relationship of the magnitude of the cutting forces measured in a previous study. For each metal, the cutting temperature became higher when the depth of cut or the cutting speed and feed increased. The increase in the cutting speed and feed was more influential on the value than the increase in the depth of cut when two cutting conditions with the same removal rates were compared. The results demonstrated that cutting temperature measurement can be utilized to develop a new material for dental CAD/CAM applications and to optimize the cutting conditions.

  7. Low cost and conformal microwave water-cut sensor for optimizing oil production process

    KAUST Repository

    Karimi, Muhammad Akram

    2015-08-01

    Efficient oil production and refining processes require the precise measurement of water content in oil (i.e., water-cut) which is extracted out of a production well as a byproduct. Traditional water-cut (WC) laboratory measurements are precise, but are incapable of providing real-time information, while recently reported in-line WC sensors (both in research and industry) are usually incapable of sensing the full WC range (0 – 100 %), are bulky, expensive and non-scalable for the variety of pipe sizes used in the oil industry. This work presents a novel implementation of a planar microwave T-resonator for fully non-intrusive in situ WC sensing over the full range of operation, i.e., 0 – 100 %. As opposed to non-planar resonators, the choice of a planar resonator has enabled its direct implementation on the pipe surface using low cost fabrication methods. WC sensors make use of series resonance introduced by a λ/4 open shunt stub placed in the middle of a microstrip line. The detection mechanism is based on the measurement of the T-resonator’s resonance frequency, which varies with the relative percentage of oil and water (due to the difference in their dielectric properties). In order to implement the planar T-resonator based sensor on the curved surface of the pipe, a novel approach of utilizing two ground planes is proposed in this work. The innovative use of dual ground planes makes this sensor scalable to a wide range of pipe sizes present in the oil industry. The design and optimization of this sensor was performed in an electromagnetic Finite Element Method (FEM) solver, i.e., High Frequency Structural Simulator (HFSS) and the dielectric properties of oil, water and their emulsions of different WCs used in the simulation model were measured using a SPEAG-dielectric assessment kit (DAK-12). The simulation results were validated through characterization of fabricated prototypes. Initial rapid prototyping was completed using copper tape, after which a

  8. Decrease of FIB-induced lateral damage for diamond tool used in nano cutting

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Wei [State Key Laboratory of Precision Measuring Technology and Instruments, Centre of MicroNano Manufacturing Technology, Tianjin University, Tianjin 300072 (China); Xu, Zongwei, E-mail: zongweixu@163.com [State Key Laboratory of Precision Measuring Technology and Instruments, Centre of MicroNano Manufacturing Technology, Tianjin University, Tianjin 300072 (China); Fang, Fengzhou, E-mail: fzfang@gmail.com [State Key Laboratory of Precision Measuring Technology and Instruments, Centre of MicroNano Manufacturing Technology, Tianjin University, Tianjin 300072 (China); Liu, Bing; Xiao, Yinjing; Chen, Jinping [State Key Laboratory of Precision Measuring Technology and Instruments, Centre of MicroNano Manufacturing Technology, Tianjin University, Tianjin 300072 (China); Wang, Xibin [School of Mechanical Engineering, Beijing Institute of Technology, Beijing 100081 (China); Liu, Hongzhong [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China)

    2014-07-01

    Highlights: • We mainly aim to characterize and decrease the FIB-induced damage on diamond tool. • Raman and XPS methods were used to characterize the nanoscale FIB-induced damage. • Lower energy FIB can effectively lessen the FIB-induced damage on diamond tool. • The diamond tools’ performance was greatly improved after FIB process optimization. • 6 nm chip thickness of copper was achieved by diamond tool with 22 nm edge radius. - Abstract: Diamond cutting tools with nanometric edge radius used in ultra-precision machining can be fabricated by focused ion beam (FIB) technology. However, due to the nanoscale effects, the diamond tools performance and the cutting edge lifetime in nano cutting would be degraded because of the FIB-induced nanoscale lateral damage. In this study, the methods of how to effectively characterize and decrease the FIB-induced lateral damage for diamond tool are intensively studied. Based on the performance optimization diamond machining tools, the controllable chip thickness of less than 10 nm was achieved on a single-crystal copper in nano cutting. In addition, the ratio of minimum thickness of chip (MTC) to tool edge radius of around 0.3–0.4 in nano cutting is achieved. Methods for decreasing the FIB-induced damage on diamond tools and adding coolant during the nano cutting are very beneficial in improving the research of nano cutting and MTC. The nano cutting experiments based on the sharp and high performance of diamond tools would validate the nano cutting mechanisms that many molecular dynamic simulation studies have put forward and provide new findings for nano cutting.

  9. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  10. Science and technology of plasma activated direct wafer bonding

    Science.gov (United States)

    Roberds, Brian Edward

    This dissertation studied the kinetics of silicon direct wafer bonding with emphasis on low temperature bonding mechanisms. The project goals were to understand the topological requirements for initial bonding, develop a tensile test to measure the bond strength as a function of time and temperature and, using the kinetic information obtained, develop lower temperature methods of bonding. A reproducible surface metrology metric for bonding was best described by power spectral density derived from atomic force microscopy measurements. From the tensile strength kinetics study it was found that low annealing temperatures could be used to obtain strong bonds, but at the expense of longer annealing times. Three models were developed to describe the kinetics. A diffusion controlled model and a reaction rate controlled model were developed for the higher temperature regimes (T > 600sp°C), and an electric field assisted oxidation model was proposed for the low temperature range. An in situ oxygen plasma treatment was used to further enhance the field-controlled mechanism which resulted in dramatic increases in the low temperature bonding kinetics. Multiple internal transmission Fourier transform infrared spectroscopy (MIT-FTIR) was used to monitor species evolution at the bonded interface and a capacitance-voltage (CV) study was undertaken to investigate charge distribution and surface states resulting from plasma activation. A short, less than a minute, plasma exposure prior to contacting the wafers was found to obtain very strong bonds for hydrophobic silicon wafers at very low temperatures (100sp°C). This novel bonding method may enable new technologies involving heterogeneous material systems or bonding partially fabricated devices to become realities.

  11. Detection of trace contamination of copper on a silicon wafer with TXRF

    International Nuclear Information System (INIS)

    Yamada, T.; Matsuo, M.; Kohno, H.; Mori, Y.

    2000-01-01

    The element copper on silicon wafers is one of the most important metals to be detected among the contamination in semiconductor industries. When W-Lβ 1 (9.67 keV) line is used for the excitation in TXRF instrument and when Si(Li) is used as its detector, an escape peak appears at 7.93 keV which is close to the energy of Cu-Kα line(8.04 keV). When the concentration of copper is lower than 10 10 atoms/cm 2 , accurate quantitative analysis is difficult because of the overlapping of the peaks. When Au-Lβ 1 line(11.44 keV) is used for the excitation, the escape peak appears at 9.70 keV which is far enough from the energy of Cu-Ka line. We prepared silicon wafers intentionally contaminated with copper in a low concentration range of 10 8 to 10 10 atoms/cm 2 and measured them with a TXRF instrument having Au-Lβ 1 line for excitation. The contaminated samples were made with IAP method and their Cu concentrations were calibrated with VPD-AAS method (recovery solution: 2 % HF + 2 % H 2 O 2 ). A figure shows the correlation between the results with TXRF and those with AAS. The horizontal axis is the value of concentration decided by AAS and the vertical axis is the intensity of Cu-Kα line measured with the TXRF. Six wafers of different concentration were used and five points on each wafer including the center were measured with TXRF. Five points at each concentration in the figure correspond to the results measured on one wafer. Intensities of Cu-Kα line are weak in these low concentration ranges but the background of them are also very small (less than 0.05 cps). Therefore the peak of Cu-Kα line can be distinguished from the background. It can be said that a calibration curve can be drawn to the middle range of 10 9 atoms/cm 2 . The same samples were measured with another TXRF instrument having W-Lβ 1 line for excitation. It was difficult to draw a calibration curve in this case. We will present both results taken with Au-Lβ 1 line and with W-Lβ 1 line. (author)

  12. YAGレーザによるシリコンウエハの精密割断: 鏡面冷却二重照射割断

    OpenAIRE

    黒部, 利次; 野口, 通一; 松本, 貴宏

    1996-01-01

    This paper describes some experiments for breaking a silicon wafer precisely using YAG laser. The popular mechanical cutting of a silicon wafer using a diamond blade is not always high quality. The noncontact cutting of ceramics using CO2 laser is recently being studied enthusiastically. The precise breaking of a silicon wafer, however, has never been achieved. In the experiments, the conditions and the quality of double irradiation breaking are searched in various atmospheres. It is found th...

  13. Core Cutting Test with Vertical Rock Cutting Rig (VRCR)

    Science.gov (United States)

    Yasar, Serdar; Osman Yilmaz, Ali

    2017-12-01

    Roadheaders are frequently used machines in mining and tunnelling, and performance prediction of roadheaders is important for project economics and stability. Several methods were proposed so far for this purpose and, rock cutting tests are the best choice. Rock cutting tests are generally divided into two groups which are namely, full scale rock cutting tests and small scale rock cutting tests. These two tests have some superiorities and deficiencies over themselves. However, in many cases, where rock sampling becomes problematic, small scale rock cutting test (core cutting test) is preferred for performance prediction, since small block samples and core samples can be conducted to rock cutting testing. Common problem for rock cutting tests are that they can be found in very limited research centres. In this study, a new mobile rock cutting testing equipment, vertical rock cutting rig (VRCR) was introduced. Standard testing procedure was conducted on seven rock samples which were the part of a former study on cutting rocks with another small scale rock cutting test. Results showed that core cutting test can be realized successfully with VRCR with the validation of paired samples t-test.

  14. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  15. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  16. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    Science.gov (United States)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  17. Functional Testing and Characterisation of ISFETs on Wafer Level by Means of a Micro-droplet Cell

    Directory of Open Access Journals (Sweden)

    Michael J. Schöning

    2006-04-01

    Full Text Available A wafer-level functionality testing and characterisation system for ISFETs (ion-sensitive field-effect transistor is realised by means of integration of a specifically designedcapillary electrochemical micro-droplet cell into a commercial wafer prober-station. Thedeveloped system allows the identification and selection of “good” ISFETs at the earlieststage and to avoid expensive bonding, encapsulation and packaging processes for non-functioning ISFETs and thus, to decrease costs, which are wasted for bad dies. Thedeveloped system is also feasible for wafer-level characterisation of ISFETs in terms ofsensitivity, hysteresis and response time. Additionally, the system might be also utilised forwafer-level testing of further electrochemical sensors.

  18. Introduction of high oxygen concentrations into silicon wafers by high-temperature diffusion

    International Nuclear Information System (INIS)

    Casse, G.; Glaser, M.; Lemeilleur, F.; Ruzin, A.; Wegrzecki, M.

    1999-01-01

    The tolerance of silicon detectors to hadron irradiation can be improved by the introduction of a high concentration of oxygen into the starting material. High-resistivity Floating-Zone (FZ) silicon is required for detectors used in particle physics applications. A significantly high oxygen concentration (>10 17 atoms cm -3 ) cannot readily be achieved during the FZ silicon refinement. The diffusion of oxygen at elevated temperatures from a SiO 2 layer grown on both sides of a silicon wafer is a simple and effective technique to achieve high and uniform concentrations of oxygen throughout the bulk of a 300 μm thick silicon wafer

  19. Influence of Cutting Fluid Flow Rate and Cutting Parameters on the Surface Roughness and Flank Wear of TiAlN Coated Tool In Turning AISI 1015 Steel Using Taguchi Method

    Directory of Open Access Journals (Sweden)

    Moganapriya C.

    2017-09-01

    Full Text Available This paper presents the influence of cutting parameters (Depth of cut, feed rate, spindle speed and cutting fluid flow rate on the surface roughness and flank wear of physical vapor deposition (PVD Cathodic arc evaporation coated TiAlN tungsten carbide cutting tool insert during CNC turning of AISI 1015 mild steel. Analysis of Variance has been applied to determine the critical influence of cutting parameters. Taguchi orthogonal test design has been employed to optimize the process parameters affecting surface roughness and tool wear. Depth of cut was found to be the most dominant factor contributing to high surface roughness (67.5% of the inserts. However, cutting speed, feed rate and flow rate of cutting fluid showed minimal contribution to surface roughness. On the other hand, cutting speed (45.6% and flow rate of cutting fluid (23% were the dominant factors influencing tool wear. The optimum cutting conditions for desired surface roughness constitutes the following parameters such as medium cutting speed, low feed rate, low depth of cut and high cutting fluid flow rate. Minimal tool wear was achieved for the following process parameters such as low cutting speed, low feed rate, medium depth of cut and high cutting fluid flow rate.

  20. Experimental Research and Mathematical Modeling of Parameters Effecting on Cutting Force and SurfaceRoughness in CNC Turning Process

    Science.gov (United States)

    Zeqiri, F.; Alkan, M.; Kaya, B.; Toros, S.

    2018-01-01

    In this paper, the effects of cutting parameters on cutting forces and surface roughness based on Taguchi experimental design method are determined. Taguchi L9 orthogonal array is used to investigate the effects of machining parameters. Optimal cutting conditions are determined using the signal/noise (S/N) ratio which is calculated by average surface roughness and cutting force. Using results of analysis, effects of parameters on both average surface roughness and cutting forces are calculated on Minitab 17 using ANOVA method. The material that was investigated is Inconel 625 steel for two cases with heat treatment and without heat treatment. The predicted and calculated values with measurement are very close to each other. Confirmation test of results showed that the Taguchi method was very successful in the optimization of machining parameters for maximum surface roughness and cutting forces in the CNC turning process.

  1. Improved cutting performance in high power laser cutting

    DEFF Research Database (Denmark)

    Olsen, Flemming Ove

    2003-01-01

    Recent results in high power laser cutting especially with focus on cutting of mild grade steel types for shipbuilding are described.......Recent results in high power laser cutting especially with focus on cutting of mild grade steel types for shipbuilding are described....

  2. Investigation of Surface Pre-Treatment Methods for Wafer-Level Cu-Cu Thermo-Compression Bonding

    Directory of Open Access Journals (Sweden)

    Koki Tanaka

    2016-12-01

    Full Text Available To increase the yield of the wafer-level Cu-Cu thermo-compression bonding method, certain surface pre-treatment methods for Cu are studied which can be exposed to the atmosphere before bonding. To inhibit re-oxidation under atmospheric conditions, the reduced pure Cu surface is treated by H2/Ar plasma, NH3 plasma and thiol solution, respectively, and is covered by Cu hydride, Cu nitride and a self-assembled monolayer (SAM accordingly. A pair of the treated wafers is then bonded by the thermo-compression bonding method, and evaluated by the tensile test. Results show that the bond strengths of the wafers treated by NH3 plasma and SAM are not sufficient due to the remaining surface protection layers such as Cu nitride and SAMs resulting from the pre-treatment. In contrast, the H2/Ar plasma–treated wafer showed the same strength as the one with formic acid vapor treatment, even when exposed to the atmosphere for 30 min. In the thermal desorption spectroscopy (TDS measurement of the H2/Ar plasma–treated Cu sample, the total number of the detected H2 was 3.1 times more than the citric acid–treated one. Results of the TDS measurement indicate that the modified Cu surface is terminated by chemisorbed hydrogen atoms, which leads to high bonding strength.

  3. Heuristic algorithms for solving of the tool routing problem for CNC cutting machines

    Science.gov (United States)

    Chentsov, P. A.; Petunin, A. A.; Sesekin, A. N.; Shipacheva, E. N.; Sholohov, A. E.

    2015-11-01

    The article is devoted to the problem of minimizing the path of the cutting tool to shape cutting machines began. This problem can be interpreted as a generalized traveling salesman problem. Earlier version of the dynamic programming method to solve this problem was developed. Unfortunately, this method allows to process an amount not exceeding thirty circuits. In this regard, the task of constructing quasi-optimal route becomes relevant. In this paper we propose options for quasi-optimal greedy algorithms. Comparison of the results of exact and approximate algorithms is given.

  4. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle

  5. An optimal guarding scheme for thermal conductivity measurement using a guarded cut-bar technique, part 1 experimental study

    International Nuclear Information System (INIS)

    Xing, Changhu

    2014-01-01

    In the guarded cut-bar technique, a guard surrounding the measured sample and reference (meter) bars is temperature controlled to carefully regulate heat losses from the sample and reference bars. Guarding is typically carried out by matching the temperature profiles between the guard and the test stack of sample and meter bars. Problems arise in matching the profiles, especially when the thermal conductivities of the meter bars and of the sample differ, as is usually the case. In a previous numerical study, the applied guarding condition (guard temperature profile) was found to be an important factor in measurement accuracy. Different from the linear-matched or isothermal schemes recommended in literature, the optimal guarding condition is dependent on the system geometry and thermal conductivity ratio of sample to meter bar. To validate the numerical results, an experimental study was performed to investigate the resulting error under different guarding conditions using stainless steel 304 as both the sample and meter bars. The optimal guarding condition was further verified on a certified reference material, pyroceram 9606, and 99.95% pure iron whose thermal conductivities are much smaller and much larger, respectively, than that of the stainless steel meter bars. Additionally, measurements are performed using three different inert gases to show the effect of the insulation effective thermal conductivity on measurement error, revealing low conductivity, argon gas, gives the lowest error sensitivity when deviating from the optimal condition. The result of this study provides a general guideline for the specific measurement method and for methods requiring optimal guarding or insulation

  6. Electronically and ionically conductive porous material and method for manufacture of resin wafers therefrom

    Science.gov (United States)

    Lin, YuPo J [Naperville, IL; Henry, Michael P [Batavia, IL; Snyder, Seth W [Lincolnwood, IL

    2011-07-12

    An electrically and ionically conductive porous material including a thermoplastic binder and one or more of anion exchange moieties or cation exchange moieties or mixtures thereof and/or one or more of a protein capture resin and an electrically conductive material. The thermoplastic binder immobilizes the moieties with respect to each other but does not substantially coat the moieties and forms the electrically conductive porous material. A wafer of the material and a method of making the material and wafer are disclosed.

  7. Simplified nonplanar wafer bonding for heterogeneous device integration

    Science.gov (United States)

    Geske, Jon; Bowers, John E.; Riley, Anton

    2004-07-01

    We demonstrate a simplified nonplanar wafer bonding technique for heterogeneous device integration. The improved technique can be used to laterally integrate dissimilar semiconductor device structures on a lattice-mismatched substrate. Using the technique, two different InP-based vertical-cavity surface-emitting laser active regions have been integrated onto GaAs without compromising the quality of the photoluminescence. Experimental and numerical simulation results are presented.

  8. The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line

    Science.gov (United States)

    Lee, Jeffrey; McGarvey, Steve

    2013-04-01

    The introduction of early test wafer (ETW) 450mm Surface Scanning Inspection Systems (SSIS) into Si manufacturing has brought with it numerous technical, commercial, and logistical challenges on the path to rapid recipe development and subsequent qualification of other 450mm wafer processing equipment. This paper will explore the feasibility of eliminating the Polystyrene Latex Sphere deposition process step and the subsequent creation of SSIS recipes based upon the theoretical optical properties of both the SSIS and the process film stack(s). The process of Polystyrene Latex Sphere deposition for SSIS recipe generation and development is generally accepted on the previous technology nodes for 150/200/300mm wafers. PSL is deposited with a commercially available deposition system onto a non-patterned bare Si or non-patterned filmed Si wafer. After deposition of multiple PSL spots, located in different positions on a wafer, the wafer is inspected on a SSIS and a response curve is generated. The response curve is based on the the light scattering intensity of the NIST certified PSL that was deposited on the wafer. As the initial 450mm Si wafer manufacturing began, there were no inspection systems with sub-90nm sensitivities available for defect and haze level verification. The introduction of a 450mm sub-30nm inspection system into the manufacturing line generated instant challenges. Whereas the 450mm wafers were relatively defect free at 90nm, at 40nm the wafers contained several hundred thousand defects. When PSL was deposited onto wafers with these kinds of defect levels, PSL with signals less than the sub-90nm defects were difficult to extract. As the defectivity level of the wafers from the Si suppliers rapidly improves the challenges of SSIS recipe creation with high defectivity decreases while at the same time the cost of PSL deposition increases. The current cost per wafer is fifteen thousand dollars for a 450mm PSL deposition service. When viewed from the

  9. Application of a layout/material handling design method to a furnace area in a 300 mm wafer fab

    NARCIS (Netherlands)

    Hesen, P.M.C.; Renders, P.J.J.; Rooda, J.E.

    2001-01-01

    For many years, material handling within the semiconductor industry has become increasingly important. With the introduction of 300 mm wafer production, ergonomics and product safety become more critical. Therefore, the manufacturers of semiconductor wafer fabs are considering the automation of

  10. Twice cutting method reduces tibial cutting error in unicompartmental knee arthroplasty.

    Science.gov (United States)

    Inui, Hiroshi; Taketomi, Shuji; Yamagami, Ryota; Sanada, Takaki; Tanaka, Sakae

    2016-01-01

    Bone cutting error can be one of the causes of malalignment in unicompartmental knee arthroplasty (UKA). The amount of cutting error in total knee arthroplasty has been reported. However, none have investigated cutting error in UKA. The purpose of this study was to reveal the amount of cutting error in UKA when open cutting guide was used and clarify whether cutting the tibia horizontally twice using the same cutting guide reduced the cutting errors in UKA. We measured the alignment of the tibial cutting guides, the first-cut cutting surfaces and the second cut cutting surfaces using the navigation system in 50 UKAs. Cutting error was defined as the angular difference between the cutting guide and cutting surface. The mean absolute first-cut cutting error was 1.9° (1.1° varus) in the coronal plane and 1.1° (0.6° anterior slope) in the sagittal plane, whereas the mean absolute second-cut cutting error was 1.1° (0.6° varus) in the coronal plane and 1.1° (0.4° anterior slope) in the sagittal plane. Cutting the tibia horizontally twice reduced the cutting errors in the coronal plane significantly (Pcutting the tibia horizontally twice using the same cutting guide reduced cutting error in the coronal plane. Copyright © 2014 Elsevier B.V. All rights reserved.

  11. Improved delivery of the anticancer agent citral using BSA nanoparticles and polymeric wafers

    Directory of Open Access Journals (Sweden)

    White B

    2017-12-01

    Full Text Available Benjamin White,1 Anna Evison,1 Eszter Dombi,1 Helen E Townley1,2 1Nuffield Department of Obstetrics and Gynaecology, Women’s Centre, John Radcliffe Hospital, 2Department of Engineering Science, Oxford University, Oxford, UK Abstract: Rhabdomyosarcoma (RMS is the most common soft tissue sarcoma in children, with a 5-year survival rate of between 30 and 65%. Standard treatment involves surgery, radiation treatment, and chemotherapy. However, there is a high recurrence rate, particularly from locoregional spread. We investigated the use of the natural compound citral (3,7-dimethyl-2,6-octadienal, which can be found in a number of plants, but is particularly abundant in lemon grass (Cymbopogon citratus oil, for activity against immortalized RMS cells. Significant cancer cell death was seen at concentrations above 150 μM citral, and mitochondrial morphological changes were seen after incubation with 10 μM citral. However, since citral is a highly volatile molecule, we prepared albumin particles by a desolvation method to encapsulate citral, as a means of stabilization. We then further incorporated the loaded nanoparticles into a biodegradable polyanhydride wafer to generate a slow release system. The wafers were shown to degrade by 50% over the course of 25 days and to release the active compound. We therefore propose the use of the citral-nanoparticle-polymer wafers for implantation into the tumor bed after surgical removal of a sarcoma as a means to control locoregional spread due to any remaining cancerous cells. Keywords: citral, nanoparticle, wafer, biodegradable, mitochondria, toroidal, cancer, rhabdomyosarcoma, Cymbopogon citratus

  12. Determination of thicknesses and temperatures of crystalline silicon wafers from optical measurements in the far infrared region

    Science.gov (United States)

    Franta, Daniel; Franta, Pavel; Vohánka, Jiří; Čermák, Martin; Ohlídal, Ivan

    2018-05-01

    Optical measurements of transmittance in the far infrared region performed on crystalline silicon wafers exhibit partially coherent interference effects appropriate for the determination of thicknesses of the wafers. The knowledge of accurate spectral and temperature dependencies of the optical constants of crystalline silicon in this spectral region is crucial for the determination of its thickness and vice versa. The recently published temperature dependent dispersion model of crystalline silicon is suitable for this purpose. Because the linear thermal expansion of crystalline silicon is known, the temperatures of the wafers can be determined with high precision from the evolution of the interference patterns at elevated temperatures.

  13. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  14. Denuded zone in Czochralski silicon wafer with high carbon content

    International Nuclear Information System (INIS)

    Chen Jiahe; Yang Deren; Ma Xiangyang; Que Duanlin

    2006-01-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 deg. C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 deg. C. Also, the DZs above 15 μm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits

  15. Denuded zone in Czochralski silicon wafer with high carbon content

    Science.gov (United States)

    Chen, Jiahe; Yang, Deren; Ma, Xiangyang; Que, Duanlin

    2006-12-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 °C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 °C. Also, the DZs above 15 µm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits.

  16. Synchrotron Radiation Total Reflection X-ray Fluorescence Spectroscopy for Microcontamination Analysis on Silicon Wafer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Takaura, Norikatsu

    1997-10-01

    As dimensions in state-of-the-art CMOS devices shrink to less than 0.1 pm, even low levels of impurities on wafer surfaces can cause device degradation. Conventionally, metal contamination on wafer surfaces is measured using Total Reflection X-Ray Fluorescence Spectroscopy (TXRF). However, commercially available TXRF systems do not have the necessary sensitivity for measuring the lower levels of contamination required to develop new CMOS technologies. In an attempt to improve the sensitivity of TXRF, this research investigates Synchrotron Radiation TXRF (SR TXRF). The advantages of SR TXRF over conventional TXRF are higher incident photon flux, energy tunability, and linear polarization. We made use of these advantages to develop an optimized SR TXRF system at the Stanford Synchrotron Radiation Laboratory (SSRL). The results of measurements show that the Minimum Detection Limits (MDLs) of SR TXRF for 3-d transition metals are typically at a level-of 3x10{sup 8} atoms/cm{sup 2}, which is better than conventional TXRF by about a factor of 20. However, to use our SR TXRF system for practical applications, it was necessary to modify a commercially available Si (Li) detector which generates parasitic fluorescence signals. With the modified detector, we could achieve true MDLs of 3x10{sup 8} atoms/cm{sup 2} for 3-d transition metals. In addition, the analysis of Al on Si wafers is described. Al analysis is difficult because strong Si signals overlap the Al signals. In this work, the Si signals are greatly reduced by tuning the incident beam energy below the Si K edge. The results of our measurements show that the sensitivity for Al is limited by x-ray Raman scattering. Furthermore, we show the results of theoretical modeling of SR TXRF backgrounds consisting of the bremsstrahlung generated by photoelectrons, Compton scattering, and Raman scattering. To model these backgrounds, we extended conventional theoretical models by taking into account several aspects particular

  17. Wafer scale lead zirconate titanate film preparation by sol-gel method using stress balance layer

    International Nuclear Information System (INIS)

    Lu Jian; Kobayashi, Takeshi; Yi Zhang; Maeda, Ryutaro; Mihara, Takashi

    2006-01-01

    In this paper, platinum/titanium (Pt/Ti) film was introduced as a residual stress balance layer into wafer scale thick lead zirconate titanate (PZT) film fabrication by sol-gel method. The stress developing in PZT film's bottom electrode as well as in PZT film itself during deposition were analyzed; the wafer curvatures, PZT crystallizations and PZT electric properties before and after using Pt/Ti stress balance layer were studied and compared. It was found that this layer is effective to balance the residual stress in PZT film's bottom electrode induced by thermal expansion coefficient mismatch and Ti diffusion, thus can notably reduce the curvature of 4-in. wafer from - 40.5 μm to - 12.9 μm after PZT film deposition. This stress balance layer was also found effective to avoid the PZT film cracking even when annealed by rapid thermal annealing with heating-rate up to 10.5 deg. C/s. According to X-ray diffraction analysis and electric properties characterization, crack-free uniform 1-μm-thick PZT film with preferred pervoskite (001) orientation, excellent dielectric constant, as high as 1310, and excellent remanent polarization, as high as 39.8 μC/cm 2 , can be obtained on 4-in. wafer

  18. Grading technologies for the manufacture of innovative cutting blades

    Science.gov (United States)

    Rostek, Tim; Homberg, Werner

    2018-05-01

    Cutting blades for harvesting applications are used in a variety of agricultural machines. These parts are in contact with highly abrasive lawn clippings and often wear out within hours which results in high expensive re-sharpening maintenance. This paper relates to manufacturing techniques enhancing the durability of cutting blades based on a structural analysis of the prevailing wear mechanisms containing chipping and abrasive wear. Each mechanism results in specific demands on the cutting edge's mechanical characteristics. The design of evaluation methods respectively is one issue of the paper. This is basis for approaches to improve the cutting edge performance on purpose. On option to improve abrasive wear resistance and, thus, service life is the application of locally graded steel materials as semi-finished products for self-sharpening cutting blades. These materials comprise a layered structure consisting of a hard, wear resistant layer and a relatively softer layer which is lesser wear resistant. As the cutting blade is subjected to wear conditions, the less wear resistant layer wears faster than the relatively more wear resistant harder layer revealing a durable cross section of the cutting edge and, thus, cutting performance. Anyways, chipping is another key issue on the cutting edge's lifetime. Here, the cutting edges cross section by means of geometry and grind respectively as well as its mechanical properties matter. FEM analysis reveal innovative options to optimize the cross section of the blade as well as thermomechanical strengthening add further strength to reduce chipping. This paper contains a comprehensive strategy to improve cutting blades with use of innovative manufacturing technologies which apply application-specific graded mechanical characteristics and, thus, significantly improved performance characteristics.

  19. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca

    2015-09-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  20. Split-Capacitance and Conductance-Frequency Characteristics of SOI Wafers in Pseudo-MOSFET Configuration

    KAUST Repository

    Pirro, Luca; Diab, Amer El Hajj; Ionica, Irina; Ghibaudo, Gerard; Faraone, Lorenzo; Cristoloveanu, Sorin

    2015-01-01

    Recent experimental results have demonstrated the possibility of characterizing silicon-on-insulator (SOI) wafers through split C-V measurements in the pseudo-MOSFET configuration. This paper analyzes the capacitance and conductance versus frequency characteristics. We discuss the conditions under which it is possible to extract interface trap density in bare SOI wafers. The results indicate, through both measurements and simulations, that the signature due to interface trap density is present in small-area samples, but is masked by the RC response of the channel in regular, large-area ones, making the extraction in standard samples problematic. © 1963-2012 IEEE.

  1. Optimization of Straight Cylindrical Turning Using Artificial Bee Colony (ABC) Algorithm

    Science.gov (United States)

    Prasanth, Rajanampalli Seshasai Srinivasa; Hans Raj, Kandikonda

    2017-04-01

    Artificial bee colony (ABC) algorithm, that mimics the intelligent foraging behavior of honey bees, is increasingly gaining acceptance in the field of process optimization, as it is capable of handling nonlinearity, complexity and uncertainty. Straight cylindrical turning is a complex and nonlinear machining process which involves the selection of appropriate cutting parameters that affect the quality of the workpiece. This paper presents the estimation of optimal cutting parameters of the straight cylindrical turning process using the ABC algorithm. The ABC algorithm is first tested on four benchmark problems of numerical optimization and its performance is compared with genetic algorithm (GA) and ant colony optimization (ACO) algorithm. Results indicate that, the rate of convergence of ABC algorithm is better than GA and ACO. Then, the ABC algorithm is used to predict optimal cutting parameters such as cutting speed, feed rate, depth of cut and tool nose radius to achieve good surface finish. Results indicate that, the ABC algorithm estimated a comparable surface finish when compared with real coded genetic algorithm and differential evolution algorithm.

  2. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    Science.gov (United States)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; Koopman, B. J.; Li, D.; McMahon, J.; Nati, F.; Niemack, M. D.; Pappas, C. G.; Salatino, M.; Schmitt, B. L.; Simon, S. M.; Staggs, S. T.; Stevens, J. R.; Van Lanen, J.; Vavagiakis, E. M.; Ward, J. T.; Wollack, E. J.

    2016-08-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN_x) materials and microwave structures, and the resulting performance improvements.

  3. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  4. Reducing bending stress in external spur gears by redesign of the standard cutting tool

    DEFF Research Database (Denmark)

    Pedersen, Niels Leergaard

    2009-01-01

    For the design of gears the stress due to bending plays a significant role. The stress from bending is largest in the root of the gear teeth, and the magnitude of the maximum stress is controlled by the nominal bending stress and stress concentration due to the geometric shape of the tooth....... In this work the bending stress of involute teeth is minimized by shape optimizing the tip of the standard cutting tool. By redesign of the tip of the standard cutting tool we achieve that the functional part of the teeth stays the same while at the same time the root shape is changed so that a reduction...... of the stresses results. The tool tip shape is described by different parameterizations that use the super ellipse as the central shape. For shape optimization it is important that the shape is given analytically. The shape of the cut tooth that is the envelope of the cutting tool is found analytically...

  5. Spatially resolved localized vibrational mode spectroscopy of carbon in liquid encapsulated Czochralski grown gallium arsenide wafers

    International Nuclear Information System (INIS)

    Yau, Waifan.

    1988-04-01

    Substitutional carbon on an arsenic lattice site is the shallowest and one of the most dominant acceptors in semi-insulating Liquid Encapsulated Czochralski (LEC) GaAs. However, the role of this acceptor in determining the well known ''W'' shape spatial variation of neutral EL2 concentration along the diameter of a LEC wafer is not known. In this thesis, we attempt to clarify the issue of the carbon acceptor's effect on this ''W'' shaped variation by measuring spatial profiles of this acceptor along the radius of three different as-grown LEC GaAs wafers. With localized vibrational mode absorption spectroscopy, we find that the profile of the carbon acceptor is relatively constant along the radius of each wafer. Average values of concentration are 8 x 10E15 cm -3 , 1.1 x 10E15 cm -3 , and 2.2 x 10E15 cm -3 , respectively. In addition, these carbon acceptor LVM measurements indicate that a residual donor with concentration comparable to carbon exists in these wafers and it is a good candidate for the observed neutral EL2 concentration variation. 22 refs., 39 figs

  6. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    Science.gov (United States)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  7. Crack Detection in Single-Crystalline Silicon Wafer Using Laser Generated Lamb Wave

    Directory of Open Access Journals (Sweden)

    Min-Kyoo Song

    2013-01-01

    Full Text Available In the semiconductor industry, with increasing requirements for high performance, high capacity, high reliability, and compact components, the crack has been one of the most critical issues in accordance with the growing requirement of the wafer-thinning in recent years. Previous researchers presented the crack detection on the silicon wafers with the air-coupled ultrasonic method successfully. However, the high impedance mismatching will be the problem in the industrial field. In this paper, in order to detect the crack, we propose a laser generated Lamb wave method which is not only noncontact, but also reliable for the measurement. The laser-ultrasonic generator and the laser-interferometer are used as a transmitter and a receiver, respectively. We firstly verified the identification of S0 and A0 lamb wave modes and then conducted the crack detection under the thermoelastic regime. The experimental results showed that S0 and A0 modes of lamb wave were clearly generated and detected, and in the case of the crack detection, the estimated crack size by 6 dB drop method was almost equal to the actual crack size. So, the proposed method is expected to make it possible to detect the crack in the silicon wafer in the industrial fields.

  8. Genetic Learning of Fuzzy Expert Systems for Decision Support in the Automated Process of Wooden Boards Cutting

    Directory of Open Access Journals (Sweden)

    Yaroslav MATSYSHYN

    2014-03-01

    Full Text Available Sawing solid wood (lumber, wooden boards into blanks is an important technological operation, which has significant influence on the efficiency of the woodworking industry as a whole. Selecting a rational variant of lumber cutting is a complex multicriteria problem with many stochastic factors, characterized by incomplete information and fuzzy attributes. About this property by currently used automatic optimizing cross-cut saw is not always rational use of wood raw material. And since the optimization algorithms of these saw functions as a “black box”, their improvement is not possible. Therefore topical the task of developing a new approach to the optimal cross-cutting that takes into account stochastic properties of wood as a material from biological origin. Here we propose a new approach to the problem of lumber optimal cutting in the conditions of uncertainty of lumber quantity and fuzziness lengths of defect-free areas. To account for these conditions, we applied the methods of fuzzy sets theory and used a genetic algorithm to simulate the process of human learning in the implementation the technological operation. Thus, the rules of behavior with yet another defect-free area is defined in fuzzy expert system that can be configured to perform specific production tasks using genetic algorithm. The author's implementation of the genetic algorithm is used to set up the parameters of fuzzy expert system. Working capacity of the developed system verified on simulated and real-world data. Implementation of this approach will make it suitable for the control of automated or fully automatic optimizing cross cutting of solid wood.

  9. Experimental study of the process of cutting of sugarcane bagasse

    International Nuclear Information System (INIS)

    Arzolaa, Nelson; Garcia, Joyner

    2015-01-01

    Biomass densification has encouraged significant interest around the world as a technique for utilization of agro and forest residues as an energy source, and pellets/briquettes production has grown rapidly in last few years. The cutting process is one of the most important steps for biomass preparation prior densification. This stage helps to homogenize the raw material and therefore facilitate handling, feeding and filling in the briquetting equipment. The aim of this work was to study the behavior of sugarcane bagasse submitted to cutting, as a function of its moisture content, angle of the blade edge and cutting speed. The specific cutting energy and peak cutting force were measure using an experimental facility developed for this series of experiments. An analysis of the results of the full factorial experimental design using a statistical analysis of variance (ANOVA) was performed. The response surfaces and empirical models for the specific cutting energy and peak cutting force were obtained using statistical analysis system software. Low angle of the blade edge and low moisture content are, in this order, the most important experimental factors in determining a low specific cutting energy and a low peak cutting force respectively. The best cutting conditions are achieved for an angle of blade edge of 20.8° and a moisture content of 10% w. b. The results of this work could contribute to the optimal design of sugarcane bagasse pre-treatment systems. (full text)

  10. Body mass index cut-points to identify cardiometabolic risk in black South Africans.

    Science.gov (United States)

    Kruger, H Salome; Schutte, Aletta E; Walsh, Corinna M; Kruger, Annamarie; Rennie, Kirsten L

    2017-02-01

    To determine optimal body mass index (BMI) cut-points for the identification of cardiometabolic risk in black South African adults. We performed a cross-sectional study of a weighted sample of healthy black South Africans aged 25-65 years (721 men, 1386 women) from the North West and Free State Provinces. Demographic, lifestyle and anthropometric measures were taken, and blood pressure, fasting serum triglycerides, high-density lipoprotein (HDL) cholesterol and blood glucose were measured. We defined elevated cardiometabolic risk as having three or more risk factors according to international metabolic syndrome criteria. Receiver operating characteristic curves were applied to identify an optimal BMI cut-point for men and women. BMI had good diagnostic performance to identify clustering of three or more risk factors, as well as individual risk factors: low HDL-cholesterol, elevated fasting glucose and triglycerides, with areas under the curve >.6, but not for high blood pressure. Optimal BMI cut-points averaged 22 kg/m 2 for men and 28 kg/m 2 for women, respectively, with better sensitivity in men (44.0-71.9 %), and in women (60.6-69.8 %), compared to a BMI of 30 kg/m 2 (17-19.1, 53-61.4 %, respectively). Men and women with a BMI >22 and >28 kg/m 2 , respectively, had significantly increased probability of elevated cardiometabolic risk after adjustment for age, alcohol use and smoking. In black South African men, a BMI cut-point of 22 kg/m 2 identifies those at cardiometabolic risk, whereas a BMI of 30 kg/m 2 underestimates risk. In women, a cut-point of 28 kg/m 2 , approaching the WHO obesity cut-point, identifies those at risk.

  11. Using arc voltage to locate the anode attachment in plasma arc cutting

    International Nuclear Information System (INIS)

    Osterhouse, D J; Heberlein, J V R; Lindsay, J W

    2013-01-01

    Plasma arc cutting is a widely used industrial process in which an electric arc in the form of a high velocity plasma jet is used to melt and blow away metal. The arc attaches inside the resulting cut slot, or kerf, where it both provides a large heat flux and determines the flow dynamics of the plasma. Knowledge of the position of the arc attachment is essential for understanding the phenomena present at the work piece. This work presents a new method of measuring the location of the arc attachment in which the arc voltage is measured during the cutting of a range of work piece thicknesses. The attachment location is then interpreted from the voltages. To support the validity of this method, the kerf shape, dross particle size and dross adhesion to the work piece are also observed. While these do not conclusively give an attachment location, they show patterns which are consistent with the attachment location found from the voltage measurements. The method is demonstrated on the cutting of mild steel, where the arc attachment is found to be stationary in the upper portion of the cut slot and in reasonable agreement with existing published findings. For a process optimized for the cutting of 12.7 mm mild steel, the attachment is found at a depth of 1.5–3.4 mm. For a slower process optimized for the cutting of 25.4 mm mild steel, the attachment is found at a depth of 3.4–4.8 mm, which enhances heat transfer further down in the kerf, allowing cutting of the thicker work piece. The use of arc voltage to locate the position of the arc attachment is unique when compared with existing methods because it is entirely independent of the heat distribution and visualization techniques. (paper)

  12. Fusion bonding of Si wafers investigated by x ray diffraction

    DEFF Research Database (Denmark)

    Weichel, Steen; Grey, Francois; Rasmussen, Kurt

    2000-01-01

    The interface structure of bonded Si(001) wafers with twist angle 6.5 degrees is studied as a function of annealing temperature. An ordered structure is observed in x-ray diffraction by monitoring a satellite reflection due to the periodic modulation near the interface, which results from...

  13. Optimal waist circumference cut-off points and ability of different metabolic syndrome criteria for predicting diabetes in Japanese men and women: Japan Epidemiology Collaboration on Occupational Health Study

    Directory of Open Access Journals (Sweden)

    Huanhuan Hu

    2016-03-01

    Full Text Available Abstract Background We sought to establish the optimal waist circumference (WC cut-off point for predicting diabetes mellitus (DM and to compare the predictive ability of the metabolic syndrome (MetS criteria of the Joint Interim Statement (JIS and the Japanese Committee of the Criteria for MetS (JCCMS for DM in Japanese. Methods Participants of the Japan Epidemiology Collaboration on Occupational Health Study, who were aged 20–69 years and free of DM at baseline (n = 54,980, were followed-up for a maximum of 6 years. Time-dependent receiver operating characteristic analysis was used to determine the optimal cut-off points of WC for predicting DM. Time-dependent sensitivity, specificity, and positive and negative predictive values for the prediction of DM were compared between the JIS and JCCMS MetS criteria. Results During 234,926 person-years of follow-up, 3180 individuals developed DM. Receiver operating characteristic analysis suggested that the most suitable cut-off point of WC for predicting incident DM was 85 cm for men and 80 cm for women. MetS was associated with 3–4 times increased hazard for developing DM in men and 7–9 times in women. Of the MetS criteria tested, the JIS criteria using our proposed WC cut-off points (85 cm for men and 80 cm for women had the highest sensitivity (54.5 % for men and 43.5 % for women for predicting DM. The sensitivity and specificity of the JCCMS MetS criteria were ~37.7 and 98.9 %, respectively. Conclusion Data from the present large cohort of workers suggest that WC cut-offs of 85 cm for men and 80 cm for women may be appropriate for predicting DM for Japanese. The JIS criteria can detect more people who later develop DM than does the JCCMS criteria.

  14. Investigation of room-temperature wafer bonded GaInP/GaAs/InGaAsP triple-junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Wen-xian; Dai, Pan; Ji, Lian; Tan, Ming; Wu, Yuan-yuan [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Uchida, Shiro [Department of Mechanical Science and Engineering Faculty of Engineering, Chiba Institute of Technology, 2-17-1, Tsudanuma, Narashino, Chiba 275-0016 (Japan); Lu, Shu-long, E-mail: sllu2008@sinano.ac.cn [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Yang, Hui [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China)

    2016-12-15

    Highlights: • High quality InGaAsP material with a bandgap of 1.0 eV was grown by MBE. • Room-temperature wafer-bonded GaInP/GaAs/InGaAsP SCs were fabricated. • An efficiency of 30.3% of wafer-bonded triple-junction SCs was obtained. - Abstract: We report on the fabrication of III–V compound semiconductor multi-junction solar cells using the room-temperature wafer bonding technique. GaInP/GaAs dual-junction solar cells on GaAs substrate and InGaAsP single junction solar cell on InP substrate were separately grown by all-solid state molecular beam epitaxy (MBE). The two cells were then bonded to a triple-junction solar cell at room-temperature. A conversion efficiency of 30.3% of GaInP/GaAs/InGaAsP wafer-bonded solar cell was obtained at 1-sun condition under the AM1.5G solar simulator. The result suggests that the room-temperature wafer bonding technique and MBE technique have a great potential to improve the performance of multi-junction solar cell.

  15. Wafer-level chip-scale packaging analog and power semiconductor applications

    CERN Document Server

    Qu, Shichun

    2015-01-01

    This book presents a state-of-art and in-depth overview in analog and power WLCSP design, material characterization, reliability, and modeling. Recent advances in analog and power electronic WLCSP packaging are presented based on the development of analog technology and power device integration. The book covers in detail how advances in semiconductor content, analog and power advanced WLCSP design, assembly, materials, and reliability have co-enabled significant advances in fan-in and fan-out with redistributed layer (RDL) of analog and power device capability during recent years. Along with new analog and power WLCSP development, the role of modeling is a key to assure successful package design. An overview of the analog and power WLCSP modeling and typical thermal, electrical, and stress modeling methodologies is also provided. This book also: ·         Covers the development of wafer-level power discrete packaging with regular wafer-level design concepts and directly bumping technology ·    �...

  16. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  17. Uncertainty evaluation of thickness and warp of a silicon wafer measured by a spectrally resolved interferometer

    Science.gov (United States)

    Praba Drijarkara, Agustinus; Gergiso Gebrie, Tadesse; Lee, Jae Yong; Kang, Chu-Shik

    2018-06-01

    Evaluation of uncertainty of thickness and gravity-compensated warp of a silicon wafer measured by a spectrally resolved interferometer is presented. The evaluation is performed in a rigorous manner, by analysing the propagation of uncertainty from the input quantities through all the steps of measurement functions, in accordance with the ISO Guide to the Expression of Uncertainty in Measurement. In the evaluation, correlation between input quantities as well as uncertainty attributed to thermal effect, which were not included in earlier publications, are taken into account. The temperature dependence of the group refractive index of silicon was found to be nonlinear and varies widely within a wafer and also between different wafers. The uncertainty evaluation described here can be applied to other spectral interferometry applications based on similar principles.

  18. Wafer-scale growth of highly textured piezoelectric thin films by pulsed laser deposition for micro-scale sensors and actuators

    Science.gov (United States)

    Nguyen, M. D.; Tiggelaar, R.; Aukes, T.; Rijnders, G.; Roelof, G.

    2017-11-01

    Piezoelectric lead-zirconate-titanate (PZT) thin films were deposited on 4-inch (111)Pt/Ti/SiO2/Si(001) wafers using large-area pulsed laser deposition (PLD). This study was focused on the homogeneity in film thickness, microstructure, ferroelectric and piezoelectric properties of PZT thin films. The results indicated that the highly textured (001)-oriented PZT thin films with wafer-scale thickness homogeneity (990 nm ± 0.8%) were obtained. The films were fabricated into piezoelectric cantilevers through a MEMS microfabrication process. The measured longitudinal piezoelectric coefficient (d 33f = 210 pm/V ± 1.6%) and piezoelectric transverse coefficient (e 31f = -18.8 C/m2 ± 2.8%) were high and homogeneity across wafers. The high piezoelectric properties on Si wafers will extend industrial application of PZT thin films and further development of piezoMEMS.

  19. RFA-cut: Semi-automatic segmentation of radiofrequency ablation zones with and without needles via optimal s-t-cuts.

    Science.gov (United States)

    Egger, Jan; Busse, Harald; Brandmaier, Philipp; Seider, Daniel; Gawlitza, Matthias; Strocka, Steffen; Voglreiter, Philip; Dokter, Mark; Hofmann, Michael; Kainz, Bernhard; Chen, Xiaojun; Hann, Alexander; Boechat, Pedro; Yu, Wei; Freisleben, Bernd; Alhonnoro, Tuomas; Pollari, Mika; Moche, Michael; Schmalstieg, Dieter

    2015-01-01

    In this contribution, we present a semi-automatic segmentation algorithm for radiofrequency ablation (RFA) zones via optimal s-t-cuts. Our interactive graph-based approach builds upon a polyhedron to construct the graph and was specifically designed for computed tomography (CT) acquisitions from patients that had RFA treatments of Hepatocellular Carcinomas (HCC). For evaluation, we used twelve post-interventional CT datasets from the clinical routine and as evaluation metric we utilized the Dice Similarity Coefficient (DSC), which is commonly accepted for judging computer aided medical segmentation tasks. Compared with pure manual slice-by-slice expert segmentations from interventional radiologists, we were able to achieve a DSC of about eighty percent, which is sufficient for our clinical needs. Moreover, our approach was able to handle images containing (DSC=75.9%) and not containing (78.1%) the RFA needles still in place. Additionally, we found no statistically significant difference (p<;0.423) between the segmentation results of the subgroups for a Mann-Whitney test. Finally, to the best of our knowledge, this is the first time a segmentation approach for CT scans including the RFA needles is reported and we show why another state-of-the-art segmentation method fails for these cases. Intraoperative scans including an RFA probe are very critical in the clinical practice and need a very careful segmentation and inspection to avoid under-treatment, which may result in tumor recurrence (up to 40%). If the decision can be made during the intervention, an additional ablation can be performed without removing the entire needle. This decreases the patient stress and associated risks and costs of a separate intervention at a later date. Ultimately, the segmented ablation zone containing the RFA needle can be used for a precise ablation simulation as the real needle position is known.

  20. Multi objective optimization model for minimizing production cost and environmental impact in CNC turning process

    Science.gov (United States)

    Widhiarso, Wahyu; Rosyidi, Cucuk Nur

    2018-02-01

    Minimizing production cost in a manufacturing company will increase the profit of the company. The cutting parameters will affect total processing time which then will affect the production cost of machining process. Besides affecting the production cost and processing time, the cutting parameters will also affect the environment. An optimization model is needed to determine the optimum cutting parameters. In this paper, we develop an optimization model to minimize the production cost and the environmental impact in CNC turning process. The model is used a multi objective optimization. Cutting speed and feed rate are served as the decision variables. Constraints considered are cutting speed, feed rate, cutting force, output power, and surface roughness. The environmental impact is converted from the environmental burden by using eco-indicator 99. Numerical example is given to show the implementation of the model and solved using OptQuest of Oracle Crystal Ball software. The results of optimization indicate that the model can be used to optimize the cutting parameters to minimize the production cost and the environmental impact.

  1. Eutectic and solid-state wafer bonding of silicon with gold

    International Nuclear Information System (INIS)

    Abouie, Maryam; Liu, Qi; Ivey, Douglas G.

    2012-01-01

    Highlights: ► Eutectic and solid-state Au-Si bonding are compared for both a-Si and c-Si samples. ► Exchange of a-Si and Au layer was observed in both types of bonded samples. ► Use of c-Si for bonding resulted in formation of craters at the Au/c-Si interface. ► Solid-state Au-Si bonding produces better bonds in terms of microstructure. - Abstract: The simple Au-Si eutectic, which melts at 363 °C, can be used to bond Si wafers. However, faceted craters can form at the Au/Si interface as a result of anisotropic and non-uniform reaction between Au and crystalline silicon (c-Si). These craters may adversely affect active devices on the wafers. Two possible solutions to this problem were investigated in this study. One solution was to use an amorphous silicon layer (a-Si) that was deposited on the c-Si substrate to bond with the Au. The other solution was to use solid-state bonding instead of eutectic bonding, and the wafers were bonded at a temperature (350 °C) below the Au-Si eutectic temperature. The results showed that the a-Si layer prevented the formation of craters and solid-state bonding not only required a lower bonding temperature than eutectic bonding, but also prevented spill out of the solder resulting in strong bonds with high shear strength in comparison with eutectic bonding. Using amorphous silicon, the maximum shear strength for the solid-state Au-Si bond reached 15.2 MPa, whereas for the eutectic Au-Si bond it was 13.2 MPa.

  2. Fibre laser cutting stainless steel: Fluid dynamics and cut front morphology

    Science.gov (United States)

    Pocorni, Jetro; Powell, John; Deichsel, Eckard; Frostevarg, Jan; Kaplan, Alexander F. H.

    2017-01-01

    In this paper the morphology of the laser cut front generated by fibre lasers was investigated by observation of the 'frozen' cut front, additionally high speed imaging (HSI) was employed to study the fluid dynamics on the cut front while cutting. During laser cutting the morphology and flow properties of the melt film on the cut front affect cut quality parameters such as cut edge roughness and dross (residual melt attached to the bottom of the cut edge). HSI observation of melt flow down a laser cutting front using standard cutting parameters is experimentally problematic because the cut front is narrow and surrounded by the kerf walls. To compensate for this, artificial parameters are usually chosen to obtain wide cut fronts which are unrepresentative of the actual industrial process. This paper presents a new experimental cutting geometry which permits HSI of the laser cut front using standard, commercial parameters. These results suggest that the cut front produced when cutting medium section (10 mm thick) stainless steel with a fibre laser and a nitrogen assist gas is covered in humps which themselves are covered by a thin layer of liquid. HSI observation and theoretical analysis reveal that under these conditions the humps move down the cut front at an average speed of approximately 0.4 m/s while the covering liquid flows at an average speed of approximately 1.1 m/s, with an average melt depth at the bottom of the cut zone of approximately 0.17 mm.

  3. Influence of Lay Plan Solution in Fabric Efficiency and Consume in Cutting Section

    OpenAIRE

    Dumishllari Elmira; Guxho Genti

    2016-01-01

    One cutting order may require several lies and markers to achieve optimal efficiency and selection of right Lay Plan is one of the major challenges in cutting section, in a way to lead in fabric economy and reduced costs. The main purpose of this paper is to determine the best solution of lay plan and its influence, in the cutting room, starting from the analysis of the lay indicators calculations. We have studied an order with 200 jackets, with specific sizes. Markers are made by Gemini CAD ...

  4. Optimized path planning for soft tissue resection via laser vaporization

    Science.gov (United States)

    Ross, Weston; Cornwell, Neil; Tucker, Matthew; Mann, Brian; Codd, Patrick

    2018-02-01

    Robotic and robotic-assisted surgeries are becoming more prevalent with the promise of improving surgical outcomes through increased precision, reduced operating times, and minimally invasive procedures. The handheld laser scalpel in neurosurgery has been shown to provide a more gentle approach to tissue manipulation on or near critical structures over classical tooling, though difficulties of control have prevented large scale adoption of the tool. This paper presents a novel approach to generating a cutting path for the volumetric resection of tissue using a computer-guided laser scalpel. A soft tissue ablation simulator is developed and used in conjunction with an optimization routine to select parameters which maximize the total resection of target tissue while minimizing the damage to surrounding tissue. The simulator predicts the ablative properties of tissue from an interrogation cut for tuning and simulates the removal of a tumorous tissue embedded on the surface of healthy tissue using a laser scalpel. We demonstrate the ability to control depth and smoothness of cut using genetic algorithms to optimize the ablation parameters and cutting path. The laser power level, cutting rate and spacing between cuts are optimized over multiple surface cuts to achieve the desired resection volumes.

  5. Automotive SOI-BCD Technology Using Bonded Wafers

    International Nuclear Information System (INIS)

    Himi, H.; Fujino, S.

    2008-01-01

    The SOI-BCD device is excelling in high temperature operation and noise immunity because the integrated elements can be electrically separated by dielectric isolation. We have promptly paid attention to this feature and have concentrated to develop SOI-BCD devices seeking to match the automotive requirement. In this paper, the feature technologies specialized for automotive SOI-BCD devices, such as buried N + layer for impurity gettering and noise shielding, LDMOS with improved ESD robustness, crystal defect-less process, and wafer direct bonding through the amorphous layer for intelligent power IC are introduced.

  6. Development of the spent fuel rod cutting device using the blade cutters

    International Nuclear Information System (INIS)

    Jung, Jae Hoo; Yoon, Ji Sup; Hong, Dong Hee; Kim, Young Hwan; Park, Gee Yong; Kim, Do Woo

    2000-11-01

    A spent fuel rod cutting device is to cut a spent nuclear fuel rod to optimal size for consequent decladding operation. In this paper, various properties of fuel rod, such as a dimension and material of zircaloy tubes and fuel pellets, are investigated. Also, commercially available cutting method and tools is investigated in terms of its performance. As a result, the blade cutter is selected for the design. In order to fabricate the durable blade cutter, various materials are analyzed in terms of material properties, cutter shape, and heat treatment method, etc. Also, the durability of this tool is tested by cutting the SUS tubes and zircaloy tubes. In the device design, the remote maintainability is considered so that the modularized design is accomplished. Also, the other factors considered in the design are the round shape sustainability at the cut surface, the amount of debris generation, and the fire risk, etc. Considering these design consideration, the spent fuel rod cutting device is fabricated and tested

  7. Aberration-corrected transmission electron microscopy analyses of GaAs/Si interfaces in wafer-bonded multi-junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Häussler, Dietrich [Institute for Materials Science, Christian-Albrechts-University Kiel, Kaiserstraße 2, 24143 Kiel (Germany); Houben, Lothar [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons, Research Centre Juelich GmbH, 52425 Juelich (Germany); Essig, Stephanie [Fraunhofer Institute for Solar Energy Systems ISE, Heidenhofstraße 2, 79110 Freiburg (Germany); Kurttepeli, Mert [Institute for Materials Science, Christian-Albrechts-University Kiel, Kaiserstraße 2, 24143 Kiel (Germany); Dimroth, Frank [Fraunhofer Institute for Solar Energy Systems ISE, Heidenhofstraße 2, 79110 Freiburg (Germany); Dunin-Borkowski, Rafal E. [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons, Research Centre Juelich GmbH, 52425 Juelich (Germany); Jäger, Wolfgang, E-mail: wolfgang.jaeger@tf.uni-kiel.de [Institute for Materials Science, Christian-Albrechts-University Kiel, Kaiserstraße 2, 24143 Kiel (Germany)

    2013-11-15

    Aberration-corrected scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) investigations have been applied to investigate the structure and composition fluctuations near interfaces in wafer-bonded multi-junction solar cells. Multi-junction solar cells are of particular interest since efficiencies well above 40% have been obtained for concentrator solar cells which are based on III-V compound semiconductors. In this methodologically oriented investigation, we explore the potential of combining aberration-corrected high-angle annular dark-field STEM imaging (HAADF-STEM) with spectroscopic techniques, such as EELS and energy-dispersive X-ray spectroscopy (EDXS), and with high-resolution transmission electron microscopy (HR-TEM), in order to analyze the effects of fast atom beam (FAB) and ion beam bombardment (IB) activation treatments on the structure and composition of bonding interfaces of wafer-bonded solar cells on Si substrates. Investigations using STEM/EELS are able to measure quantitatively and with high precision the widths and the fluctuations in element distributions within amorphous interface layers of nanometer extensions, including those of light elements. Such measurements allow the control of the activation treatments and thus support assessing electrical conductivity phenomena connected with impurity and dopant distributions near interfaces for optimized performance of the solar cells. - Highlights: • Aberration-corrected TEM and EELS reveal structural and elemental profiles across GaAs/Si bond interfaces in wafer-bonded GaInP/GaAs/Si - multi-junction solar cells. • Fluctuations in elemental concentration in nanometer-thick amorphous interface layers, including the disrubutions of light elements, are measured using EELS. • The projected widths of the interface layers are determined on the atomic scale from STEM-HAADF measurements. • The effects of atom and ion beam activation treatment on the bonding

  8. Wiping frictional properties of electrospun hydrophobic/hydrophilic polyurethane nanofiber-webs on soda-lime glass and silicon-wafer.

    Science.gov (United States)

    Watanabe, Kei; Wei, Kai; Nakashima, Ryu; Kim, Ick Soo; Enomoto, Yuji

    2013-04-01

    In the present work, we conducted the frictional tests of hydrophobic and hydrophilic polyurethane (PUo and PUi) nanofiber webs against engineering materials; soda-lime glass and silicon wafer. PUi/glass combination, with highest hydrophilicity, showed the highest friction coefficient which decrease with the increase of the applied load. Furthermore, the effects of fluorine coating are also investigated. The friction coefficient of fluorine coated hydrophobic PU nanofiber (PUof) shows great decrease against the silicon wafer. Finally, wiping ability and friction property are investigated when the substrate surface is contaminated. Nano-particle dusts are effectively collected into the pores by wiping with PUo and PUi nanofiber webs both on glass and silicon wafer. The friction coefficient gradually increased with the increase of the applied load.

  9. Investigation of the heating behavior of carbide-bonded graphene coated silicon wafer used for hot embossing

    Science.gov (United States)

    Yang, Gao; Li, Lihua; Lee, Wing Bun; Ng, Man Cheung; Chan, Chang Yuen

    2018-03-01

    A recently developed carbide-bonded graphene (CBG) coated silicon wafer was found to be an effective micro-patterned mold material for implementing rapid heating in hot embossing processes owing to its superior electrical and thermal conductivity, in addition to excellent mechanical properties. To facilitate the achievement of precision temperature control in the hot embossing, the heating behavior of a CBG coated silicon wafer sample was experimentally investigated. First, two groups of controlled experiments were conducted for quantitatively evaluating the influence of the main factors such as the vacuum pressure and gaseous environment (vacuum versus nitrogen) on its heating performance. The electrical and thermal responses of this sample under a voltage of 60 V were then intensively analyzed, and revealed that it had somewhat semi-conducting properties. Further, we compared its thermal profiles under different settings of the input voltage and current limiting threshold. Moreover, the strong temperature dependence of electrical resistance for this material was observed and determined. Ultimately, the surface temperature of CBG coated silicon wafer could be as high as 1300 ℃, but surprisingly the graphene coating did not detach from the substrate under such an elevated temperature due to its strong thermal coupling with the silicon wafer.

  10. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    Science.gov (United States)

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  11. Analysis of correlations of multiple-performance characteristics for optimization of CO2 laser nitrogen cutting of AISI 304 stainless steel

    Directory of Open Access Journals (Sweden)

    Miloš Madić

    2014-07-01

    Full Text Available The identification of laser cutting conditions for satisfying different requirements such as improving cut quality characteristics and material removal rate is of great importance. In this paper, an attempt has been made to develop mathematical models in order to relate laser cutting parameters such as the laser power, cutting speed, assist gas pressure and focus position, and cut quality characteristics such as the surface roughness, kerf width and width of heat affected zone (HAZ. A laser cutting experiment was planned as per Taguchi’s L27 orthogonal array with three levels for each of laser cutting parameters considered. 3 mm thick AISI 304 stainless steel was used as workpiece material. Mathematical models were developed using a single hidden layer artificial neural network (ANN trained with the Levenberg– Marquardt algorithm. On the basis of the developed ANN models the effects of the laser cutting parameters on the cut quality characteristics were presented. It was observed that laser cutting parameters variously affect cut quality characteristics. Also, for the range of operating conditions considered in the experiment, laser cut quality operating diagrams were shown. From these operating diagrams one can see the values of cut quality characteristics that can be achieved and subsequently select laser cutting parameter values. Furthermore, the analysis includes correlations between cut quality characteristics and material removal rate. To this aim, six trade-off operating diagrams for improving multiple responses at the same time were given.

  12. Remote Fiber Laser Cutting System for Dismantling Glass Melter - 13071

    Energy Technology Data Exchange (ETDEWEB)

    Mitsui, Takashi; Miura, Noriaki [IHI Corporation, 1 Shin-Nakahara-cho, Isogo-ku, Yokohama, Kanagawa (Japan); Oowaki, Katsura; Kawaguchi, Isao [IHI Inspection and Instrumentation Co., Ltd, 1 Shin-Nakahara-cho, Isogo-ku, Yokohama, Kanagawa (Japan); Miura, Yasuhiko; Ino, Tooru [Japan Nuclear Fuel Limited, 4-108, Aza Okitsuke, Oaza Obuchi, Rokkasho-Mura, Kamikita-gun, Aomori (Japan)

    2013-07-01

    Since 2008, the equipment for dismantling the used glass melter has been developed in High-level Liquid Waste (HLW) Vitrification Facility in the Japanese Rokkasho Reprocessing Plant (RRP). Due to the high radioactivity of the glass melter, the equipment requires a fully-remote operation in the vitrification cell. The remote fiber laser cutting system was adopted as one of the major pieces of equipment. An output power of fiber laser is typically higher than other types of laser and so can provide high-cutting performance. The fiber laser can cut thick stainless steel and Inconel, which are parts of the glass melter such as casings, electrodes and nozzles. As a result, it can make the whole of the dismantling work efficiently done for a shorter period. Various conditions of the cutting test have been evaluated in the process of developing the remote fiber cutting system. In addition, the expected remote operations of the power manipulator with the laser torch have been fully verified and optimized using 3D simulations. (authors)

  13. Towards Detection of Cutting in Hay Meadows by Using of NDVI and EVI Time Series

    Directory of Open Access Journals (Sweden)

    Andrej Halabuk

    2015-05-01

    Full Text Available The main requirement for preserving European hay meadows in good condition is through prerequisite cut management. However, monitoring these practices on a larger scale is very difficult. Our study analyses the use of MODIS vegetation indices products, namely EVI and NDVI, to discriminate cut and uncut meadows in Slovakia. We tested the added value of simple transformations of raw data series (seasonal statistics, first difference series, compared EVI and NDVI, and analyzed optimal periods, the number of scenes and the effect of smoothing on classification performance. The first difference series transformation saw substantial improvement in classification results. The best case NDVI series classification yielded overall accuracy of 85% with balanced rates of producer’s and user’s accuracies for both classes. EVI yielded slightly lower values, though not significantly different, although user accuracy of cut meadows achieved only 67%. Optimal periods for discriminating cut and uncut meadows lay between 16 May and 4 August, meaning only seven consecutive images are enough to accurately detect cutting in hay meadows. More importantly, the 16-day compositing period seemed to be enough for detection of cutting, which would be the time span that might be hopefully achieved by upcoming on-board HR sensors (e.g., Sentinel-2.

  14. Cutting state identification

    International Nuclear Information System (INIS)

    Berger, B.S.; Minis, I.; Rokni, M.

    1997-01-01

    Cutting states associated with the orthogonal cutting of stiff cylinders are identified through an analysis of the singular values of a Toeplitz matrix of third order cumulants of acceleration measurements. The ratio of the two pairs of largest singular values is shown to differentiate between light cutting, medium cutting, pre-chatter and chatter states. Sequences of cutting experiments were performed in which either depth of cut or turning frequency was varied. Two sequences of experiments with variable turning frequency and five with variable depth of cut, 42 cutting experiments in all, provided a database for the calculation of third order cumulants. Ratios of singular values of cumulant matrices find application in the analysis of control of orthogonal cutting

  15. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  16. Wafer-scale integration of piezoelectric actuation capabilities in nanoelectromechanical systems resonators

    OpenAIRE

    DEZEST, Denis; MATHIEU, Fabrice; MAZENQ, Laurent; SOYER, Caroline; COSTECALDE, Jean; REMIENS, Denis; THOMAS, Olivier; DEÜ, Jean-François; NICU, Liviu

    2013-01-01

    In this work, we demonstrate the integration of piezoelectric actuation means on arrays of nanocantilevers at the wafer scale. We use lead titanate zirconate (PZT) as piezoelectric material mainly because of its excellent actuation properties even when geometrically constrained at extreme scale

  17. A facility for plastic deformation of germanium single-crystal wafers

    DEFF Research Database (Denmark)

    Lebech, B.; Theodor, K.; Breiting, B.

    1998-01-01

    . All movements and temperature changes are done by a robot via a PLC-control system. Two nine-crystal focusing monochromators (54 x 116 and 70 x 116 mm(2)) made from 100 wafers with average mosaicity similar to 13' have been constructed. Summaries of the test results are presented. (C) 1998 Elsevier...

  18. Synchrotron radiation induced TXRF of low Z elements on Si wafer surfaces at SSRL-comparison of excitation geometries and condition

    International Nuclear Information System (INIS)

    Streli, C.; Wobrauschek, P.; Kregsamer, P.; Pepponi, G.; Pianetta, P.; Pahlke, S.; Fabry, L.

    2000-01-01

    The determination of low Z elements, like Na and Al at ultra trace levels on Si wafer surfaces is demanded by semiconductor industry. SR-TXRF is a promising method to fulfill the task, if a special energy dispersive detector with an ultra thin window is used. Synchrotron radiation is the ideal suited excitation source for TXRF of low Z elements due to its intensive, natural collimated and linear polarized radiation with wide spectral range down to low energies even below 1 keV. TXRF offers some advantages for wafer surface analysis like nondestructive investigation and mapping capability. Experiments have been performed at SSRL beamline 3-4, a bending magnet beamline using white (<3 keV) and monochromatic radiation, as well as on beamline 3-3, using a crystal monochromator as well as a multilayer monochromator. A comparison of excitation detection geometries was performed, using a sidelooking detector with vertical positioned wafer as well as a downlooking detector with a horizontally arranged wafer. The advantages and disadvantages of the various geometries and excitation conditions are presented and the results compared. Detection limits are in the 100 fg range for Na, determined with droplet samples on Si wafer surfaces. (author)

  19. An Improved Dispatching Method (a-HPDB for Automated Material Handling System with Active Rolling Belt for 450 mm Wafer Fabrication

    Directory of Open Access Journals (Sweden)

    Chia-Nan Wang

    2017-07-01

    Full Text Available The semiconductor industry is facing the transition from 300 mm to 450 mm wafer fabrication. Due to the increased size and weight, 450 mm wafers will pose unprecedented challenges on semiconductor wafer fabrication. To better handle and transport 450 mm wafers, an advanced Automated Material Handling System (AMHS is definitely required. Though conveyor-based AMHS is expected to be suitable for 450 mm wafer fabrication, still it faces two main problems, traffic-jam problem and lot-prioritization. To address the two problems, in this research we have proposed an improved dispatching method, termed Heuristic Preemptive Dispatching Method using Activated Roller Belt (a-HPDB. We have developed some effective rules for the a-HPDB based on Activated Roller Belt (ARB. In addition, we have conducted experiments to investigate its effectiveness. Compared with the HPDB and R-HPD, two dispatching rules proposed in previous studies, our experimental results showed the a-HPDB had a better performance in terms of average lot delivery time (ALDT. For hot lots and normal lots, the a-HPDB had advantages of 4.14% and 8.92% over the HPDB and advantages of 4.89% and 8.52% over R-HPD, respectively.

  20. Skin Segmentation Based on Graph Cuts

    Institute of Scientific and Technical Information of China (English)

    HU Zhilan; WANG Guijin; LIN Xinggang; YAN Hong

    2009-01-01

    Skin segmentation is widely used in many computer vision tasks to improve automated visualiza-tion. This paper presents a graph cuts algorithm to segment arbitrary skin regions from images. The detected face is used to determine the foreground skin seeds and the background non-skin seeds with the color probability distributions for the foreground represented by a single Gaussian model and for the background by a Gaussian mixture model. The probability distribution of the image is used for noise suppression to alle-viate the influence of the background regions having skin-like colors. Finally, the skin is segmented by graph cuts, with the regional parameter y optimally selected to adapt to different images. Tests of the algorithm on many real wodd photographs show that the scheme accurately segments skin regions and is robust against illumination variations, individual skin variations, and cluttered backgrounds.