WorldWideScience

Sample records for on-line chemical vapor

  1. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  2. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  3. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  4. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  5. Determination of Cd, Hg, Pb and Se in sediments slurries by isotopic dilution calibration ICP-MS after chemical vapor generation using an on-line system or retention in an electrothermal vaporizer treated with iridium

    International Nuclear Information System (INIS)

    Vieira, Mariana Antunes; Ribeiro, Anderson Schwingel; Dias, Lucia Felicidade; Curtius, Adilson Jose

    2005-01-01

    A method for the determination of Cd, Hg, Pb and Se in sediments reference materials by slurry sampling chemical vapor generation (CVG) using isotopic dilution (ID) calibration and detection by inductively coupled plasma mass spectrometry (ICP-MS) is proposed. Two different systems were used for the investigation: an on-line flow injection system (FI-CVG-ICP-MS) and an off-line system with in situ trapping electrothermal vaporization (CVG-ETV-ICP-MS). About 100 mg of the reference material, ground to a particle size ≤50 μm, was mixed with acid solutions (aqua regia, HF and HCl) in an ultrasonic bath. The enriched isotopes 111 Cd, 198 Hg, 206 Pb and 77 Se were then added to the slurry in an adequate amount in order to produce an altered isotopic ratio close to 1. For the on-line system, a standing time for the slurry of 12 h before measurement was required, while for the batch system, no standing time is needed to obtain accurate results. The conditions for the formation of the analyte vapor were optimized for the evaluated systems. The following altered isotope ratios were measured: 111 Cd/ 114 Cd, 198 Hg/ 199 Hg, 206 Pb/ 208 Pb e 77 Se/ 82 Se. The obtained detection limits in the on-line system, in μg g -1 , were: Cd: 0.15; Hg: 0.09; Pb: 6.0 and Se: 0.03. Similar detection limits were obtained with the system that uses the ETV: 0.21 for Hg, 6.0 for Pb and 0.06 μg g -1 for Se. No signal for Cd was obtained in this system. One estuarine, two marine and two river certified sediments were analyzed to check the accuracy. The obtained values by both systems were generally in agreement with the certified concentrations, according to the t-test for a confidence level of 95%, demonstrating that isotope equilibration was attained in the slurries submitted to a chemical vapor generation procedure and detection by ICP-MS. The relative standard deviations were lower than 10%, adequate for slurry analysis. The almost quantitative analytes extractions to the aqueous phase

  6. Table of laser lines in gases and vapors

    Energy Technology Data Exchange (ETDEWEB)

    Beck, R; Englisch, W; Guers, K

    1980-01-01

    Numerous applications of lasers require use of specific wavelengths (gas analysis including remote sensing, Raman spectroscopy, optical pumping, laser chemistry and isotope separation). Scientists active in these fields have been compelled to search, in addition to the available, mostly obsolete, laser-line tables, the entire recent literature in order to find suitable laser transitions. Over 6100 laser transitions are presented. An additional list of the lines arranged in order of wavelength should greatly facilitate the search for a laser material that generates a specific wavelength. Further information has also been supplied by listing the pump transition for each of the FIR lines obtained with the optically pumped organic vapors. In addition to the laser lines, the operating conditions under which emission has been achieved are briefly specified at the top of the list for each active medium. The order in which the atomic laser media are listed is based on the periodic system, beginning with the noble gases, continuing with hydrogen and the alkalies to the halogens and the rare earths. The molecular laser media are arranged in order of chemical composition, beginning with the compounds of noble gases (the excimers), then other diatomic molecules, triatomic molecules, and ending with the more complex molecules of organic vapors. (WHK).

  7. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  8. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  9. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  10. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  11. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  12. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    The processes associated with the vaporization of microgram samples and modifiers in a graphite tube ET AAS were investigated by the example of transition metals. The vapor absorption spectra and vaporization behavior of μg-amounts Cd, Zn, Cu, Ag, Au, Ni, Co, Fe, Mn and Cr were studied using the UV spectrometer with CCD detector, coupled with a continuum radiation source. The pyrocoated, Ta or W lined tubes, with Ar or He as internal gases, and filter furnace were employed in the comparative experiments. It was found that the kinetics of atomic vapor release changed depending on the specific metal-substrate-gas combination; fast vaporization at the beginning was followed by slower 'tailing.' The absorption continuum, overlapped by black body radiation at longer wavelengths, accompanied the fast vaporization mode for all metals, except Cd and Zn. The highest intensity of the continuum was observed in the pyrocoated tube with Ar. For Cu and Ag the molecular bands overlapped the absorption continuum; the continuum and bands were suppressed in the filter furnace. It is concluded that the exothermal interaction of sample vapor with the material of the tube causes the energy evolution in the gas phase. The emitted heat is dispersed near the tube wall in the protective gas and partially transferred back to the surface of the sample, thus facilitating the vaporization. The increased vapor flow causes over-saturation and gas-phase condensation in the absorption volume at some distance from the wall, where the gas temperature is not affected by the reaction. The condensation is accompanied by the release of phase transition energy via black body radiation and atomic emission. The particles of condensate and molecular clusters cause the scattering of light and molecular absorption; slow decomposition of the products of the sample vapor-substrate reaction produces the 'tailing' of atomic absorption signal. The interaction of graphite with metal vapor or oxygen, formed in the

  13. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  14. Non-chromatographic speciation analysis of mercury by flow injection on-line preconcentration in combination with chemical vapor generation atomic fluorescence spectrometry

    International Nuclear Information System (INIS)

    Wu Hong; Jin Yan; Han Weiying; Miao, Qiang; Bi Shuping

    2006-01-01

    A novel non-chromatographic approach for direct speciation of mercury, based on the selective retention inorganic mercury and methylmercury on the inner wall of a knotted reactor by using ammonium diethyl dithiophosphate and dithizone as complexing agents respectively, was developed for flow injection on-line sorption preconcentration coupled with chemical vapor generation non-dispersive atomic fluorescence spectrometry. With the sample pH kept at 2.0, the preconcentration of inorganic mercury on the inner walls of the knotted reactor was carried out based on the exclusive retention of Hg-DDP complex in the presence of methylmercury via on-line merging the sample solution with ammonium diethyl dithiophosphate solution, and selective preconcentration methylmercury was achieved with dithizone instead of ammonium diethyl dithiophosphate. A 15% (v/v) HCl was introduced to elute the retained mercury species and merge with KBH 4 solution for atomic fluorescence spectrometry detection. Under the optimal experimental conditions, the sample throughputs of inorganic mercury and methylmercury were 30 and 20 h -1 with the enhancement factors of 13 and 24. The detection limits were found to be 3.6 ng l -1 for Hg 2+ and 2.0 ng l -1 for CH 3 Hg + . The precisions (RSD) for the 11 replicate measurements of each 0.2 μg l -1 of Hg 2+ and CH 3 Hg + were 2.2% and 2.8%, respectively. The developed method was validated by the analysis of certified reference materials (simulated natural water, rice flour and pork) and by recovery measurements on spiked samples, and was applied to the determination of inorganic mercury and methylmercury in biological and environmental water samples

  15. Unmanned Aerial Vehicle Non Line of Sight Chemical Detection Final Report

    Science.gov (United States)

    2016-12-01

    aircraft system that is used to perform point detection of chemical warfare agents and collection of vapor, liquid, and solid samples. A modular payload...their goals to better protect the Warfighter. The North Atlantic Treaty Organization members have divided detection distances into the following three...materials for onboard analysis or transporting chemical samples for analysis to a mobile laboratory. An innovative proposed solution to non-line-of

  16. Non-chromatographic speciation analysis of mercury by flow injection on-line preconcentration in combination with chemical vapor generation atomic fluorescence spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Wu Hong [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Department of Chemistry, Xuzhou Normal University, Xuzhou 221116 (China); Jin Yan [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Han Weiying [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Miao, Qiang [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China); Bi Shuping [School of Chemistry and Chemical Engineering, State Key Laboratory of Coordination Chemistry and Key Laboratory of MOE for Life Science, Nanjing University, Nanjing 210093 (China)]. E-mail: bisp@nju.edu.cn

    2006-07-15

    A novel non-chromatographic approach for direct speciation of mercury, based on the selective retention inorganic mercury and methylmercury on the inner wall of a knotted reactor by using ammonium diethyl dithiophosphate and dithizone as complexing agents respectively, was developed for flow injection on-line sorption preconcentration coupled with chemical vapor generation non-dispersive atomic fluorescence spectrometry. With the sample pH kept at 2.0, the preconcentration of inorganic mercury on the inner walls of the knotted reactor was carried out based on the exclusive retention of Hg-DDP complex in the presence of methylmercury via on-line merging the sample solution with ammonium diethyl dithiophosphate solution, and selective preconcentration methylmercury was achieved with dithizone instead of ammonium diethyl dithiophosphate. A 15% (v/v) HCl was introduced to elute the retained mercury species and merge with KBH{sub 4} solution for atomic fluorescence spectrometry detection. Under the optimal experimental conditions, the sample throughputs of inorganic mercury and methylmercury were 30 and 20 h{sup -1} with the enhancement factors of 13 and 24. The detection limits were found to be 3.6 ng l{sup -1} for Hg{sup 2+} and 2.0 ng l{sup -1} for CH{sub 3}Hg{sup +}. The precisions (RSD) for the 11 replicate measurements of each 0.2 {mu}g l{sup -1} of Hg{sup 2+} and CH{sub 3}Hg{sup +} were 2.2% and 2.8%, respectively. The developed method was validated by the analysis of certified reference materials (simulated natural water, rice flour and pork) and by recovery measurements on spiked samples, and was applied to the determination of inorganic mercury and methylmercury in biological and environmental water samples.

  17. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  18. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  19. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  20. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  1. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  2. Supercritical fluid extraction-capillary gas chromatography: on-line coupling with a programmed temperature vaporizer

    NARCIS (Netherlands)

    Houben, R.J.; Janssen, J.G.M.; Leclercq, P.A.; Rijks, J.A.; Cramers, C.A.M.G.

    1990-01-01

    A simple and versatile system is described for the on-line coupling of SFE to capillary GC. The interfacing consists of a programmed temperature vaporizer (PTV) injector. With this injector it is possible to combine solute trapping, elimination of a high flow of extraction fluid, and quantitative

  3. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  4. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  5. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  6. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  7. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  8. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  9. 33 CFR 154.810 - Vapor line connections.

    Science.gov (United States)

    2010-07-01

    ... permanently attached 0.5 inch diameter stud at least 1.0 inch long projecting outward from the flange face. The stud must be located at the top of the flange, midway between bolt holes, and in line with the bolt hole pattern. (d) Each hose used for transferring vapors must: (1) Have a design burst pressure of...

  10. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  11. Line-shape asymmetry of water vapor absorption lines in the 720-nm wavelength region

    Science.gov (United States)

    Grossmann, Benoist E.; Browell, Edward V.

    1991-01-01

    Spectral line-shape analyses were performed for water vapor lines broadened by argon, oxygen, and xenon in the 720-nm wavelength region. A line-shape asymmetry was observed, which is attributed to statistical dependence or correlation between velocity- and state-changing collisions. The generalized (asymmetric) Galatry profile, which results from the soft-collision profile and includes correlation between velocity- and state-changing collisions, was fitted to the observed line shapes and was found to compare favorably with the observed data. The most prominent asymmetries were observed with xenon as the buffer gas.

  12. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  13. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  14. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  15. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  16. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  17. On line chemical analyzers for high purity steam and water, applied to steam power plants; Analizadores quimicos en linea para agua y vapor de alta pureza, aplicados a centrales termoelectricas

    Energy Technology Data Exchange (ETDEWEB)

    Diaz Perez, Ruth [Instituto de Investigaciones Electricas, Cuernavaca (Mexico)

    1990-12-31

    This article presents a general overview of the advances in the subject of on line analyzers of chemical parameters for high purity water and steam and specifies which ones are commercially available. Also are mentioned besides, the criteria nowadays applied for the selection of the sites for sample grabbing and the analysis that is necessary to perform in each point, depending on the power plant type and the treatment administered (phosphates-Ph coordinated or AVT treatment). [Espanol] El articulo presenta un panorama general de los avances que en materia de analizadores de parametros quimicos en linea para agua y vapor de alta pureza, y especifica cuales estan disponibles en forma comercial. Se citan, ademas los criterios que se aplican actualmente para seleccionar los puntos de toma de muestra y los analisis que es necesario efectuar en cada punto, dependiendo del tipo de central y del tratamiento que se le administre (fosfatos-pH coordinado o tratamiento AVT).

  18. On line chemical analyzers for high purity steam and water, applied to steam power plants; Analizadores quimicos en linea para agua y vapor de alta pureza, aplicados a centrales termoelectricas

    Energy Technology Data Exchange (ETDEWEB)

    Diaz Perez, Ruth [Instituto de Investigaciones Electricas, Cuernavaca (Mexico)

    1989-12-31

    This article presents a general overview of the advances in the subject of on line analyzers of chemical parameters for high purity water and steam and specifies which ones are commercially available. Also are mentioned besides, the criteria nowadays applied for the selection of the sites for sample grabbing and the analysis that is necessary to perform in each point, depending on the power plant type and the treatment administered (phosphates-Ph coordinated or AVT treatment). [Espanol] El articulo presenta un panorama general de los avances que en materia de analizadores de parametros quimicos en linea para agua y vapor de alta pureza, y especifica cuales estan disponibles en forma comercial. Se citan, ademas los criterios que se aplican actualmente para seleccionar los puntos de toma de muestra y los analisis que es necesario efectuar en cada punto, dependiendo del tipo de central y del tratamiento que se le administre (fosfatos-pH coordinado o tratamiento AVT).

  19. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  20. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  3. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  4. A corresponding states treatment of the liquid-vapor saturation line

    International Nuclear Information System (INIS)

    Srinivasan, K.; Ng, K.C.; Velasco, S.; White, J.A.

    2012-01-01

    Highlights: → Correlations arising from the maxima of products of properties in the coexistence line. → Analysis of maxima along the vapor pressure curve. → Correlations for the maximum of the saturated vapor enthalpy curve. → Prediction of properties of the new low GWP refrigerants HFO 1234yf and HFO 1234ze (E). - Abstract: In this work we analyze correlations for the maxima of products of some liquid-vapor saturation properties. These points define new characteristic properties of each fluid that are shown to exhibit linear correlations with the critical properties. We also demonstrate that some of these properties are well correlated with the acentric factor. An application is made to predict the properties of two new low global warming potential (GWP) refrigerants.

  5. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  6. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  7. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  8. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  9. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  10. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  11. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  12. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  13. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  14. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  15. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  16. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  18. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  19. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  20. Characterizing chemical systems with on-line computers and graphics

    International Nuclear Information System (INIS)

    Frazer, J.W.; Rigdon, L.P.; Brand, H.R.; Pomernacki, C.L.

    1979-01-01

    Incorporating computers and graphics on-line to chemical experiments and processes opens up new opportunities for the study and control of complex systems. Systems having many variables can be characterized even when the variable interactions are nonlinear, and the system cannot a priori be represented by numerical methods and models. That is, large sets of accurate data can be rapidly acquired, then modeling and graphic techniques can be used to obtain partial interpretation plus design of further experimentation. The experimenter can thus comparatively quickly iterate between experimentation and modeling to obtain a final solution. We have designed and characterized a versatile computer-controlled apparatus for chemical research, which incorporates on-line instrumentation and graphics. It can be used to determine the mechanism of enzyme-induced reactions or to optimize analytical methods. The apparatus can also be operated as a pilot plant to design control strategies. On-line graphics were used to display conventional plots used by biochemists and three-dimensional response-surface plots

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  4. Laser absorption spectroscopy of water vapor confined in nanoporous alumina: wall collision line broadening and gas diffusion dynamics.

    Science.gov (United States)

    Svensson, Tomas; Lewander, Märta; Svanberg, Sune

    2010-08-02

    We demonstrate high-resolution tunable diode laser absorption spectroscopy (TDLAS) of water vapor confined in nanoporous alumina. Strong multiple light scattering results in long photon pathlengths (1 m through a 6 mm sample). We report on strong line broadening due to frequent wall collisions (gas-surface interactions). For the water vapor line at 935.685 nm, the HWHM of confined molecules are about 4.3 GHz as compared to 2.9 GHz for free molecules (atmospheric pressure). Gas diffusion is also investigated, and in contrast to molecular oxygen (that moves rapidly in and out of the alumina), the exchange of water vapor is found very slow.

  5. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  6. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  7. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  8. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  9. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  10. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  11. Production of higher quality bio-oils by in-line esterification of pyrolysis vapor

    Science.gov (United States)

    Hilten, Roger Norris; Das, Keshav; Kastner, James R; Bibens, Brian P

    2014-12-02

    The disclosure encompasses in-line reactive condensation processes via vapor phase esterification of bio-oil to decease reactive species concentration and water content in the oily phase of a two-phase oil, thereby increasing storage stability and heating value. Esterification of the bio-oil vapor occurs via the vapor phase contact and subsequent reaction of organic acids with ethanol during condensation results in the production of water and esters. The pyrolysis oil product can have an increased ester content and an increased stability when compared to a condensed pyrolysis oil product not treated with an atomized alcohol.

  12. Laser-induced fluorescence line narrowing in atomic vapors

    International Nuclear Information System (INIS)

    Meier, T.; Schuessler, H.A.

    1983-01-01

    The use of highly monochromatic light allows the selective excitation of atoms in vapors if excitation and detection of the fluorescence is carried out collinearly. The atoms capable of absorbing light then form an atomic beam of well defined velocity along the direction of the laser beam, but no velocity selection occurs perpendicular to it. The potential of the technique for Doppler-free atomic spectroscopy and for the study of excited atom collisions is demonstrated using the Na D 1 line as an example

  13. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  14. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  16. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-01-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  17. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-05-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  18. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  19. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  2. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  3. Investigation of contact line dynamics under a vapor bubble at boiling on the transparent heater

    Science.gov (United States)

    Surtaev, A. S.; Serdyukov, V. S.

    2018-01-01

    The paper presents the results of an experimental study of dynamics of vapor bubble growth and departure at pool boiling, obtained with the use of high-speed video recording and IR thermography. The study was carried out at saturated water boiling under the atmospheric pressure in the range of heat fluxes of 30-150 kW/m2. To visualize the process and determine the growth rates of the outer bubble diameter, microlayer region and dry spot area, transpa-rent thin film heater with the thickness of 1 μm deposited on sapphire substrate was used in the experiments, and video recording was performed from the bottom side of the heating surface. To study integral heat transfer as well as local non-stationary thermal characteristics, high-speed infrared thermography with a frequency of up to 1000 FPS was used. High-speed video recording showed that after formation of vapor bubble and microlayer region, dry spot appears in a short time (up to 1 ms) under the vapor bubble. Various stages of contact line boundary propagation were ob-served. It was shown that at the initial stage before the development of small-scale perturbations, the dry spot propaga-tion rate is constant. It was also showed that the bubble departure stage begins after complete evaporation of liquid in the microlayer region.

  4. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  5. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  6. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  7. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  8. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  9. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  10. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  11. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  12. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  13. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  14. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  15. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  16. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  17. A Two-Line Absorption Instrument for Scramjet Temperature and Water Vapor Concentration Measurement in HYPULSE

    Science.gov (United States)

    Tsai, C. Y.

    1998-01-01

    A three beam water vapor sensor system has been modified to provide for near simultaneous temperature measurement. The system employs a tunable diode laser to scan spectral line of water vapor. The application to measurements in a scramjet combustor environment of a shock tunnel facility is discussed. This report presents and discusses die initial calibration of the measurement system.

  18. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  19. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  20. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  1. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  2. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  3. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  4. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  6. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  7. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  8. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  9. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  10. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  11. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  12. Fourier transform measurements of water vapor line parameters in the 4200-6600 cm{sup -1} region

    Energy Technology Data Exchange (ETDEWEB)

    Jenouvrier, Alain [Groupe de Spectrometrie Moleculaire et Atmospherique, UMR CNRS 6089, UFR Sciences, Moulin de la Housse, B.P. 1039, 51067 Reims Cedex 2 (France)]. E-mail: alain.jenouvrier@univ-reims.fr; Daumont, Ludovic [Groupe de Spectrometrie Moleculaire et Atmospherique, UMR CNRS 6089, UFR Sciences, Moulin de la Housse, B.P. 1039, 51067 Reims Cedex 2 (France); Regalia-Jarlot, Laurence [Groupe de Spectrometrie Moleculaire et Atmospherique, UMR CNRS 6089, UFR Sciences, Moulin de la Housse, B.P. 1039, 51067 Reims Cedex 2 (France); Tyuterev, Vladimir G. [Groupe de Spectrometrie Moleculaire et Atmospherique, UMR CNRS 6089, UFR Sciences, Moulin de la Housse, B.P. 1039, 51067 Reims Cedex 2 (France); Carleer, Michel [Service de Chimie Quantique et de Photophysique, CP 160/09, Universite Libre de Bruxelles, 50 Av. F.D. Roosevelt, B-1050 Brussels (Belgium); Vandaele, Ann Carine [Institut d' Aeronomie Spatiale de Belgique, Av. Circulaire 3, B-1180 Brussels (Belgium); Mikhailenko, Semen [Laboratory of Theoretical Spectroscopy, Institute of Atmospheric Optics, Russian Academy of Sciences, 1, Av. Akademichesskii, 634055 Tomsk (Russian Federation); Fally, Sophie [Service de Chimie Quantique et de Photophysique, CP 160/09, Universite Libre de Bruxelles, 50 Av. F.D. Roosevelt, B-1050 Brussels (Belgium)

    2007-06-15

    New high-resolution water vapor absorption spectra were obtained at room temperature in the 4200-6600 cm{sup -1} spectral region by combining Fourier transform spectrometers (FTS) with single and multiple reflection cells. With absorption paths from 0.3 to 1800 m in pure and air diluted water vapor, accurate measurements of about 10400 lines in an intensity range from 10{sup -29} to 10{sup -19} cm/molecule have been performed. Positions, intensities, self- and air-broadening coefficients and air-induced shifts were determined for the H{sub 2} {sup 16}O, H{sub 2} {sup 17}O, H{sub 2} {sup 18}O and HDO isotopologues. The rovibrational assignment of the observed lines was performed with the use of global variational predictions and allowed the identification of several new energy levels. One major contribution of this work consists of the identification of 3280 new weak lines. A very close agreement between the new measured parameters and those listed in the database is reported as well as between the observations and the most recent variational calculations for the positions and the intensities. The present parameters provide an extended and homogeneous data set for water vapor, which is shown to significantly improve the databases for atmospheric applications, especially in the transmission windows on both sides of the band centered at 5400 cm{sup -1}.

  13. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  14. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  15. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  16. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  17. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  18. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  19. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  20. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  1. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  2. On-line stable isotope measurements during plant and soil gas exchange

    International Nuclear Information System (INIS)

    Yakir, D.

    2001-01-01

    Recent techniques for on-line stable isotope measurements during plant and soil exchange of CO 2 and/or water vapor are briefly reviewed. For CO 2 , these techniques provide means for on-line measurements of isotopic discrimination during CO 2 exchange by leaves in the laboratory and in the field, of isotopic discrimination during soil respiration and during soil-atmosphere CO 2 exchange, and of isotopic discrimination in O 2 during plant respiration. For water vapor, these techniques provide means to measure oxygen isotopic composition of water vapor during leaf transpiration and for the analysis of sub microliter condensed water vapor samples. Most of these techniques involve on-line sampling of CO 2 and water vapor from a dynamic, intact soil or plant system. In the laboratory, these systems also allow on-line isotopic analysis by continuous-flow isotope ratio mass spectrometry. The information obtained with these on-line techniques is becoming increasingly valuable, and often critical, for ecophysiologial research and in the study of biosphere-atmosphere interactions. (author)

  3. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  4. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  5. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  6. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  7. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  8. Real time incorporation of random events in the reasoning of an on-line expert system. Application to the acoustic surveillance of vapor generators

    International Nuclear Information System (INIS)

    Launay, T.

    1989-03-01

    A study for improving an expert system applied in diagnostic assistance is presented. The results will be implemented in the vapor generators surveillance system. The aim of the work is to improve performances by reducing the time spent on reasoning and to strengthen the vigilance system. The investigation consists of four parts. In the first part, the state of the art of the different logics used in the artificial intelligence techniques is discussed, and the TMS and ATMS systems are presented. The second part of this thesis deals with problematics. Each point of the problem is studied and answered by applying the basic concepts used in the generation of on-line expert systems. In the third part, the on-line expert system generator ACTE is described. The ACTE aspects concerning the user, the inner structure and the functionality are considered. In the fourth part, an application to the surveillance of vapor generators and concluding remarks are presented [fr

  9. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  10. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  11. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  12. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  13. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  14. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  15. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  16. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  17. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  18. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  19. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  20. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  1. A chemically selective laser ion source for the on-line isotope separation

    International Nuclear Information System (INIS)

    Scheerer, F.

    1993-03-01

    In this thesis a laser ion source is presented. In a hot chamber the atoms of the elements to be studied are resonantly by light of pulsed dye lasers, which are pumped by pulsed copper-vapor lasers with extremely high pulse repetition rate (ν rep ∼ 10 kHz), stepwise excited and ionized. By the storage of the atoms in a hot chamber and the high pulse repetition rate of the copper-vapor lasers beyond the required high efficiency (ε ∼ 10%) can be reached. First preparing measurements were performed at the off-line separator at CERN with the rare earth elements ytterbium and thulium. Starting from the results of these measurements further tests of the laser ion source were performed at the on-line separator with in a thick tantalum target produced neutron-deficient ytterbium isotopes. Under application of a time-of-flight mass spectrometer in Mainz an efficient excitation scheme on the resonance ionization of tin was found. This excitation scheme is condition for an experiment at the GSI for the production of the extremely neutron-deficient, short-lived nucleus 102 Sn. In the summer 1993 is as first application of the newly developed laser ion source at the PSB-ISOLDE at CERN an astrophysically relevant experiment for the nuclear spectroscopy of the neutron-rich silver isotopes 124-129 Ag is planned. This experiment can because of the lacking selectivity of conventional ion sources only be performed by means of the here presented laser ion source. The laser ion source shall at the PSB-ISOLDE 1993 also be applied for the selective ionization of manganese. (orig./HSI) [de

  2. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  3. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  4. Evidence of a sewer vapor transport pathway at the USEPA vapor intrusion research duplex

    Science.gov (United States)

    The role of sewer lines as preferential pathways for vapor intrusion is poorly understood. Although the importance of sewer lines for volatile organic compound (VOC) transport has been documented at a small number of sites with vapor intrusion, sewer lines are not routinely sampl...

  5. An axial heat transfer analytical model for capillary-pumped loop vapor line temperature distributions

    International Nuclear Information System (INIS)

    Lin, H.-W.; Lin, W.-K.

    2007-01-01

    This paper aims to study the capillary-pumped loop (CPL) vapor line temperature distributions. A simple axial heat transfer method is developed to predict the vapor line temperature from evaporator outlet to condenser inlet. CPL is a high efficiency two-phase heat transfer device. Since it does not need any other mechanical force such as pump, furthermore, it might be used to do the thermal management of high power electronic component such as spacecraft, notebook and computer servers. It is a cyclic circulation pumped by capillary force, and this force is generated from the fine porous structure in evaporator. A novel semi-arc porous evaporator to CPL in 1U server is designed on the ground with a horizontal position and scale down the whole device to the miniature size. From the experimental results, the CPL could remove heat 90 W in steady-state and keep the heat source temperature about 70 deg. C. Finally, a good agreement between the simulation and experimental values has been achieved. Comparing with experiment and simulation results, the deviation values of the distributions of the condenser inlet temperature are less than 8%

  6. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  7. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  8. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  9. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  10. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  11. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  12. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  13. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  14. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  15. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  16. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  17. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  18. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  19. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  20. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  1. Process Analytical Technology and On-Line Spectroscopic Measurements of Chemical Meat Quality

    DEFF Research Database (Denmark)

    Sørensen, Klavs Martin

    This thesis deals with process analytical technology and how it can be implemented in the meat industry through on-line grading of chemical meat quality. The focus will be on two applications, namely the rapid quality control of fat quality and the development of a method for on-line detection...... of nano-molar quantification in few seconds, in addition to an accelerated extraction-free GC-MS method that through automation can deliver results much faster than other similar methods. The implementation of these high tech methods will provide the meat industry with a leading edge not only with product...... of boar taint. The chemical makeup of fat has a large effect on meat cut quality. Fat quality has traditionally been determined by methylation of a tissue sample followed by chromatography on a GC-MS system, elucidating the composition of the individual fatty acids. As this procedure typically takes far...

  2. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  3. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  4. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  5. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  6. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  7. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  8. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  9. Limiting Size of Monolayer Graphene Flakes Grown on Silicon Carbide or via Chemical Vapor Deposition on Different Substrates

    Science.gov (United States)

    Alekseev, N. I.

    2018-05-01

    The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.

  10. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  11. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  12. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  13. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  14. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  15. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  16. Study on purification of carbon nano tubes grown on Fe/Ni bimetallic catalyst supported on Mg O by thermal chemical vapor deposition

    International Nuclear Information System (INIS)

    Mirershadi, S.; Mortazavi, Z.; Reyhani, A.; Norouzian, Sh.; Moniri, N.; Novinrooz, A. J.

    2007-01-01

    Carbon nano tubes grown on Fe/Ni bimetallic catalysts supported on Mg O by thermal chemical vapor deposition. Then purification of carbon nano tubes by oxidation under air at atmospheric pressure and acid treatment with HCl, have been studied. The Scanning electron microscopy observation showed impurities with carbon nano tubes. Scanning electron microscopy, XRD, Raman spectroscopy and Thermogravimetric analysis/Differential Scanning Calorimetry techniques have been used to investigate the effect of purification of carbon nano tubes on morphology and structural quality of them. The weight ratio of carbon nano tubes in purified sample re saved to 85/8 %.

  17. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  18. The effect of vapor polarity and boiling point on breakthrough for binary mixtures on respirator carbon.

    Science.gov (United States)

    Robbins, C A; Breysse, P N

    1996-08-01

    This research evaluated the effect of the polarity of a second vapor on the adsorption of a polar and a nonpolar vapor using the Wheeler model. To examine the effect of polarity, it was also necessary to observe the effect of component boiling point. The 1% breakthrough time (1% tb), kinetic adsorption capacity (W(e)), and rate constant (kv) of the Wheeler model were determined for vapor challenges on carbon beds for both p-xylene and pyrrole (referred to as test vapors) individually, and in equimolar binary mixtures with the polar and nonpolar vapors toluene, p-fluorotoluene, o-dichlorobenzene, and p-dichlorobenzene (referred to as probe vapors). Probe vapor polarity (0 to 2.5 Debye) did not systematically alter the 1% tb, W(e), or kv of the test vapors. The 1% tb and W(e) for test vapors in binary mixtures can be estimated reasonably well, using the Wheeler model, from single-vapor data (1% tb +/- 30%, W(e) +/- 20%). The test vapor 1% tb depended mainly on total vapor concentration in both single and binary systems. W(e) was proportional to test vapor fractional molar concentration (mole fraction) in mixtures. The kv for p-xylene was significantly different (p boiling point; however, these differences were apparently of limited importance in estimating 1% tb for the range of boiling points tested (111 to 180 degrees C). Although the polarity and boiling point of chemicals in the range tested are not practically important in predicting 1% tb with the Wheeler model, an effect due to probe boiling point is suggested, and tests with chemicals of more widely ranging boiling point are warranted. Since the 1% tb, and thus, respirator service life, depends mainly on total vapor concentration, these data underscore the importance of taking into account the presence of other vapors when estimating respirator service life for a vapor in a mixture.

  19. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  20. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  1. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  2. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  3. On the vapor-liquid equilibrium in hydroprocessing reactors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, J.; Munteanu, M.; Farooqi, H. [National Centre for Upgrading Technology, Devon, AB (Canada)

    2009-07-01

    When petroleum distillates undergo hydrotreating and hydrocracking, the feedstock and hydrogen pass through trickle-bed catalytic reactors at high temperatures and pressures with large hydrogen flow. As such, the oil is partially vaporized and the hydrogen is partially dissolved in liquid to form a vapor-liquid equilibrium (VLE) system with both vapor and liquid phases containing oil and hydrogen. This may result in considerable changes in flow rates, physical properties and chemical compositions of both phases. Flow dynamics, mass transfer, heat transfer and reaction kinetics may also be modified. Experimental observations of VLE behaviours in distillates with different feedstocks under a range of operating conditions were presented. In addition, VLE was predicted along with its effects on distillates in pilot and commercial scale plants. tabs., figs.

  4. Research progress of on-line automatic monitoring of chemical oxygen demand (COD) of water

    Science.gov (United States)

    Cai, Youfa; Fu, Xing; Gao, Xiaolu; Li, Lianyin

    2018-02-01

    With the increasingly stricter control of pollutant emission in China, the on-line automatic monitoring of water quality is particularly urgent. The chemical oxygen demand (COD) is a comprehensive index to measure the contamination caused by organic matters, and thus it is taken as one important index of energy-saving and emission reduction in China’s “Twelve-Five” program. So far, the COD on-line automatic monitoring instrument has played an important role in the field of sewage monitoring. This paper reviews the existing methods to achieve on-line automatic monitoring of COD, and on the basis, points out the future trend of the COD on-line automatic monitoring instruments.

  5. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  6. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  7. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  8. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  9. Uniformly Distributed Graphene Domain Grows on Standing Copper via Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2013-01-01

    Full Text Available Uniformly distributed graphene domains were synthesized on standing copper foil by a low-pressure chemical vapor deposition system. This method improved the distribution of the graphene domains at different positions on the same piece of copper foil along the forward direction of the gas flow. Scanning electron microscopy (SEM showed the average size of the graphene domains to be about ~20 m. This results show that the sheet resistance of monolayer graphene on a polyethylene terephthalate (PET substrate is about ~359 /□ whereas that of the four-layer graphene films is about ~178 /□, with a transmittance value of 88.86% at the 550 nm wavelength. Furthermore, the sheet resistance can be reduced with the addition of HNO3 resulting in a value of 84 /□. These values meet the absolute standard for touch sensor applications, so we believe that this method can be a candidate for some transparent conductive electrode applications.

  10. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  11. Method for Hot Real-Time Sampling of Pyrolysis Vapors

    Energy Technology Data Exchange (ETDEWEB)

    Pomeroy, Marc D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-29

    Biomass Pyrolysis has been an increasing topic of research, in particular as a replacement for crude oil. This process utilizes moderate temperatures to thermally deconstruct the biomass which is then condensed into a mixture of liquid oxygenates to be used as fuel precursors. Pyrolysis oils contain more than 400 compounds, up to 60 percent of which do not re-volatilize for subsequent chemical analysis. Vapor chemical composition is also complicated as additional condensation reactions occur during the condensation and collection of the product. Due to the complexity of the pyrolysis oil, and a desire to catalytically upgrade the vapor composition before condensation, online real-time analytical techniques such as Molecular Beam Mass Spectrometry (MBMS) are of great use. However, in order to properly sample hot pyrolysis vapors, many challenges must be overcome. Sampling must occur within a narrow range of temperatures to reduce product composition changes from overheating or partial condensation or plugging of lines from condensed products. Residence times must be kept at a minimum to reduce further reaction chemistries. Pyrolysis vapors also form aerosols that are carried far downstream and can pass through filters resulting in build-up in downstream locations. The co-produced bio-char and ash from the pyrolysis process can lead to plugging of the sample lines, and must be filtered out at temperature, even with the use of cyclonic separators. A practical approach for considerations and sampling system design, as well as lessons learned are integrated into the hot analytical sampling system of the National Renewable Energy Laboratory's (NREL) Thermochemical Process Development Unit (TCPDU) to provide industrially relevant demonstrations of thermochemical transformations of biomass feedstocks at the pilot scale.

  12. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  13. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  14. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  15. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  16. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  17. Chemical exchange effects in spectral line shapes

    International Nuclear Information System (INIS)

    Diaz, M.A.; Veguillas, J.

    1990-01-01

    A theory of spectral-line shapes has been extended to the case in which relaxation broadening may be influenced by reactive interactions. This extension is valid for gaseous systems in the same way it is valid for condensed media, and particularly, for such chemical mechanisms as isomerizations. The dependence of the spectral rate on the chemical exchange rate is clarified. Finally, a discussion concerning the above aspects and their applications has been included. (author)

  18. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  19. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  20. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  1. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  2. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  3. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  4. ISO observations of far-infrared rotational emission lines of water vapor toward the supergiant star VY Canis Majoris

    OpenAIRE

    Neufeld, David A.; Feuchtgruber, Helmut; Harwit, Martin; Melnick, Gary J.

    1999-01-01

    We report the detection of numerous far-infrared emission lines of water vapor toward the supergiant star VY Canis Majoris. A 29.5 - 45 micron grating scan of VY CMa, obtained using the Short Wavelength Spectrometer (SWS) of the Infrared Space Observatory (ISO) at a spectral resolving power of approximately 2000, reveals at least 41 spectral features due to water vapor that together radiate a total luminosity ~ 25 solar luminosities. In addition to pure rotational transitions within the groun...

  5. Stick-Slip Motion of Moving Contact Line on Chemically Patterned Surfaces

    KAUST Repository

    Wu, Congmin; Lei, Siulong; Qian, Tiezheng; Wang, Xiaoping

    2009-01-01

    Based on our continuum hydrodynamic model for immiscible two-phase flows at solid surfaces, the stick-slip motion has been predicted for moving contact line at chemically patterned surfaces [Wang et al., J. Fluid Mech., 605 (2008), pp. 59-78]. In this paper we show that the continuum predictions can be quantitatively verified by molecular dynamics (MD) simulations. Our MD simulations are carried out for two immiscible Lennard-Jones fluids confined by two planar solid walls in Poiseuille flow geometry. In particular, one solid surface is chemically patterned with alternating stripes. For comparison, the continuum model is numerically solved using material parameters directly measured in MD simulations. From oscillatory fluid-fluid interface to intermittent stick-slip motion of moving contact line, we have quantitative agreement between the continuum and MD results. This agreement is attributed to the accurate description down to molecular scale by the generalized Navier boundary condition in our continuum model. Numerical results are also presented for the relaxational dynamics of fluid-fluid interface, in agreement with a theoretical analysis based on the Onsager principle of minimum energy dissipation. © 2010 Global-Science Press.

  6. Portable device for generation of ultra-pure water vapor feeds

    Science.gov (United States)

    Velin, P.; Stenman, U.; Skoglundh, M.; Carlsson, P.-A.

    2017-11-01

    A portable device for the generation of co-feeds of water vapor has been designed, constructed, and evaluated for flexible use as an add-on component to laboratory chemical reactors. The vapor is formed by catalytic oxidation of hydrogen, which benefits the formation of well-controlled minute concentrations of ultra-pure water. Analysis of the effluent stream by on-line mass spectrometry and Fourier transform infrared spectroscopy confirms that water vapor can be, with high precision, generated both rapidly and steadily over extended periods in the range of 100 ppm to 3 vol. % (limited by safety considerations) using a total flow of 100 to 1500 ml/min at normal temperature and pressure. Further, the device has been used complementary to a commercial water evaporator and mixing system to span water concentrations up to 12 vol. %. Finally, an operando diffuse reflective infrared Fourier transform spectroscopic measurement of palladium catalysed methane oxidation in the absence and presence of up to 1.0 vol. % water has been carried out to demonstrate the applicability of the device for co-feeding well-controlled low concentrations of water vapor to a common type of spectroscopic experiment. The possibilities of creating isotopically labeled water vapor as well as using tracer gases for dynamic experiments are discussed.

  7. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  8. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  9. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  11. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  12. Synthesis of Y-Tip Graphitic Nanoribbons from Alcohol Catalytic Chemical Vapor Deposition on Piezoelectric Substrate

    Directory of Open Access Journals (Sweden)

    Zainab Yunusa

    2015-01-01

    Full Text Available We report the synthesis of Graphitic Nanoribbons (GNRs using Alcohol Catalytic Chemical Vapor Deposition (ACCVD. Bulk GNR was synthesized directly on a piezoelectric substrate using one-step ACCVD. The synthesized GNRs were characterized by X-Ray Diffraction (XRD, Scanning Electron Microscope (SEM, Transmission Electron Microscope (TEM, Energy Dispersive X-Ray (EDX, Atomic Force Microscopy (AFM, and Raman spectroscopy. The characterization results showed Y-tip morphology of bulk and filamentous as-grown GNR having varying width that lies between tens and hundreds of nm and length of several microns. Based on the thickness obtained from the AFM and the analysis from the Raman spectroscopy, it was concluded that the synthesized GNRs are multiple-layered and graphitic in nature. With the direct synthesis of GNR on a piezoelectric substrate, it could have applications in the sensor industries, while the Y-tip GNR could have potentialities in semiconductor applications.

  13. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  15. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  16. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiang, E-mail: xiangliwj@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Wang, Lin [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Yu, Xiaoming [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Feng, Yafei [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Wang, Chengtao [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Yang, Ke [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Su, Daniel [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China)

    2013-07-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold.

  17. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    International Nuclear Information System (INIS)

    Li, Xiang; Wang, Lin; Yu, Xiaoming; Feng, Yafei; Wang, Chengtao; Yang, Ke; Su, Daniel

    2013-01-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold

  18. Coagulation sensors based on magnetostrictive delay lines for biomedical and chemical engineering applications

    International Nuclear Information System (INIS)

    Maliaritsi, E.; Zoumpoulakis, L.; Simitzis, J.; Vassiliou, P.; Hristoforou, E.

    2006-01-01

    Coagulation sensors based on the magnetostrictive delay line technique are presented in this paper. They are based on magnetostrictive ribbons and are used for measuring the coagulation, curing or solidification time of different liquids. Experimental results indicate that the presented sensing elements can determine the blood coagulation with remarkable repeatability, thus allowing their use as blood coagulation sensors. Additionally, results indicate that they can also measure curing time of resins, solidification of fluids and coagulation of chemical substances, therefore allowing their implementation in chemical engineering applications

  19. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  20. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  1. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  2. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  3. Chemical vapor deposition of aluminide coatings on iron, nickel and superalloys

    International Nuclear Information System (INIS)

    John, John T.; De, P.K.; Dubey, Vivekanand; Srinivasa, Raman

    2009-08-01

    Aluminide coatings are a class of intermetallic coatings applied on nickel and cobalt base superalloys and steels to protect them from different forms of environmental degradation at high temperatures. In this report a CVD system that can produce the aluminide coatings on iron, nickel and nickel base alloys has been described and the result of chemical vapor deposition of aluminide coatings on iron specimens, their characterization, and property evaluation have been presented. The CVD system consists of an AlCl 3 bath, a stainless steel retort as a hot-wall reacto, cold traps and vacuum system. Aluminium chloride vapor was carried in a stream of hydrogen gas at a flow rate of 150 SCCM (standard cubic centimeter per minute) into the CVD reactor maintained in the temperature range of 1173 - 1373 K and at a pressure of 1.33 kPa (10 Torr). Aluminum deposition takes place from aluminium subchlorides produced by reaction between AlCl 3 and pure aluminum kept in the CVD reactor. The aluminum diffuses into the iron samples and iron aluminide phases are formed at the surface. The coatings were shining bright and showed good adherence to the substrate. The coatings consisted of FeAl phase over a wide range of experimental conditions. The growth kinetics of the coating followed a parabolic rate law and the mean activation energy was 212 ±16 kJ/mol. Optical microscopic studies on the transverse section of the coating showed that the aluminide coating on iron consisted of two layers. The top layer had a thickness in the range of 20-50 μm, and the under layer had thickness ranging from 35 to 250 μm depending on coating temperature in two hours. The thickness of the aluminide layer increased with coating duration and temperature. Electron microprobe studies (EPMA) showed that the aluminum concentration decreased steadily as distance from the surface increased. TEM studies showed that the outer most layer had a B2 order (of the FeAl phase), which extended even into the under

  4. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  5. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  6. Influence of absorption by environmental water vapor on radiation transfer in wildland fires

    Science.gov (United States)

    D. Frankman; B. W. Webb; B. W. Butler

    2008-01-01

    The attenuation of radiation transfer from wildland flames to fuel by environmental water vapor is investigated. Emission is tracked from points on an idealized flame to locations along the fuel bed while accounting for absorption by environmental water vapor in the intervening medium. The Spectral Line Weighted-sum-of-gray-gases approach was employed for treating the...

  7. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  8. Determination of Hg(II) in waters by on-line preconcentration using Cyanex 923 as a sorbent - Cold vapor atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duan Taicheng; Song Xuejie; Xu Jingwei; Guo Pengran; Chen Hangting; Li Hongfei

    2006-01-01

    Using a solid phase extraction mini-column home-made from a neutral extractant Cyanex 923, inorganic Hg could be on-line preconcentrated and simultaneously separated from methyl mercury. The preconcentrated Hg (II) was then eluted with 10% HNO 3 and subsequently reduced by NaBH 4 to form Hg vapor before determination by cold vapor atomic absorption spectrometry (CVAAS). Optimal conditions for and interferences on the Hg preconcentration and measurement were at 1% HCl, for a 25 mL sample uptake volume and a 10 mL min -1 sample loading rate. The detection limit was 0.2 ng L -1 and much lower than that of conventional method (around 15.8 ng L -1 ). The relative standard deviation (RSD) is 1.8% for measurements of 40 ng L -1 of Hg and the linear working curve is from 20 to 2000 ng L -1 (with a correlation coefficient of 0.9996). The method was applied in determination of inorganic Hg in city lake and deep well water (from Changchun, Jilin, China), and recovery test results for both samples were satisfactory

  9. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  10. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  11. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  12. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  13. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  14. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  15. Infrared Space Observatory Observations of Far-Infrared Rotational Emission Lines of Water Vapor toward the Supergiant Star VY Canis Majoris

    Science.gov (United States)

    Neufeld, David A.; Feuchtgruber, Helmut; Harwit, Martin; Melnick, Gary J.

    1999-06-01

    We report the detection of numerous far-infrared emission lines of water vapor toward the supergiant star VY Canis Majoris. A 29.5-45 μm grating scan of VY CMa, obtained using the Short-Wavelength Spectrometer (SWS) of the Infrared Space Observatory at a spectral resolving power λ/Δλ of ~2000, reveals at least 41 spectral features due to water vapor that together radiate a total luminosity of ~25 Lsolar. In addition to pure rotational transitions within the ground vibrational state, these features include rotational transitions within the (010) excited vibrational state. The spectrum also shows the 2Π1/2(J=5/2)VY CMa were carried out in the instrument's Fabry-Perot mode for three water transitions: the 725-616 line at 29.8367 μm, the 441-312 line at 31.7721 μm, and the 432-303 line at 40.6909 μm. The higher spectral resolving power λ/Δλ of approximately 30,000 thereby obtained permits the line profiles to be resolved spectrally for the first time and reveals the ``P Cygni'' profiles that are characteristic of emission from an outflowing envelope. Based on observations with ISO, an ESA project with instruments funded by ESA Member States (especially the PI countries: France, Germany, the Netherlands, and the UK) with the participation of ISAS and NASA.

  16. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  17. General-purpose chemical analyzer for on-line analyses of radioactive solutions

    International Nuclear Information System (INIS)

    Spencer, W.A.; Kronberg, J.W.

    1983-01-01

    An automated analyzer is being developed to perform analytical measurements on radioactive solutions on-line in a hostile environment. This General Purpose Chemical Analyzer (GPCA) samples a process stream, adds reagents, measures solution absorbances or electrode potentials, and automatically calculates the results. The use of modular components, under microprocessor control, permits a single analyzer design to carry out many types of analyses. This paper discusses the more important design criteria for the GPCA, and describes the equipment being tested in a prototype unit

  18. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  19. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  20. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  1. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  2. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  3. Non-catalytic direct synthesis of graphene on Si (111) wafers by using inductively-coupled plasma chemical vapor deposition

    Science.gov (United States)

    Hwang, Sung Won; Shin, Hyunho; Lee, Bongsoo; Choi, Suk-Ho

    2016-08-01

    We employ inductively-coupled plasma chemical vapor deposition for non-catalytic growth of graphene on a Si (111) wafer or glass substrate, which is useful for practical device applications of graphene without transfer processes. At a RF power (P) of 500 W under C2H2 flow, defect-free 3 ˜ 5-layer graphene is grown on Si (111) wafers, but on glass substrate, the layer is thicker and defective, as characterized by Raman spectroscopy and electron microscopy. The graphene is produced on Si (111) for P down to 190 W whereas it is almost not formed on glass for P < 250 W, possibly resulting from the weak catalytic-reaction-like effect on glass. These results are discussed based on possible growth mechanisms.

  4. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  5. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  6. Water-assisted growth of graphene on carbon nanotubes by the chemical vapor deposition method.

    Science.gov (United States)

    Feng, Jian-Min; Dai, Ye-Jing

    2013-05-21

    Combining carbon nanotubes (CNTs) with graphene has been proved to be a feasible method for improving the performance of graphene for some practical applications. This paper reports a water-assisted route to grow graphene on CNTs from ferrocene and thiophene dissolved in ethanol by the chemical vapor deposition method in an argon flow. A double injection technique was used to separately inject ethanol solution and water for the preparation of graphene/CNTs. First, CNTs were prepared from ethanol solution and water. The injection of ethanol solution was suspended and water alone was injected into the reactor to etch the CNTs. Thereafter, ethanol solution was injected along with water, which is the key factor in obtaining graphene/CNTs. Transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and Raman scattering analyses confirmed that the products were the hybrid materials of graphene/CNTs. X-ray photo-electron spectroscopy analysis showed the presence of oxygen rich functional groups on the surface of the graphene/CNTs. Given the activity of the graphene/CNT surface, CdS quantum dots adhered onto it uniformly through simple mechanical mixing.

  7. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  8. Nonradioactive Environmental Emissions Chemical Source Term for the Double-Shell Tank (DST) Vapor Space During Waste Retrieval Operations

    International Nuclear Information System (INIS)

    MAY, T.H.

    2000-01-01

    A nonradioactive chemical vapor space source term for tanks on the Phase 1 and the extended Phase 1 delivery, storage, and disposal mission was determined. Operations modeled included mixer pump operation and DST waste transfers. Concentrations of ammonia, specific volatile organic compounds, and quantitative volumes of aerosols were estimated

  9. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  10. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  11. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  12. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  13. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  14. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  15. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  16. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  17. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  18. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  19. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  20. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  1. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  2. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  3. The influence of chemical composition of LNG on the supercritical heat transfer in an intermediate fluid vaporizer

    Science.gov (United States)

    Xu, Shuangqing; Chen, Xuedong; Fan, Zhichao; Chen, Yongdong; Nie, Defu; Wu, Qiaoguo

    2018-04-01

    A three-dimensional transient computational fluid dynamics (CFD) model has been established for the simulations of supercritical heat transfer of real liquefied natural gas (LNG) mixture in a single tube and a tube bundle of an intermediate fluid vaporizer (IFV). The influence of chemical composition of LNG on the thermal performance has been analyzed. The results have also been compared with those obtained from the one-dimensional steady-state calculations using the distributed parameter model (DPM). It is found that the current DPM approach can give reasonable prediction accuracy for the thermal performance in the tube bundle but unsatisfactory prediction accuracy for that in a single tube as compared with the corresponding CFD data. As benchmarked against pure methane, the vaporization of an LNG containing about 90% (mole fraction) of methane would lead to an absolute deviation of 5.5 K in the outlet NG temperature and a maximum relative deviation of 11.4% in the tube side HTC in a bundle of about 816 U tubes at the inlet pressure of 12 MPa and mass flux of 200 kg·m-2·s-1. It is concluded that the influence of LNG composition on the thermal performance should be taken into consideration in order to obtain an economic and reliable design of an IFV.

  4. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  5. Water-vapor absorption line measurements in the 940-nm band by using a Raman-shifted dye laser

    Science.gov (United States)

    Chu, Zhiping; Wilkerson, Thomas D.; Singh, Upendra N.

    1993-01-01

    We report water-vapor absorption line measurements that are made by using the first Stokes radiation (930-982 nm) with HWHM 0.015/cm generated by a narrow-linewidth, tunable dye laser. Forty-five absorption line strengths are measured with an uncertainty of 6 percent and among them are fourteen strong lines that are compared with previous measurements for the assessment of spectral purity of the light source. Thirty air-broadened linewidths are measured with 8 percent uncertainty at ambient atmospheric pressure with an average of 0.101/cm. The lines are selected for the purpose of temperature-sensitive or temperature-insensitive lidar measurements. Results for these line strengths and linewidths are corrected for broadband radiation and finite laser linewidth broadening effects and compared with the high-resolution transmission molecular absorption.

  6. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  7. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  8. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  9. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  10. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  11. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  12. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  13. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  14. Three dimensional graphene synthesis on nickel foam by chemical vapor deposition from ethylene

    International Nuclear Information System (INIS)

    Trinsoutrot, Pierre; Vergnes, Hugues; Caussat, Brigitte

    2014-01-01

    Highlights: • 3D multi-layers graphene networks were synthesized from ethylene on nickel foam. • The weight of graphene increased with run duration and when decreasing temperature. • Weight percentages of graphene as high as 15% were obtained. • A continuous mechanism of graphene formation probably exists in presence of ethylene. -- Abstract: 3D multi-layers graphene networks were synthesized on nickel foam from ethylene between 700 and 1000 °C by chemical vapor deposition. Large nickel foam substrates were used allowing the accurate measurement of graphene masses. The weight of graphene increased with run duration and when decreasing temperature. Graphene was also present inside the hollow branches of the foam. We demonstrated that the weights of graphene formed largely exceed the masses corresponding to carbon solubility into nickel. Indeed weight percentages of graphene as high as 15% were obtained, corresponding to graphene layers of 500 nm to 1 μm thick. This means that graphene formation could not be due only to carbon dissolution into nickel and then precipitation during the cooling step. Another mechanism probably co-exists, involving continuous graphene formation in presence of ethylene either by segregation from the dissolved carbon into nickel or by surface CVD growth

  15. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  16. Simulation of the Dynamics of Isothermal Growth of Single-Layer Graphene on a Copper Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2018-01-01

    A new kinetic model of isothermal growth of single-layer graphene on a copper catalyst as a result of the chemical vapor deposition of hydrocarbons on it at a low pressure has been developed on the basis of in situ measurements of the growth of graphene in the process of its synthesis. This model defines the synthesis of graphene with regard for the chemisorption and catalytic decomposition of ethylene on the surface of a copper catalyst, the diffusion of carbon atoms in the radial direction to the nucleation centers within the thin melted near-surface copper layer, and the nucleation and autocatalytic growth of graphene domains. It is shown that the time dependence of the rate of growth of a graphene domain has a characteristic asymmetrical bell-like shape. The dependences of the surface area and size of a graphene domain and the rate of its growth on the time at different synthesis temperatures and ethylene concentrations have been obtained. Time characteristics of the growth of graphene domains depending on the parameters of their synthesis were calculated. The results obtained can be used for determining optimum regimes of synthesis of graphene in the process of chemical vapor deposition of hydrocarbons on different catalysts with a low solubility of carbon.

  17. Growth of InAs Quantum Dots on Germanium Substrate Using Metal Organic Chemical Vapor Deposition Technique

    Directory of Open Access Journals (Sweden)

    Tyagi Renu

    2009-01-01

    Full Text Available Abstract Self-assembled InAs quantum dots (QDs were grown on germanium substrates by metal organic chemical vapor deposition technique. Effects of growth temperature and InAs coverage on the size, density, and height of quantum dots were investigated. Growth temperature was varied from 400 to 450 °C and InAs coverage was varied between 1.40 and 2.35 monolayers (MLs. The surface morphology and structural characteristics of the quantum dots analyzed by atomic force microscope revealed that the density of the InAs quantum dots first increased and then decreased with the amount of InAs coverage; whereas density decreased with increase in growth temperature. It was observed that the size and height of InAs quantum dots increased with increase in both temperature and InAs coverage. The density of QDs was effectively controlled by growth temperature and InAs coverage on GaAs buffer layer.

  18. Controls on water vapor isotopes over Roorkee, India: Impact of convective activities and depression systems

    Science.gov (United States)

    Saranya, P.; Krishan, Gopal; Rao, M. S.; Kumar, Sudhir; Kumar, Bhishm

    2018-02-01

    The study evaluates the water vapor isotopic compositions and its controls with special reference to Indian Summer Monsoon (ISM) season at Roorkee, India. Precipitation is usually a discrete event spatially and temporally in this part of the country, therefore, the information provided is limited, while, the vapors have all time availability and have a significant contribution in the hydrological cycle locally or over a regional scale. Hence for understanding the processes altering the various sources, its isotopic signatures were studied. The Isotope Water Vapour Line (Iso Val) was drawn together with the Global Meteoric Water Line (GMWL) and the best fit line was δD = 5.42 * δ18O + 27.86. The precipitation samples were also collected during the study period and were best fitted with δD = 8.20(±0.18) * δ18O + 9.04(±1.16) in the Local Meteoric Water Line (LMWL). From the back trajectory analysis of respective vapor samples, it is unambiguous that three major sources viz; local vapor, western disturbance and monsoon vapor are controlling the fate of moisture over Roorkee. The d-excess in ground-level vapor (GLV) reveals the supply of recycled moisture from continental water bodies and evapo-transpiration as additional moisture sources to the study area. The intensive depletion in isotopic ratios was associated with the large-scale convective activity and low-pressure/cyclonic/depression systems formed over Bay of Bengal.

  19. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  20. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  1. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  2. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  3. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  4. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  5. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  6. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  7. Advanced zirconia-coated carbonyl-iron particles for acidic magnetorheological finishing of chemical-vapor-deposited ZnS and other IR materials

    Science.gov (United States)

    Salzman, S.; Giannechini, L. J.; Romanofsky, H. J.; Golini, N.; Taylor, B.; Jacobs, S. D.; Lambropoulos, J. C.

    2015-10-01

    We present a modified version of zirconia-coated carbonyl-iron (CI) particles that were invented at the University of Rochester in 2008. The amount of zirconia on the coating is increased to further protect the iron particles from corrosion when introduced to an acidic environment. Five low-pH, magnetorheological (MR) fluids were made with five acids: acetic, hydrochloric, nitric, phosphoric, and hydrofluoric. All fluids were based on the modified zirconia-coated CI particles. Off-line viscosity and pH stability were measured for all acidic MR fluids to determine the ideal fluid composition for acidic MR finishing of chemical-vapor-deposited (CVD) zinc sulfide (ZnS) and other infrared (IR) optical materials, such as hot-isostatic-pressed (HIP) ZnS, CVD zinc selenide (ZnSe), and magnesium fluoride (MgF2). Results show significant reduction in surface artifacts (millimeter-size, pebble-like structures on the finished surface) for several standard-grade CVD ZnS substrates and good surface roughness for the non-CVD MgF2 substrate when MR finished with our advanced acidic MR fluid.

  8. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  9. Characterizations of arsenic-doped zinc oxide films produced by atmospheric metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Weng, Li-Wei, E-mail: onlyway54@hotmail.com [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming; Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Wu, Chih-Hung; Hong, Hwe-Fen; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Shen, Chin-Chang [Chemical Engineering Division, Institute of Nuclear Energy Research, Longtan Township, Taoyuan 32546, Taiwan (China)

    2013-07-15

    p-type ZnO films were prepared by atmospheric metal-organic chemical vapor deposition technique using arsine (AsH{sub 3}) as the doping source. The electrical and optical properties of arsenic-doped ZnO (ZnO:As) films fabricated at 450–600 °C with various AsH{sub 3} flow rates ranging from 8 to 21.34 μmol/min were analyzed and compared. Hall measurements indicate that stable p-type ZnO films with hole concentrations varying from 7.2 × 10{sup 15} to 5.8 × 10{sup 18} cm{sup −3} could be obtained. Besides, low temperature (17 K) photoluminescence spectra of all ZnO:As films also demonstrate the dominance of the line related to the neutral acceptor-bound exciton. Moreover, the elemental identity and chemical bonding information for ZnO:As films were examined by X-ray photoelectron spectroscopy. Based on the results obtained, the effects of doping conditions on the mechanism responsible for the p-type conduction were studied. Conclusively, a simple technique to fabricate good-quality p-type ZnO films has been recognized in this work. Depositing the film at 550 °C with an AsH{sub 3} flow rate of 13.72 μmol/min is appropriate for producing hole concentrations on the order of 10{sup 17} cm{sup −3} for it. Ultimately, by increasing the AsH{sub 3} flow rate to 21.34 μmol/min for doping and depositing the film at 600 °C, ZnO:As films with a hole concentration over 5 × 10{sup 18} cm{sup −3} together with a mobility of 1.93 cm{sup 2}V{sup −1} s{sup −1} and a resistivity of 0.494 ohm-cm can be achieved.

  10. Modeling UTLS water vapor: Transport/Chemistry interactions

    International Nuclear Information System (INIS)

    Gulstad, Line

    2005-01-01

    This thesis was initially meant to be a study on the impact on chemistry and climate from UTLS water vapor. However, the complexity of the UTLS water vapor and its recent changes turned out to be a challenge by it self. In the light of this, the overall motivation for the thesis became to study the processes controlling UTLS water vapor and its changes. Water vapor is the most important greenhouse gas, involved in important climate feedback loops. Thus, a good understanding of the chemical and dynamical behavior of water vapor in the atmosphere is crucial for understanding the climate changes in the last century. Additionally, parts of the work was motivated by the development of a coupled climate chemistry model based on the CAM3 model coupled with the Chemical Transport Model Oslo CTM2. The future work will be concentrated on the UTLS water vapor impact on chemistry and climate. We are currently studying long term trends in UTLS water vapor, focusing on identification of the different processes involved in the determination of such trends. The study is based on natural as well as anthropogenic climate forcings. The ongoing work on the development of a coupled climate chemistry model will continue within our group, in collaboration with Prof. Wei-Chyung Wang at the State University of New York, Albany. Valuable contacts with observational groups are established during the work on this thesis. These collaborations will be continued focusing on continuous model validation, as well as identification of trends and new features in UTLS water vapor, and other tracers in this region. (Author)

  11. An analysis of the growth of silver catalyzed In{sub x}Ga{sub 1−x}As nanowires on Si (100) by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, K.; Banerji, P., E-mail: pallab@matsc.iitkgp.ernet.in [Materials Science Centre, Indian Institute of Technology, Kharagpur 721302 (India); Palit, M.; Chattopadhyay, S. [Centre for Research in Nanoscience and Nanotechnology, University of Calcutta, Kolkata 700098 (India)

    2016-08-28

    A model is proposed here to understand the nucleation of III–V semiconductor nanowires (NW). Whereas the classical nucleation theory is not adequately sufficient in explaining the evolution of the shape of the NWs under different chemical environment such as flow rate or partial pressure of the precursors, the effect of adsorption and desorption mediated growth, and diffusion limited growth are taken into account to explain the morphology and the crystal structure of In{sub x}Ga{sub 1−x}As nanowires (NW) on Silicon (100) substrates grown by a metalorganic chemical vapor deposition technique. It is found that the monolayer nucleus that originates at the triple phase line covers the entire nucleus-substrate (NS) region at a specific level of supersaturation and there are cases when the monolayer covers a certain fraction of the NS interface. When the monolayer covers the total NS interface, NWs grow with perfect cylindrical morphology and whenever a fraction of the interface is covered by the nucleus, the NWs become curved as observed from high resolution transmission electron microscopy images. The supersaturation, i.e., the chemical potential is found to be governed by the concentration of precursors into the molten silver which in the present case is taken as a catalyst. Our study provides new insights into the growth of ternary NWs which will be helpful in understanding the behavior of growth of different semiconducting NWs.

  12. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  13. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  14. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  15. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  16. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  17. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  18. Characterization and electrolytic cleaning of poly(methyl methacrylate) residues on transferred chemical vapor deposited graphene

    Science.gov (United States)

    Sun, Jianbo; Finklea, Harry O.; Liu, Yuxin

    2017-03-01

    Poly(methyl methacrylate) (PMMA) residue has long been a critical challenge for practical applications of the transferred chemical vapor deposited (CVD) graphene. Thermal annealing is empirically used for the removal of the PMMA residue; however experiments imply that there are still small amounts of residues left after thermal annealing which are hard to remove with conventional methods. In this paper, the thermal degradation of the PMMA residue upon annealing was studied by Raman spectroscopy. The study reveals that post-annealing residues are generated by the elimination of methoxycarbonyl side chains in PMMA and are believed to be absorbed on graphene via the π-π interaction between the conjugated unsaturated carbon segments and graphene. The post-annealing residues are difficult to remove by further annealing in a non-oxidative atmosphere due to their thermal and chemical stability. An electrolytic cleaning method was shown to be effective in removing these post-annealing residues while preserving the underlying graphene lattice based on Raman spectroscopy and atomic force microscopy studies. Additionally, a solution-gated field effect transistor was used to study the transport properties of the transferred CVD graphene before thermal annealing, after thermal annealing, and after electrolytic cleaning, respectively. The results show that the carrier mobility was significantly improved, and that the p-doping was reduced by removing PMMA residues and post-annealing residues. These studies provide a more in-depth understanding on the thermal annealing process for the removal of the PMMA residues from transferred CVD graphene and a new approach to remove the post-annealing residues, resulting in a residue-free graphene.

  19. Hard X-ray photoelectron spectroscopy study for transport behavior of CsI in heating test simulating a BWR severe accident condition: Chemical effects of boron vapors

    Energy Technology Data Exchange (ETDEWEB)

    Okane, T., E-mail: okanet@spring8.or.jp [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Kobata, M. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Sato, I. [Oarai Research and Development Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Kobayashi, K. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Osaka, M. [Nuclear Science and Engineering Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Yamagami, H. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Faculty of Science, Kyoto Sangyo University, Motoyama, Kamigamo, Kita-ku, Kyoto, 603-8555 (Japan)

    2016-02-15

    Highlights: • We have clarified the temperature-dependent chemical forms of Cs/I products. • We have examined the CsI-decomposing effects of B{sub 2}O{sub 3} vapor. • The possibility of Cs re-evaporation from CsI-deposited surface is suggested. • We have demonstrated the usefulness of HAXPES on FP chemistry. - Abstract: Transport behavior of CsI in the heating test, which simulated a BWR severe accident, was investigated by hard X-ray photoelectron spectroscopy (HAXPES) with an emphasis on the chemical effect of boron vapors. CsI deposited on metal tube at temperatures ranging from 150 °C to 750 °C was reacted with vapor/aerosol B{sub 2}O{sub 3}, and the chemical form of reaction products on the sample surface was examined from the HAXPES spectra of core levels, e.g., Ni 2p, Cs 3d and I 3d levels, and valence band. For the samples at ∼300 °C, while the chemical form of major product on the sample surface without an exposure to B{sub 2}O{sub 3} was suggested to be CsI from the HAXPES spectra, an intensity ratio of Cs/I was dramatically reduced at the sample surface after the reaction with B{sub 2}O{sub 3}. The results suggest the possibility of significant decomposition of deposited CsI induced by the chemical reaction with B{sub 2}O{sub 3} at specific temperatures.

  20. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  1. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  2. Chemical investigations of isotope separation on line target units for carbon and nitrogen beams

    CERN Document Server

    Franberg, H; Gäggeler, H W; Köster, U

    2006-01-01

    Radioactive ion beams (RIBs) are of significant interest in a number of applications. Isotope separation on line (ISOL) facilities provide RIB with high beam intensities and good beam quality. An atom that is produced within the ISOL target will first diffuse out from the target material. During the effusion towards the transfer line and into the ion source the many contacts with the surrounding surfaces may cause unacceptable delays in the transport and, hence, losses of the shorter-lived isotopes. We performed systematic chemical investigations of adsorption in a temperature and concentration regime relevant for ISOL targets and ion source units, with regard to CO/sub x/ and NOmaterials are potential construction materials for the above-mentioned areas. Off-line and on-line tests have been performed using a gas thermochromatography setup with radioactive tracers. The experiments were performed at the production of tracers for atmospheric chemistry (PROTRAC) facility at the Paul Schener Institute in Villigen...

  3. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  4. Programmed temperature vaporizing injector to filter off disturbing high boiling and involatile material for on-line high performance liquid chromatography gas chromatography with on-column transfer.

    Science.gov (United States)

    Biedermann, Maurus; Grob, Koni

    2013-03-15

    Insertion of a programmed temperature vaporizing (PTV) injector under conditions of concurrent solvent recondensation (CSR) into the on-line HPLC-GC interface for on-column transfer (such as the retention gap technique with partially concurrent eluent evaporation) enables filtering off high boiling or involatile sample constituents by a desorption temperature adjusted to the required cut-off. Details of this technique were investigated and optimized. Memory effects, observed when transferred liquid was sucked backwards between the transfer line and the wall of the injector liner, can be kept low by a small purge flow rate through the transfer line at the end of the transfer and the release of the liquid through a narrow bore capillary kept away from the liner wall. The column entrance should be within the well heated zone of the injector to prevent losses of solute material retained on the liner wall during the splitless period. The desorption temperature must be maintained until an elevated oven temperature is reached to prevent peak broadening resulting of a cool inlet section in the bottom part of the injector. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  6. Novel ion-molecular surface reaction to result in CH3 adsorbates on (111) surface of chemical vapor deposition diamond from ethane and surface anionic sites

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Okada, Katsuyuki; Shimizu, Yoshiki; Moriyoshi, Yusuke

    2001-01-01

    The existence of CH 3 adsorbates on (111) surface of chemical vapor deposited diamond, which was observed by scanning tunneling microscopy, was explained by the following S N 2 (bimolecular, substitutional, and nucleophilic) type surface reaction; C(s) - +C 2 H 6 ->C(s)-CH 3 +CH 3 - , where C(s) denotes a surface carbon atom. The activation energy was estimated to be 36.78 kcal/mol and the reaction proved to be exothermic with the enthalpy change of -9.250 kcal/mol, according to ab initio molecular orbital calculations at MP2/3-21+G * //RHF/3-21G * level; this result is consistent with typical substrate temperatures, namely about 900 degree C, for chemical vapor deposition of diamond. Charge transfer from the highest occupied molecular orbital of the surface anionic site to the lowest unoccupied molecular orbital of ethane, that is antibonding at the CH 3 - CH 3 bond, has been clearly visualized. A characteristic configuration of an ethane molecule which is associated with an anionic vacant site C(s) - on hydrogenated (111) surface of diamond was also found. [copyright] 2001 American Institute of Physics

  7. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  8. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  9. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  10. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  11. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  12. Estimating enthalpy of vaporization from vapor pressure using Trouton's rule.

    Science.gov (United States)

    MacLeod, Matthew; Scheringer, Martin; Hungerbühler, Konrad

    2007-04-15

    The enthalpy of vaporization of liquids and subcooled liquids at 298 K (delta H(VAP)) is an important parameter in environmental fate assessments that consider spatial and temporal variability in environmental conditions. It has been shown that delta H(VAP)P for non-hydrogen-bonding substances can be estimated from vapor pressure at 298 K (P(L)) using an empirically derived linear relationship. Here, we demonstrate that the relationship between delta H(VAP)and PL is consistent with Trouton's rule and the ClausiusClapeyron equation under the assumption that delta H(VAP) is linearly dependent on temperature between 298 K and the boiling point temperature. Our interpretation based on Trouton's rule substantiates the empirical relationship between delta H(VAP) degree and P(L) degrees for non-hydrogen-bonding chemicals with subcooled liquid vapor pressures ranging over 15 orders of magnitude. We apply the relationship between delta H(VAP) degrees and P(L) degrees to evaluate data reported in literature reviews for several important classes of semivolatile environmental contaminants, including polycyclic aromatic hydrocarbons, chlorobenzenes, polychlorinated biphenyls and polychlorinated dibenzo-dioxins and -furans and illustrate the temperature dependence of results from a multimedia model presented as a partitioning map. The uncertainty associated with estimating delta H(VAP)degrees from P(L) degrees using this relationship is acceptable for most environmental fate modeling of non-hydrogen-bonding semivolatile organic chemicals.

  13. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    Science.gov (United States)

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  14. Effect of thermal history on the structure of chemically and vapor deposited silver films on glass

    International Nuclear Information System (INIS)

    Shelby, J.E.; Nichols, M.C.; Smith, D.K. Jr.; Vitko, J. Jr.

    1981-01-01

    The observation of silver agglomeration in second surface mirrors used for solar applications has emphasized consideration of the effect of thermal history on the optical properties of mirrors. Thermal history effects may arise from the processing of mirrors, the application of protective coatings, or from outdoor exposure. Mirrors may be subject to elevated temperatures (T less than or equal to 400 0 C) for short periods of time, or to low temperatures (T less than or equal to 60 0 C) for long (less than or equal to 30 years) periods of time. Although a significant amount of work has been done on thermally driven agglomeration of silver films, most of these studies have been restricted to vapor deposited films on vitreous silica. Large area reflectors, such as those used in heliostats, will almost certainly be deposited by commercial chemical methods on substrates of soda-lime-silicate or other glasses which differ considerably from vitreous silica in composition and properties. The present study addresses the effect of this change in deposition technique and substrate on silver agglomeration. These problems were studied by optical and scanning electron microscopy, reflectometry, and x-ray diffraction. The results indicate that both the method used to deposit the silver and the type of glass affect the agglomeration process and the character of the reflective film

  15. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  16. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  17. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  18. Photography of a lithium vapor trail during the daytime.

    Science.gov (United States)

    Bedinger, J. F.

    1973-01-01

    Barium and lithium vapors were released from sounding rockets in the thermosphere and observed from aboard a jet aircraft at an altitude of 40,000 ft. The purpose of the releases was to demonstrate the feasibility of an all-weather technique for observing chemical releases and to evaluate methods of observing daytime releases. The selected flight plan of the aircraft allowed a series of observations of the trail from two different straight line paths. Data were recorded photographically. The reduction in sky brightness at the 40,000-ft altitude as compared to the ground allows the use of a filter with a 10-A bandwidth for trail photography in the daytime. These photographs verified the calculation of the usable angular field of the narrow-band filters. Photographs of a 45-min-old trail of lithium vapor were obtained up to 20 min after sunrise at the aircraft. It is concluded that now vapor trail observations may be made during the daytime without regard to weather and logistic restrictions.

  19. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    from any external contemporary hydrothermal activity. Subsequent fracturing is localized by the embrittled wallrock to provide high-permeability fracture arrays that constrain vapor expansion with minimization of heat loss. The Sulfide Stage vein sequence is then a consequence of destabilization of metal-vapor species in response to depressurization and decrease in vapor density. The geology, mineralogy, fluid inclusion and stable isotope data and geothermometry for high-sulfidation, bulk-tonnage and lode deposits are quite different from those for epithermal gold-silver deposits such as McLaughlin, California that formed near-surface in groundwater-dominated hydrothermal systems where magmatic fluid has been diluted to less than about 30%. High sulfidation gold deposits are better termed 'Solfataric Gold Deposits' to emphasize this distinction. The magmatic-vapor expansion hypothesis also applies to the phenomenology of acidic geothermal systems in active volcanic systems and equivalent magmatic-vapor discharges on the flanks of submarine volcanoes. ?? 2010.

  20. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  1. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  4. Synthesis of freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition (CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Subash; Jaisi, Balaram Paudel; Sharma, Kamal Prasad; Ibrahim Araby, Mona; Kalita, Golap; Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Nagoya (Japan)

    2018-01-15

    In this work, we report the synthesis of two new forms of WS{sub 2} nanostructures - freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition. It is observed that dislocation-driven growth causes WS{sub 2} crystals to grow and merge in both vertical and horizontal directions to form the pyramidal tree. During the formation of WS{sub 2} fibers, the presence of two-step growth was demonstrated. It is observed that sulphurization of WO{sub 3} nanoparticle leads to formation of WS{sub 2} rod in the first stage, followed by second stage in which selective growth causes some WS{sub 2} layers grow faster compared to other ones leading to the formation of fibrous WS{sub 2} structure. Fibers synthesized by our reported method have highly exposed WS{sub 2} layers which can demonstrate interesting catalytic and edge related properties or can be functionalized for future applications. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  6. Fiber-reinforced ceramic matrix composites processed by a hybrid technique based on chemical vapor infiltration, slurry impregnation and spark plasma sintering

    International Nuclear Information System (INIS)

    Magnant, J.; Pailler, R.; Le Petitcorps, Y.; Maille, L.; Guette, A.; Marthe, J.

    2013-01-01

    Fabrication of multidirectional continuous carbon and silicon carbide fiber reinforced ceramic matrix composites (CMC) by a new short time hybrid process was studied. This process is based, first, on the deposition of fiber interphase and coating by chemical vapor infiltration, next, on the introduction of silicon nitride powders into the fibrous preform by slurry impregnation and, finally, on the densification of the composite by liquid phase spark plasma sintering (LP-SPS). The homogeneous introduction of the ceramic charges into the multidirectional fiber pre-forms was realized by slurry impregnation from highly concentrated and well-dispersed aqueous colloid suspensions. The chemical degradation of the carbon fibers during the fabrication was prevented by adapting the sintering pressure cycle. The composites manufactured are dense. Microstructural analyses were conducted to explain the mechanical properties achieved. One main important result of this study is that LP-SPS can be used in some hybrid processes to densify fiber reinforced CMC. (authors)

  7. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  8. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  9. SEPARATION OF WATER VAPORS FROM AIR BY SORPTION ON SOME COMPOSITE MATERIALS

    Directory of Open Access Journals (Sweden)

    OANA HAUTĂ

    2014-01-01

    Full Text Available This work presents an experimental investigation of the kinetics of water vapor sorption on two composites synthesized by impregnating activated carbon and activated alumina respectively with lithium bromide (named as MCA2 and MCC2 respectively. The obtained results showed an increase in water amount adsorbed on both composite materials. Due to different chemical natures of the host matrices, the water sorption kinetics on MCC2 is faster compared to that of MCA2. The presence of calcium chloride instead of lithium bromide in alumina pores will determine a shorter breakthrough time and a higher adsorption rate of water vapors.

  10. Detection of organic vapors on sputtered and annealed thin Au films

    Science.gov (United States)

    Kvitek, O.; Kopacek, V.; Reznickova, A.; Svorcik, V.

    2018-03-01

    Unique optical properties of metal nanostructures enable construction of new types of chemical sensors. Nanostructures composed of Au on glass substrate were prepared by annealing of 2-20 nm thick sputtered Au films at 300 °C for 1 h. The annealing leads to transformation of the as sputtered continuous Au layers to a nanoisland structure. The forming nanostructure shows a strong, well defined surface plasmon resonance absorption band in UV-Vis spectrum, which is useful for construction of a chemical sensor. The samples were used to detect vapors of acetone and water in an experimental testing apparatus. The achieved signal-to-noise ratio was 583 and 386 for acetone and water vapors, respectively on the nanostructure prepared from 4 nm thick Au layer. The nanostructured sensitive layers, however, showed poor signal stability; therefore a polymer overlayer was introduced to protect it. The employed polystyrene film prepared by spin-coating improved sensitivity and selectivity of the sensor, while the dynamic properties of the sensing influenced only slightly.

  11. Selection of the optimal combination of water vapor absorption lines for detection of temperature in combustion zones of mixing supersonic gas flows by diode laser absorption spectrometry

    International Nuclear Information System (INIS)

    Mironenko, V.R.; Kuritsyn, Yu.A.; Bolshov, M.A.; Liger, V.V.

    2017-01-01

    Determination of a gas medium temperature by diode laser absorption spectrometry (DLAS) is based on the measurement of integral intensities of the absorption lines of a test molecule (generally water vapor molecule). In case of local thermodynamic equilibrium temperature is inferred from the ratio of the integral intensities of two lines with different low energy levels. For the total gas pressure above 1 atm the absorption lines are broadened and one cannot find isolated well resolved water vapor absorption lines within relatively narrow spectral interval of fast diode laser (DL) tuning range (about 3 cm"−"1). For diagnostics of a gas object in the case of high temperature and pressure DLAS technique can be realized with two diode lasers working in different spectral regions with strong absorption lines. In such situation the criteria of the optimal line selection differs significantly from the case of narrow lines. These criteria are discussed in our work. The software for selection the optimal spectral regions using the HITRAN-2012 and HITEMP data bases is developed. The program selects spectral regions of DL tuning, minimizing the error of temperature determination δT/T, basing on the attainable experimental error of line intensity measurement δS. Two combinations of optimal spectral regions were selected – (1.392 & 1.343 μm) and (1.392 & 1.339 μm). Different algorithms of experimental data processing are discussed.

  12. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  14. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    International Nuclear Information System (INIS)

    Li, Mingji; Guo, Wenlong; Li, Hongji; Xu, Sheng; Qu, Changqing; Yang, Baohe

    2014-01-01

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na 2 SO 4 aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g −1 at current density of 0.5 A g −1 . The capacitance remains at about 84% after 1000 cycles at 10 A g −1 . The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices

  15. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  16. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  17. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  18. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  19. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  20. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing.

    Science.gov (United States)

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-09-07

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  1. Effects of potassium hydroxide post-treatments on the field-emission properties of thermal chemical vapor deposited carbon nanotubes.

    Science.gov (United States)

    Lee, Li-Ying; Lee, Shih-Fong; Chang, Yung-Ping; Hsiao, Wei-Shao

    2011-12-01

    In this study, a simple potassium hydroxide treatment was applied to functionalize the surface and to modify the structure of multi-walled carbon nanotubes grown on silicon substrates by thermal chemical vapor deposition. Scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive spectrometry were employed to investigate the mechanism causing the modified field-emission properties of carbon nanotubes. From our experimental data, the emitted currents of carbon nanotubes after potassium hydroxide treatment are enhanced by more than one order of magnitude compared with those of untreated carbon nanotubes. The emitted current density of carbon nanotubes increases from 0.44 mA/cm2 to 7.92 mA/cm2 after 30 minutes KOH treatment. This technique provides a simple, economical, and effective way to enhance the field-emission properties of carbon nanotubes.

  2. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.

  3. Experimental Line List of Water Vapor Absorption Lines in the Spectral Ranges 1850 - 2280 CM-1 and 2390-4000 CM-1

    Science.gov (United States)

    Loos, Joep; Birk, Manfred; Wagner, Georg

    2017-06-01

    A new experimental line parameter list of water vapor absorption lines in the spectral ranges 1850 - 2280 cm-1 and 2390 - 4000 cm-1 is presented. The line list is based on the analysis of several transmittance spectra measured using a Bruker IFS 125 HR high resolution Fourier transform spectrometer. A total of 54 measurements of pure water and water/air-mixtures at 296 K as well as water/air-mixtures at high and low temperatures were performed. A multispectrum fitting approach was used applying a quadratic speed-dependent hard collision line shape model in the Hartmann-Tran implementation extended to account for line mixing in the Rosenkranz approximation in order to retrieve line positions, intensities, self- and air-broadening parameters, their speed-dependence, self- and air-shifts as well as line mixing and in some cases collisional narrowing parameters. Additionally, temperature dependence parameters for widths, shifts and in a few cases line mixing were retrieved. For every parameter an extensive error estimation calculation was performed identifying and specifying systematic error sources. The resulting parameters are compared to the databases HITRAN12 as well as experimental values. For intensities, a detailed comparison to results of recent ab initio calculations performed at University College London was done showing an agreement within 2 % for a majority of the data. However, for some bands there are systematic deviations attributed to ab initio calculation errors. .H. Ngo et al. JQSRT 129, 89-100 (2013) doi:10.1016/j.jqsrt.2013.05.034; JQSRT 134, 105 (2014) doi:10.1016/j.jqsrt.2013.10.016. H. Tran et al. JQSRT 129, 199-203 (2013) doi:10.1016/j.jqsrt.2013.06.015; JQSRT 134, 104 (2014) doi:10.1016/j.jqsrt.2013.10.015. L.S. Rothman et al. JQSRT 130, 4-50 (2013) doi:10.1016/j.jqsrt.2013.07.002. N. Jacquinet-Husson et al. JMS 112, 2395-2445 (2016) doi:10.1016/j.jms.2016.06.007.

  4. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  5. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  6. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  7. X-ray photoelectron spectroscopy study on Fe and Co catalysts during the first stages of ethanol chemical vapor deposition for single-walled carbon nanotube growth

    NARCIS (Netherlands)

    Oida, S.; McFeely, F.R.; Bol, A.A.

    2011-01-01

    Optimized chemical vapor deposition processes for single-walled carbon nanotube (SWCNT) can lead to the growth of dense, vertically aligned, mm-long forests of SWCNTs. Precise control of the growth process is however still difficult, mainly because of poor understanding of the interplay between

  8. Water vapor spectroscopy in the 815-nm wavelength region for Differential Absorption Lidar measurements

    Science.gov (United States)

    Ponsardin, Patrick; Browell, Edward V.

    1995-01-01

    The differential absorption lidar (DIAL) technique was first applied to the remote measurement of atmospheric water vapor profiles from airborne platforms in 1981. The successful interpretation of the lidar profiles relies strongly on an accurate knowledge of specific water vapor absorption line parameters: line strength, pressure broadening coefficient, pressure-induced shift coefficient and the respective temperature-dependence factors. NASA Langley Research Center has developed and is currently testing an autonomous airborne water vapor lidar system: LASE (Lidar Atmospheric Sensing Experiment). This DIAL system uses a Nd:YAG-pumped Ti:Sapphire laser seeded by a diode laser as a lidar transmitter. The tunable diode has been selected to operate in the 813-818 nm wavelength region. This 5-nm spectral interval offers a large distribution of strengths for temperature-insensitive water vapor absorption lines. In support of the LASE project, a series of spectroscopic measurements were conducted for the 16 absorption lines that have been identified for use in the LASE measurements. Prior to this work, the experimental data for this water vapor absorption band were limited - to our knowledge - to the line strengths and to the line positions.

  9. An opacity-sampled treatment of water vapor

    Science.gov (United States)

    Alexander, David R.; Augason, Gordon C.; Johnson, Hollis R.

    1989-01-01

    Although the bands of H2O are strong in the spectra of cool stars and calculations have repeatedly demonstrated their significance as opacity sources, only approximate opacities are currently available, due both to the difficulty of accounting for the millions of lines involved and to the inadequacy of laboratory and theoretical data. To overcome these obstacles, a new treatment is presented, based upon a statistical representation of the water vapor spectrum derived from available laboratory data. This statistical spectrum of water vapor employs an exponential distribution of line strengths and random positions of lines whose overall properties are forced to reproduce the mean opacities observed in the laboratory. The resultant data set is then treated by the opacity-sampling method exactly as are all other lines, both molecular and atomic. Significant differences are found between the results of this improved treatment and the results obtained with previous treatments of water-vapor opacity.

  10. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  11. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  12. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  13. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  14. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  15. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  16. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  17. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  18. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing

    Directory of Open Access Journals (Sweden)

    Gábor Piszter

    2016-09-01

    Full Text Available Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  19. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  20. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  1. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  2. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  3. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  4. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-02-16

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  5. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-04-01

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20-25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical-chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg-Cu alloy layer with occasional intrusion of Mg-Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  6. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  7. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  8. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  9. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  10. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  11. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Li, Mingji, E-mail: limingji@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Guo, Wenlong [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Hongji, E-mail: hongjili@yeah.net [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China); Xu, Sheng [School of Precision Instrument and Optoelectronics Engineering, Tianjin University, Tianjin 300072 (China); Qu, Changqing; Yang, Baohe [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2014-10-30

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na{sub 2}SO{sub 4} aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g{sup −1} at current density of 0.5 A g{sup −1}. The capacitance remains at about 84% after 1000 cycles at 10 A g{sup −1}. The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices.

  12. Influence of cold rolling and strain rate on plastic response of powder metallurgy and chemical vapor deposition rhenium

    International Nuclear Information System (INIS)

    Koeppel, B.J.; Subhash, G.

    1999-01-01

    The plastic response of two kinds of rhenium processed via powder metallurgy (PM) and chemical vapor deposition (CVD) were investigated under uniaxial compression over a range of strain rates. The PM rhenium, further cold rolled to 50 and 80 pct of the original thickness, was also investigated to assess the influence of cold work on the plastic behavior. A strong basal texture was detected in all the preceding materials as a result of processing and cold work. Both CVD and PM rhenium exhibited an increase in yield strength and flow stress with increasing strain rate. In PM rhenium, cold work resulted in an increase in hardness and yield strength and a decrease in the work hardening rate. The deformed microstructures revealed extensive twinning in CVD rhenium. At large strains, inhomogeneous deformation mode in the form of classical cup and cone fracture was noticed

  13. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  14. Rapid Chemical Vapor Infiltration of Silicon Carbide Minicomposites at Atmospheric Pressure.

    Science.gov (United States)

    Petroski, Kenneth; Poges, Shannon; Monteleone, Chris; Grady, Joseph; Bhatt, Ram; Suib, Steven L

    2018-02-07

    The chemical vapor infiltration technique is one of the most popular for the fabrication of the matrix portion of a ceramic matrix composite. This work focuses on tailoring an atmospheric pressure deposition of silicon carbide onto carbon fiber tows using the methyltrichlorosilane (CH 3 SiCl 3 ) and H 2 deposition system at atmospheric pressure to create minicomposites faster than low pressure systems. Adjustment of the flow rate of H 2 bubbled through CH 3 SiCl 3 will improve the uniformity of the deposition as well as infiltrate the substrate more completely as the flow rate is decreased. Low pressure depositions conducted at 50 Torr deposit SiC at a rate of approximately 200 nm*h -1 , while the atmospheric pressure system presented has a deposition rate ranging from 750 nm*h -1 to 3.88 μm*h -1 . The minicomposites fabricated in this study had approximate total porosities of 3 and 6% for 10 and 25 SCCM infiltrations, respectively.

  15. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  16. Investigating the Impact of Acetone Vapor Smoothing on the Strength and Elongation of Printed ABS Parts

    Science.gov (United States)

    Gao, Harry; Kaweesa, Dorcas V.; Moore, Jacob; Meisel, Nicholas A.

    2017-03-01

    Acetone vapor smoothing is a chemical treatment that "melts" the surface of additively manufactured acrylonitrile butadiene styrene parts. The process fuses layers together and allows them to reform when vapor is removed, resulting in a smooth surface finish. Although commonly used to improve aesthetics, recent work has begun to investigate the effects of vapor smoothing on part strength. Nevertheless, most of this work has failed to take into account the anisotropic nature of printed parts. Prior research has shown that vapor smoothing reduces strength under best-case loading conditions, when the tensile load is parallel with the direction of the layers. In this article, the authors hypothesize that vapor smoothing may increase strength under nonoptimal loading conditions as a result of increased cohesion between layers and a reduction in stress concentrations. They use a design of experiments approach to identify the combined impact of printing and vapor smoothing parameters on part material properties.

  17. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  18. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  19. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  20. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  1. Surface-driven, one-step chemical vapor deposition of γ-Al{sub 4}Cu{sub 9} complex metallic alloy film

    Energy Technology Data Exchange (ETDEWEB)

    Prud’homme, Nathalie [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Université Paris-Sud 11, LEMHE/ICMMO, Bat 410, 91405 Orsay Cedex (France); Duguet, Thomas, E-mail: thomas.duguet@ensiacet.fr [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Samélor, Diane; Senocq, François; Vahlas, Constantin [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France)

    2013-10-15

    The present paper is a paradigm for the one-step formation of complex intermetallic coatings by chemical vapor deposition. It genuinely addresses the challenge of depositing an intermetallic coating with comparable contents of Cu and Al. Depending on processing conditions, a pure γ-Al{sub 4}Cu{sub 9} and multi-phase Al-Cu films are grown with wetting properties of the former being similar to its bulk counterpart. The deposition process and its parametric investigation are detailed. Two metalorganic precursors are used taking into account their transport and chemical properties, and deposition temperature ranges. On line and ex situ characterizations enlighten the competition which occurs at the growing surface between molecular fragments, and which limits growth rates. Notably, introducing a partial pressure of hydrogen gas during deposition reduces Al growth rate from dimethylethylamine alane (DMEAA), by displacing the hydrogen desorption equilibrium. This Al partial growth rate decrease is not sufficient to achieve a Cu/Al atomic ratio that is high enough for the formation of intermetallics with close Al and Cu compositions. A fivefold increase of the flux of the gaseous copper(I) cyclopentadienyl triethylphosphine CpCuPEt{sub 3}, whereas the DMEAA flux remains constant, results in the targeted Al/Cu atomic ratio equal to 44/56. Nevertheless, the global growth rate is rendered extremely low by the deposition inhibition caused by a massive phosphine adsorption (-PEt{sub 3}). Despite these limitations, the results pave the way towards the conformal coating of complex surface geometries by such intermetallic compounds.

  2. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  3. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  4. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  5. Influence of dietary amino acid level on chemical body composition and performance of growing-finishing boars of two sire lines.

    Science.gov (United States)

    Otten, Caroline; Berk, Andreas; Müller, Simone; Weber, Manfred; Dänicke, Sven

    2013-12-01

    There is only little information available concerning the chemical body composition of growing-finishing boars. For that reason, a total of 26 entire male pigs (boars) of two different Piétrain sire lines were fed with different levels of dietary essential amino acids (EAA) and the influence of this treatment on performance and chemical body composition was evaluated. In addition, an initial group of eight boars (n = 4 per sire line) was slaughtered at approximately 21 kg live weight (LW). The other 26 boars were fed three different diets containing 11.5, 13.2 and 14.9 g lysine/kg during the grower period and 9.0, 10.4, 11.7 g lysine/kg during the finisher period, respectively. Other EAA were added in relation to lysine (Lys: Met + Cys: Thr: Trp: Val = 1: 0.60: 0.65: 0.18: 0.75). At a LW of approximately 122 kg these 26 boars (six groups with three to seven animals each) were also slaughtered. The effects of EAA level and sire line on fattening and slaughter performance was recorded, and body and weight gain composition were analysed. There were no significant effects of EAA level on performance or on chemical body composition. Boars sired with Piétrain line 1 demonstrated increased lean meat content and protein body content (p < 0.05) as compared to Piétrain line 2-sired boars.

  6. Nitrogen doping of chemical vapor deposition grown graphene on 4H-SiC (0001)

    Energy Technology Data Exchange (ETDEWEB)

    Urban, J. M.; Binder, J.; Wysmołek, A. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Dąbrowski, P.; Strupiński, W. [Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland); Kopciuszyński, M.; Jałochowski, M. [Institute of Physics, Maria Curie-Skłodowska University, pl. M. Curie-Skłodowskiej 1, 20-031 Lublin (Poland); Klusek, Z. [Faculty of Physics and Applied Informatics, University of Łódź, ul. Pomorska 149/153, 90-236 Łódź (Poland); Baranowski, J. M. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland)

    2014-06-21

    We present optical, electrical, and structural properties of nitrogen-doped graphene grown on the Si face of 4H-SiC (0001) by chemical vapor deposition method using propane as the carbon precursor and N{sub 2} as the nitrogen source. The incorporation of nitrogen in the carbon lattice was confirmed by X-ray photoelectron spectroscopy. Angle-resolved photoemission spectroscopy shows carrier behavior characteristic for massless Dirac fermions and confirms the presence of a graphene monolayer in the investigated nitrogen-doped samples. The structural and electronic properties of the material were investigated by Raman spectroscopy. A systematical analysis of the graphene Raman spectra, including D, G, and 2D bands, was performed. In the case of nitrogen-doped samples, an electron concentration on the order of 5–10 × 10{sup 12} cm{sup −2} was estimated based upon Raman and Hall effect measurements and no clear dependence of the carrier concentration on nitrogen concentration used during growth was observed. This high electron concentration can be interpreted as both due to the presence of nitrogen in graphitic-like positions of the graphene lattice as well as to the interaction with the substrate. A greater intensity of the Raman D band and increased inhomogeneity, as well as decreased electron mobility, observed for nitrogen-doped samples, indicate the formation of defects and a modification of the growth process induced by nitrogen doping.

  7. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  8. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  9. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  10. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  11. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  12. Piezoelectric trace vapor calibrator

    International Nuclear Information System (INIS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-01-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10 deg. C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver--on demand--continuous vapor concentrations across more than six orders of magnitude (nominally 290 fg/l to 1.05 μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process

  13. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  14. Observation of Zn vacancies in ZnO grown by chemical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, F.; Saarinen, K. [Laboratory of Physics, Helsinki University of Technology, P.O. Box 1100, 02015 TKK (Finland); Grasza, K.; Mycielski, A. [Institute of Physics, Polish Academy of Sciences, Lotnikow 32/46, 02-668 Warsaw (Poland)

    2006-03-15

    We have used positron annihilation spectroscopy to study the vacancy defects in ZnO crystals grown by both the conventional and contactless chemical vapor transport (CVT and CCVT). Our results show that Zn vacancies or Zn vacancy related defects are present in as-grown ZnO, irrespective of the growth method. Zn vacancies are observed in CVT-grown undoped ZnO and (Zn,Mn)O. The Zn vacancies present in undoped CCVT-ZnO are the dominant negatively charged point defect in the material. Doping the material with As introduces also Zn vacancy-related defect complexes with larger open volume. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  16. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  17. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  18. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  19. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  20. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  1. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  2. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  3. Heterogeneous nucleation in multi-component vapor on a partially wettable charged conducting particle. II. The generalized Laplace, Gibbs-Kelvin, and Young equations and application to nucleation.

    Science.gov (United States)

    Noppel, M; Vehkamäki, H; Winkler, P M; Kulmala, M; Wagner, P E

    2013-10-07

    Based on the results of a previous paper [M. Noppel, H. Vehkamäki, P. M. Winkler, M. Kulmala, and P. E. Wagner, J. Chem. Phys. 139, 134107 (2013)], we derive a thermodynamically consistent expression for reversible or minimal work needed to form a dielectric liquid nucleus of a new phase on a charged insoluble conducting sphere within a uniform macroscopic one- or multicomponent mother phase. The currently available model for ion-induced nucleation assumes complete spherical symmetry of the system, implying that the seed ion is immediately surrounded by the condensing liquid from all sides. We take a step further and treat more realistic geometries, where a cap-shaped liquid cluster forms on the surface of the seed particle. We derive the equilibrium conditions for such a cluster. The equalities of chemical potentials of each species between the nucleus and the vapor represent the conditions of chemical equilibrium. The generalized Young equation that relates contact angle with surface tensions, surface excess polarizations, and line tension, also containing the electrical contribution from triple line excess polarization, expresses the condition of thermodynamic equilibrium at three-phase contact line. The generalized Laplace equation gives the condition of mechanical equilibrium at vapor-liquid dividing surface: it relates generalized pressures in neighboring bulk phases at an interface with surface tension, excess surface polarization, and dielectric displacements in neighboring phases with two principal radii of surface curvature and curvatures of equipotential surfaces in neighboring phases at that point. We also re-express the generalized Laplace equation as a partial differential equation, which, along with electrostatic Laplace equations for bulk phases, determines the shape of a nucleus. We derive expressions that are suitable for calculations of the size and composition of a critical nucleus (generalized version of the classical Kelvin-Thomson equation).

  4. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  5. Vapor pressures and sublimation enthalpies of novel bicyclic heterocycle derivatives

    International Nuclear Information System (INIS)

    Blokhina, Svetlana V.; Ol’khovich, Marina V.; Sharapova, Angelica V.; Perlovich, German L.; Proshin, Alexey N.

    2014-01-01

    Highlights: • The vapor pressures of novel bicyclo-derivatives of amine were measured. • Thermodynamic functions of sublimation were calculated. • The influence of substituent structure and chemical nature on the vapor pressure was studied. -- Abstract: The vapor pressures of five novel bicyclic heterocycle derivatives were measured over the temperature 341.15 to 396.15 K using the transpiration method by means of an inert gas carrier. From these results the standard enthalpies and Gibbs free energies of sublimation at the temperature 298.15 K were calculated. The effects of alkyl- and chloro-substitutions on changes in the thermodynamic functions have been investigated. Quantitative structure–property relationship on the basis HYBOT physico-chemical descriptors for biologically active compounds have been developed to predict the sublimation enthalpies and Gibbs free energies of the compounds studied

  6. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  7. Effect on stone lines on soil chemical characteristics under continuous sorghum cropping in semiarid Burkina Faso

    NARCIS (Netherlands)

    Zougmore, R.; Gnankambary, Z.; Guillobez, L.S.; Stroosnijder, L.

    2002-01-01

    In the semiarid Sahel, farmers commonly lay stone lines in fields to disperse runoff. This study was conducted in northern Burkina Faso to assess the chemical fertility of soil under a permanent, non-fertilised sorghum crop, which is the main production system in this area, 5 years after laying

  8. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  9. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  10. Evaluation of the Process of Solvent Vapor Annealing on Organic Thin Films

    KAUST Repository

    Ren, Yi

    2011-01-01

    Solvent vapor annealing has recently emerged as an intriguing, room-temperature, and highly versatile alternative to thermal annealing. The chemically selective interaction between solvents and organic semiconductors opens new opportunities

  11. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  12. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  13. Silver chemical vapor generation for atomic absorption spectrometry: Minimization of transport losses, interferences and application to water analysis

    Czech Academy of Sciences Publication Activity Database

    Musil, Stanislav; Kratzer, Jan; Vobecký, Miloslav; Benada, Oldřich; Matoušek, Tomáš

    2010-01-01

    Roč. 25, č. 10 (2010), s. 1618-1626 ISSN 0267-9477 R&D Projects: GA ČR GA203/09/1783 Institutional research plan: CEZ:AV0Z40310501; CEZ:AV0Z50200510 Keywords : chemical vapor generation * 111Ag radioindicator * transport losses Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 4.372, year: 2010

  14. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  15. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  16. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  17. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  18. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  19. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  20. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  1. SEAMIST trademark in-situ instrumentation and vapor sampling system applications in the Sandia Mixed Waste Landfill Integrated Demonstration Program

    International Nuclear Information System (INIS)

    Lowry, W.E.; Dunn, S.D.; Cremer, S.C.; Williams, C.

    1994-01-01

    The SEAMIST trademark inverting membrane deployment system has been used successfully at the Mixed Waste Landfill Integrated Demonstration (MWLID) for multipoint vapor sampling/pressure measurement/permeability measurement/sensor integration demonstrations and borehole lining. Several instruments were deployed inside the SEAMIST trademark lined boreholes to detect metals, radionuclides, moisture, and geologic variations. The liner protected the instruments from contamination, maintained support of the uncased borehole wall, and sealed the total borehole from air circulation. The current activities have included the installation of three multipoint vapor sampling systems and sensor integration systems in 100-foot-deep vertical boreholes. A long term pressure monitoring program has recorded barometric pressure effects at depth with relatively high spatial resolution. The SEAMIST trademark system has been integrated with a variety of hydrologic and chemical sensors for in-situ measurements, demonstrating its versatility as an instrument deployment system which allows easy emplacement and removal. Standard SEAMIST trademark vapor sampling systems were also integrated with state-of-the-art VOC analysis technologies (automated GC, UV laser fluorometer). The results and status of these demonstration tests are presented

  2. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  3. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  4. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  5. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  6. The impacts of growth temperature on morphologies, compositions and optical properties of Mg-doped ZnO nanomaterials by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, X.H., E-mail: wangxh@sdju.edu.cn [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Huang, L.Q.; Niu, L.J.; Li, R.B. [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Fan, D.H. [Institute of Functional Materials Research, Department of Mathematics and Physics, Wuyi University, Jiangmen 529020 (China); Zhang, F.B.; Chen, Z.W.; Wang, X.; Guo, Q.X. [Department of Electrical and Electronic Engineering, Synchrotron Light Application Center, Saga University, Saga 840-8502 (Japan)

    2015-02-15

    Highlights: • Mg-doped ZnO nanomaterials were fabricated by chemical vapor deposition (CVD). • Growth temperature determines the characteristics of Zn{sub 1-x}Mg{sub x}O nanomaterials. • The modulation of band gap is caused by Mg addition. - Abstract: The Mg-doped ZnO (Zn{sub 1-x}Mg{sub x}O) nanomaterials with different morphologies of nanoparticles, partially opened nanowire-on-spherical shells, hemispheric shells and chain-like nanoparticles were synthesized at 750, 850, 900 and 1000 °C by a simple chemical vapor deposition. The energy dispersive X-ray (EDX) measurements indicate that Mg content increases from 2.87 at.% to 5.01 at.% with the increase of growth temperature from 750 to 1000 °C. The measurement results of X-ray diffraction (XRD) show that the (0 0 2) peaks of Zn{sub 1-x}Mg{sub x}O nanomaterials shift to higher diffraction angle with the increase of Mg content, implying that Mg{sup 2+} is substituted into Zn{sup 2+} site. The absorption spectra at room temperature exhibit that the band gap of the Mg-doped ZnO nanomaterials increases with the Mg concentration, illustrating that the modulation of band gap is caused by Mg addition. The PL measurements show that UV peak from Zn{sub 1-x}Mg{sub x}O nanomaterials is shifted towards lower wavelength side (blue shift) from 381 nm to 372 nm with the increase of the Mg dopant content. The room-temperature Raman spectra show that the crystal quality of the Zn{sub 1-x}Mg{sub x}O nanomaterials is improved with the increase of growth temperature, and the Mg dopants do not decrease the crystal quality of ZnO nanomaterials.

  7. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  8. Effects of As/P exchange on InAs/lnP (100) quantum dots formation by metalorganic chemical vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [The Australian National University, ACT (Australia). Research School of Physical Sciences and Engineering, Department of Electronic Materials Engineering

    2005-07-01

    Full text: Self-assembled InAs/lnP quantum dots (QDs) are very promising active materials for QD lasers and semiconductor amplifiers for optical fiber communications (1.3-1.55 mm). However the main challenge associated with this material system is the As/P exchange reaction which degrades the structural and optical properties of the QDs. In this talk, we will show the effect of growing a thin spacer layer of GaAs or InGaAs prior to the deposition of the InAs QDs by metalorganic chemical vapor deposition. Not only the effect of As/P exchange is suppressed or minimized but the bandgap of the QDs could be tuned too. Copyright (2005) Australian Institute of Physics.

  9. Radiation equivalence of genotoxic chemicals - Validation in cultered mammalian cell lines

    International Nuclear Information System (INIS)

    Murthy, M.S.S.

    1982-01-01

    Published data on mutations induced by ionizing radiation and 6 monofunctional alkylating agents, namely EMS, MMS, ENNG, MNNG, ENU and MNU, in different cell lines (Chinese hamster ovary, Chinese hamster lung V79, mouse lymphoma L5178 and human cells) were analysed so that radiation-equivalent chemical (REC) values could be calculated. REC values thus obtained for a given alkylating agent with different cell lines fall within a narrow range suggesting its validation in cultured mammalian cell systems including human. (orig.)

  10. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  11. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  12. Chemical Vapor Detection with a Multispectral Thermal Imager

    National Research Council Canada - National Science Library

    Althouse, Mark L. G; Chang, Chein-I

    1991-01-01

    .... Real-time autonomous detection and alarm is also required. A detection system model by Warren, based on a Gaussian vapor concentration distribution is the basis for detection algorithms. Algorithms recursive in both time and spectral frequency have been derived using Kalman filter theory. Adaptive filtering is used for preprocessing clutter rejection. Various components of the detection system have been tested individually and an integrated system is now being fabricated.

  13. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  14. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  15. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  16. Concise and Efficient Fluorescent Probe via an Intromolecular Charge Transfer for the Chemical Warfare Agent Mimic Diethylchlorophosphate Vapor Detection.

    Science.gov (United States)

    Yao, Junjun; Fu, Yanyan; Xu, Wei; Fan, Tianchi; Gao, Yixun; He, Qingguo; Zhu, Defeng; Cao, Huimin; Cheng, Jiangong

    2016-02-16

    Sarin, used as chemical warfare agents (CWAs) for terrorist attacks, can induce a number of virulent effects. Therefore, countermeasures which could realize robust and convenient detection of sarin are in exigent need. A concise charge-transfer colorimetric and fluorescent probe (4-(6-(tert-butyl)pyridine-2-yl)-N,N-diphenylaniline, TBPY-TPA) that could be capable of real-time and on-site monitoring of DCP vapor was reported in this contribution. Upon contact with DCP, the emission band red-shifted from 410 to 522 nm upon exposure to DCP vapor. And the quenching rate of TBPY-TPA reached up to 98% within 25 s. Chemical substances such as acetic acid (HAc), dimethyl methylphosphonate (DMMP), pinacolyl methylphosphonate (PAMP), and triethyl phosphate (TEP) do not interfere with the detection. A detection limit for DCP down to 2.6 ppb level is remarkably achieved which is below the Immediately Dangerous to Life or Health concentration. NMR data suggested that a transformation of the pyridine group into pyridinium salt via a cascade reaction is responsible for the sensing process which induced the dramatic fluorescent red shift. All of these data suggest TBPY-TPA is a promising fluorescent sensor for a rapid, simple, and low-cost method for DCP detection, which could be easy to prepare as a portable chemosensor kit for its practical application in real-time and on-site monitoring.

  17. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  18. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  19. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  20. The influence of plastic deformation and chemical environment on the resistively of al-alloy overhead lines

    Directory of Open Access Journals (Sweden)

    Nowak-Woźny D.

    2005-01-01

    Full Text Available The electrical resistively and intensity of X-ray diffraction reflexes were determined for overhead line wires deformed plastically and immersed at different solutions. Immersing (chemical ageing was performed by plastic deformation along the wire axis. During chemical ageing the samples were exposed to the action of the Cl-, SO4 2-, and SO3 2- ions. Resistively was measured at room temperature and at liquid nitrogen temperature. After the X-ray and resistively measurement data were compared, it was found that three processes could take place: the flow of ions through the boundary between a sample and environment; the mechanical relaxation of vacancies near a line of dislocations, and the ordering of microstructure. These effects can lead to the anisotropy of resistively.

  1. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  2. Program plan for the resolution of tank vapor issues

    International Nuclear Information System (INIS)

    Osborne, J.W.; Huckaby, J.L.

    1994-05-01

    Since 1987, workers at the Hanford Site waste tank farms in Richland, Washington, have reported strong odors emanating from the large, underground high-level radioactive waste storage tanks. Some of these workers have complained of symptoms (e.g., headaches, nausea) related to the odors. In 1992, the U.S. Department of Energy, which manages the Hanford Site, and Westinghouse Hanford Company determined that the vapor emissions coming from the tanks had not been adequately characterized and represented a potential health risk to workers in the immediate vicinity of the tanks. At that time, workers in certain areas of the tank farms were required to use full-face, supplied-breathing-air masks to reduce their exposure to the fugitive emissions. While use of supplied breathing air reduced the health risks associated with the fugitive emissions, it introduced other health and safety risks (e.g., reduced field of vision, air-line tripping hazards, and heat stress). In 1992, an aggressive program was established to assure proper worker protection while reducing the use of supplied breathing air. This program focuses on characterization of vapors inside the tanks and industrial hygiene monitoring in the tank farms. If chemical filtration systems for mitigation of fugitive emissions are deemed necessary, the program will also oversee their design and installation. This document presents the plans for and approach to resolving the Hanford Site high-level waste tank vapor concerns. It is sponsored by the Department of Energy Office of Environmental Restoration and Waste Management

  3. Constraining the QCD phase diagram by tricritical lines at imaginary chemical potential

    CERN Document Server

    de Forcrand, Philippe

    2010-01-01

    We present unambiguous evidence from lattice simulations of QCD with three degenerate quark species for two tricritical points in the (T,m) phase diagram at fixed imaginary \\mu/T=i\\pi/3 mod 2\\pi/3, one in the light and one in the heavy mass regime. These represent the boundaries of the chiral and deconfinement critical lines continued to imaginary chemical potential, respectively. It is demonstrated that the shape of the deconfinement critical line for real chemical potentials is dictated by tricritical scaling and implies the weakening of the deconfinement transition with real chemical potential. The generalization to non-degenerate and light quark masses is discussed.

  4. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  5. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  6. Comparison of vapor sampling system (VSS) and in situ vapor sampling (ISVS) methods on Tanks C-107, BY-108, and S-102

    International Nuclear Information System (INIS)

    Huckaby, J.L.; Edwards, J.A.; Evans, J.C.

    1996-05-01

    The objective of this report is to evaluate the equivalency of two methods used to sample nonradioactive gases and vapors in the Hanford Site high-level waste tank headspaces. In addition to the comparison of the two sampling methods, the effects of an in-line fine particle filter on sampling results are also examined to determine whether results are adversely affected by its presence. This report discusses data from a January 1996 sampling

  7. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  8. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  9. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  10. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  11. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Wolak, M. A.; Tan, T.; Krick, A.; Johnson, E.; Hambe, M.; Chen, Ke; Xi, X. X.

    2014-01-01

    We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD). To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB2 films on these substrates showed uniformly good superconducting properties including Tc of 37-40 K, residual resistivity ratio of up to 14, and root-mean-square roughness Rq of 20-30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB2 by the HPCVD technique, an important step towards superconducting rf cavities with MgB2 coating.

  12. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  13. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  14. Engineering Task Plan for a vapor treatment system on Tank 241-C-103

    International Nuclear Information System (INIS)

    Conrad, R.B.

    1995-01-01

    This Engineering Task Plan describes tasks and responsibilities for the design, fabrication, test, and installation of a vapor treatment system (mixing system) on Tank 241-C-103. The mixing system is to be installed downstream of the breather filter and will use a mixing blower to reduce the chemical concentrations to below allowable levels

  15. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  16. Properties of zinc selenide grown by chemical vapor transport and its application to room-temperature radiation detection

    International Nuclear Information System (INIS)

    Brunett, B.A.; Toney, J.E.; Schlesinger, T.E.; Yoon, H.; Goorsky, M.S.; Rudolph, P.

    1998-01-01

    The authors have characterized ZnSe material grown by chemical vapor transport in iodine using triple-axis X-ray diffraction (TAD), photo-induced current transient spectroscopy (PICTS), photoluminescence (PL), current-voltage measurements and gamma-ray spectroscopy. The material was found to have inadequate carrier transport for nuclear spectrometer use, but there was a discernible difference in performance between crystals which could be correlated with crystallinity as determined by the TAD rocking curves

  17. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS2 (pyrite) on TiO2

    International Nuclear Information System (INIS)

    Ennaoui, A.; Fiechter, S.; Tributsch, H.; Giersig, M.; Vogel, R.; Weller, H.

    1992-01-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS 2 (pyrite) were grown on TiO 2 (anatase) by chemical vapor deposition. The FeS 2 films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO 2 (anatase) coated with FeS 2 ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS 2 to the conduction band of TiO 2 . Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte

  18. Characterization of physical and chemical properties of QLARIVIA-line of deuterium depleted Water

    International Nuclear Information System (INIS)

    Ferdes, Ov. S.; Mladin, C.; Petre, R.M.; Mitu, F.; Costinel, Diana; Vremera, Raluca; Sandru, Claudia

    2008-01-01

    QLARIVIA is the brand-name of Deuterium Depleted Water line of products of drinking water differentiating mainly by the deuterium concentration. It is the result of a national technological transfer project and it is based on an original, patented technology for deuterium depletion from the normal water. The paper presents the measuring and analysis results of the chemical and physical properties of the QLARIVIA brand-line of drinking DDW, as: pH; water hardness; permanganate index; Ca; Mg; Cl - ; SO4 2 - ; NH 4 ; NO 3 - ; NO 2 - , as well as the deuterium concentration determination by mass spectrometry. The analysis has been performed on at least 20 batch-samples, by usual, standardized and/or validated analytical methods, in ISO 17025:2005 accredited laboratories. The results are discussed considering the requirements of the EU directive on drinking water as well as of the Romanian Act on drinking water no. 458/2002 with its supplemental modification by the Act no.363/2004. The conclusion is that QLARIVIA - brand line of drinking DDW fulfills all the official physical and chemical requirements for the drinking water. (authors)

  19. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical -oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  20. The investigation of contact line effect on nanosized droplet wetting behavior with solid temperature condition

    Science.gov (United States)

    Haegon, Lee; Joonsang, Lee

    2017-11-01

    In many multi-phase fluidic systems, there are essentially contact interfaces including liquid-vapor, liquid-solid, and solid-vapor phase. There is also a contact line where these three interfaces meet. The existence of these interfaces and contact lines has a considerable impact on the nanoscale droplet wetting behavior. However, recent studies have shown that Young's equation does not accurately represent this behavior at the nanoscale. It also emphasized the importance of the contact line effect.Therefore, We performed molecular dynamics simulation to imitate the behavior of nanoscale droplets with solid temperature condition. And we find the effect of solid temperature on the contact line motion. Furthermore, We figure out the effect of contact line force on the wetting behavior of droplet according to the different solid temperature condition. With solid temperature condition variation, the magnitude of contact line friction decreases significantly. We also divide contact line force by effect of bulk liquid, interfacial tension, and solid surface. This work was also supported by the National Research Foundation of Korea (NRF) Grant funded by the Korean Government (MSIP) (No. 2015R1A5A1037668) and BrainKorea21plus.

  1. 40 CFR 63.463 - Batch vapor and in-line cleaning machine standards.

    Science.gov (United States)

    2010-07-01

    .... (3) Each cleaning machine shall have an automated parts handling system capable of moving parts or... of parts through removal of cleaned parts. (4) Each vapor cleaning machine shall be equipped with a...) and appendix A to this part. (2) Each owner or operator of a batch vapor cleaning machine with a...

  2. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  3. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  4. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  5. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  6. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  7. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  8. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  9. Sensitive limits on the abundance of cold water vapor in the DM Tauri protoplanetary disk

    NARCIS (Netherlands)

    Bergin, E. A.; Hogerheijde, M. R.; Brinch, C.; Fogel, J.; Yildiz, U. A.; Kristensen, L. E.; van Dishoeck, E. F.; Bell, T. A.; Blake, G.A.; Cernicharo, J.; Dominik, C.; Lis, D.; Melnick, G.; Neufeld, D.; Panic, O.; Pearson, J. C.; Bachiller, R.; Baudry, A.; Benedettini, M.; Benz, A. O.; Bjerkeli, P.; Bontemps, S.; Braine, J.; Bruderer, S.; Caselli, P.; Codella, C.; Daniel, F.; di Giorgio, A. M.; Doty, S. D.; Encrenaz, P.; Fich, M.; Fuente, A.; Giannini, T.; Goicoechea, J. R.; de Graauw, Th.; Helmich, F.; Herczeg, G. J.; Herpin, F.; Jacq, T.; Johnstone, D.; Jorgensen, J. K.; Larsson, B.; Liseau, R.; Marseille, M.; Mc Coey, C.; Nisini, B.; Olberg, M.; Parise, B.; Plume, R.; Risacher, C.; Santiago-Garcia, J.; Saraceno, P.; Shipman, R.; Tafalla, M.; van Kempen, T. A.; Visser, R.; Wampfler, S. F.; Wyrowski, F.; van der Tak, F.; Jellema, W.; Tielens, A. G. G. M.; Hartogh, P.; Stuetzki, J.; Szczerba, R.

    2010-01-01

    We performed a sensitive search for the ground-state emission lines of ortho-and para-water vapor in the DM Tau protoplanetary disk using the Herschel/HIFI instrument. No strong lines are detected down to 3 sigma levels in 0.5 km s(-1) channels of 4.2 mK for the 1(10)-1(01) line and 12.6 mK for the

  10. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  11. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  12. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  13. Effects of Pretreatment on the Electronic Properties of Plasma Enhanced Chemical Vapor Deposition Hetero-Epitaxial Graphene Devices

    Science.gov (United States)

    Zhang, Lian-Chang; Shi, Zhi-Wen; Yang, Rong; Huang, Jian

    2014-09-01

    Quasi-monolayer graphene is successfully grown by the plasma enhanced chemical vapor deposition heteroepitaxial method we reported previously. To measure its electrical properties, the prepared graphene is fabricated into Hall ball shaped devices by the routine micro-fabrication method. However, impurity molecules adsorbed onto the graphene surface will impose considerable doping effects on the one-atom-thick film material. Our experiment demonstrates that pretreatment of the device by heat radiation baking and electrical annealing can dramatically influence the doping state of the graphene and consequently modify the electrical properties. While graphene in the as-fabricated device is highly p-doped, as confirmed by the position of the Dirac point at far more than +60 V, baking treatment at temperatures around 180°C can significantly lower the doping level and reduce the conductivity. The following electrical annealing is much more efficient to desorb the extrinsic molecules, as confirmed by the in situ measurement, and as a result, further modify the doping state and electrical properties of the graphene, causing a considerable drop of the conductivity and a shifting of Dirac point from beyond +60 V to 0 V.

  14. Direct production of carbon nanofibers decorated with Cu2O by thermal chemical vapor deposition on Ni catalyst electroplated on a copper substrate

    Directory of Open Access Journals (Sweden)

    MA Vesaghi

    2012-12-01

    Full Text Available  Carbon nanofibers (CNFs decorated with Cu2O particles were grown on a Ni catalyst layer deposited on a Cu substrate by thermal. chemical vapor deposition from liquid petroleum gas. Ni catalyst nanoparticles with different sizes were produced in an electroplating system at 35˚C. These nanoparticles provide the nucleation sites for CNF growth, removing the need for a buffer layer. High temperature surface segregation of the Cu substrate into the Ni catalyst layer and its exposition to O2 at atmospheric environment, during the CNFs growth, lead to the production of CNFs decorated with Cu2O particles. The surface morphology of the Ni catalyst films and grown CNFs over it was studied by scanning electron microscopy. Transmission electron microscopy and Raman spectroscopy revealed the formation of CNFs. The selected area electron diffraction pattern and electron diffraction studies show that these CNFs were decorated with Cu2O nanoparticles.

  15. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  16. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  17. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  18. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  19. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  20. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  1. Large-Scale Fabrication of Boron Nitride Nanotubes via a Facile Chemical Vapor Reaction Route and Their Cathodoluminescence Properties

    Directory of Open Access Journals (Sweden)

    Zhong Bo

    2011-01-01

    Full Text Available Abstract Cylinder- and bamboo-shaped boron nitride nanotubes (BNNTs have been synthesized in large scale via a facile chemical vapor reaction route using ammonia borane as a precursor. The structure and chemical composition of the as-synthesized BNNTs are extensively characterized by X-ray diffraction, scanning electron microscopy, high-resolution transmission electron microscopy, and selected-area electron diffraction. The cylinder-shaped BNNTs have an average diameter of about 100 nm and length of hundreds of microns, while the bamboo-shaped BNNTs are 100–500 nm in diameter with length up to tens of microns. The formation mechanism of the BNNTs has been explored on the basis of our experimental observations and a growth model has been proposed accordingly. Ultraviolet–visible and cathodoluminescence spectroscopic analyses are performed on the BNNTs. Strong ultraviolet emissions are detected on both morphologies of BNNTs. The band gap of the BNNTs are around 5.82 eV and nearly unaffected by tube morphology. There exist two intermediate bands in the band gap of BNNTs, which could be distinguishably assigned to structural defects and chemical impurities. Additional file 1 Click here for file

  2. Vapor pressures and vaporization enthalpy of (−) α-bisabolol and (dl) menthol by correlation gas chromatography

    International Nuclear Information System (INIS)

    Keating, Leasa; Harris, Harold H.; Chickos, James S.

    2017-01-01

    Highlights: • The vaporization enthalpy and vapor pressure of (−) α-bisabolol and (dl)-menthol have been measured as a function of temperature. • Vapor pressures, vaporization enthalpies and boiling temperatures have been compared to available literature data. • Vapor pressures of (l)-menthol are compared to (dl)-menthol. - Abstract: The vapor pressures and vaporization enthalpies of (−) α-bisabolol and (dl)-menthol, two GRAS chemicals (generally recognized as safe) are evaluated by correlation gas chromatography using a series of saturated primary alcohols as standards. Vaporization enthalpies of (96.6 ± 2.4) and (74.2 ± 2.8) kJ mol −1 and vapor pressures of p/Pa = (0.020 ± 0.003) and (4.5 ± 0.44) were evaluated at T = 298.15 K for (−) α-bisabolol and (dl)-menthol, respectively, and compared to literature values. The vapor pressures of both compounds from T = (298.15 to 500) K have been derived from correlations using vapor pressures of a series of 1-alkanols and corresponding gas chromatographic retention times at 10 K intervals. The results were fit to a second order polynomial. Calculated normal boiling temperatures of T B = (574.8 and 492.7) K are calculated for (−) α-bisabolol and (dl)-menthol, respectively. A normal boiling temperature of T B = (485.2, and 489.7) K has previously been reported for (dl)-menthol. Vapor pressures for both (l)-menthol and (dl)-menthol from a previous study and (dl)-menthol from this study are compared with literature values.

  3. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  4. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  5. Comparison of numerical and physico-chemical models for on-line spectrophotometric control of uranium

    International Nuclear Information System (INIS)

    Corriou, J.P.; Boisde, G.

    1986-04-01

    In view of on-line spectrophotometric control of fuel reprocessing streams, a physico-chemical model able to predict uranium and nitric acid concentrations in an uranyl nitrate-nitric acid system has been searched. Thus the influences of the following parameters: uranium, nitrate, hydrogen ion concentrations, ionic strength, on the equilibria of complexation of uranium (VI) nitrate have been evaluated. Extinction coefficients for the uranium mononitrate and uranium dinitrate complexes are given between 410 and 440 nm. The apparent equilibrium constants are determined as a function of the ionic strength. The limitations of this predictive model are emphasized and comparisons with numerical models are discussed. (16 refs)

  6. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  7. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  8. Chemical microsensors based on polymer fiber composites

    Science.gov (United States)

    Kessick, Royal F.; Levit, Natalia; Tepper, Gary C.

    2005-05-01

    There is an urgent need for new chemical sensors for defense and security applications. In particular, sensors are required that can provide higher sensitivity and faster response in the field than existing baseline technologies. We have been developing a new solid-state chemical sensor technology based on microscale polymer composite fiber arrays. The fibers consist of an insulating polymer doped with conducting particles and are electrospun directly onto the surface of an interdigitated microelectrode. The concentration of the conducting particles within the fiber is controlled and is near the percolation threshold. Thus, the electrical resistance of the polymer fiber composite is very sensitive to volumetric changes produced in the polymer by vapor absorption. Preliminary results are presented on the fabrication and testing of the new microsensor. The objective is to take advantage of the very high surface to volume ratio, low thermal mass and linear geometry of the composite fibers to produce sensors exhibiting an extremely high vapor sensitivity and rapid response. The simplicity and low cost of a resistance-based chemical microsensor makes this sensing approach an attractive alternative to devices requiring RF electronics or time-of-flight analysis. Potential applications of this technology include battlespace awareness, homeland security, environmental surveillance, medical diagnostics and food process monitoring.

  9. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  10. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  11. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  12. On-line preconcentration and determination of mercury in biological and environmental samples by cold vapor-atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Ferrua, N.; Cerutti, S.; Salonia, J.A.; Olsina, R.A.; Martinez, L.D.

    2007-01-01

    An on-line procedure for the determination of traces of total mercury in environmental and biological samples is described. The present methodology combines cold vapor generation associated to atomic absorption spectrometry (CV-AAS) with preconcentration of the analyte on a minicolumn packed with activated carbon. The retained analyte was quantitatively eluted from the minicolumn with nitric acid. After that, volatile specie of mercury was generated by merging the acidified sample and sodium tetrahydroborate(III) in a continuous flow system. The gaseous analyte was subsequently introduced via a stream of Ar carrier into the atomizer device. Optimizations of both, preconcentration and mercury volatile specie generation variables were carried out using two level full factorial design (2 3 ) with 3 replicates of the central point. Considering a sample consumption of 25 mL, an enrichment factor of 13-fold was obtained. The detection limit (3σ) was 10 ng L -1 and the precision (relative standard deviation) was 3.1% (n = 10) at the 5 μg L -1 level. The calibration curve using the preconcentration system for mercury was linear with a correlation coefficient of 0.9995 at levels near the detection limit up to at least 1000 μg L -1 . Satisfactory results were obtained for the analysis of mercury in tap water and hair samples

  13. Direct insight into grains formation in Si layers grown on 3C-SiC by chemical vapor deposition

    International Nuclear Information System (INIS)

    Khazaka, Rami; Portail, Marc; Vennéguès, Philippe; Alquier, Daniel; Michaud, Jean François

    2015-01-01

    Graphical abstract: In this contribution, we demonstrated the influence of the 3C-SiC layer on the subsequent growth of Si epilayers. We were able to give a direct evidence that the rotation in the Si epilayer of 90° around the growth direction occurs exactly on the termination of an antiphase boundary in the 3C-SiC layer as shown in the figure above. Thus, increasing the layer thickness of the 3C-SiC leads to a direct improvement of the crystalline quality of the subsequent Si epilayer. (a) Cross-section bright-field TEM image of the Si/3C-SiC layer stack along two 3C-SiC zone axes [1 −1 0] and [1 1 0] (equivalent to [1 −1 1] and [1 1 2] in Si, respectively), (b) dark field image selecting a (2 0 −2) electron diffraction spot indicated by the black circle in the SAED shown as inset, (c) dark field image selecting a (−1 1 −1) electron diffraction spot indicated by the black circle in the SAED shown as inset. The dotted white line in the images show the position of the defect in the 3C-SiC layer. - Abstract: This work presents a structural study of silicon (Si) thin films grown on cubic silicon carbide (3C-SiC) by chemical vapor deposition. The presence of grains rotated by 90° around the growth direction in the Si layer is directly related to the presence of antiphase domains on the 3C-SiC surface. We were able to provide a direct evidence that the 90° rotation of Si grains around the growth direction occurs exactly on the termination of antiphase boundaries (APBs) in 3C-SiC layer. Increasing the 3C-SiC thickness reduces the APBs density on 3C-SiC surface leading to a clear improvement of the uppermost Si film crystal quality. Furthermore, we observed by high resolution plan-view TEM images the presence of hexagonal Si domains limited to few nm in size. These hexagonal Si domains are inclusions in small Si grains enclosed in larger ones rotated by 90°. Finally, we propose a model of grains formation in the Si layer taking into consideration the effect

  14. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  15. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  16. Simulation of stratospheric water vapor trends: impact on stratospheric ozone chemistry

    Directory of Open Access Journals (Sweden)

    A. Stenke

    2005-01-01

    Full Text Available A transient model simulation of the 40-year time period 1960 to 1999 with the coupled climate-chemistry model (CCM ECHAM4.L39(DLR/CHEM shows a stratospheric water vapor increase over the last two decades of 0.7 ppmv and, additionally, a short-term increase after major volcanic eruptions. Furthermore, a long-term decrease in global total ozone as well as a short-term ozone decline in the tropics after volcanic eruptions are modeled. In order to understand the resulting effects of the water vapor changes on lower stratospheric ozone chemistry, different perturbation simulations were performed with the CCM ECHAM4.L39(DLR/CHEM feeding the water vapor perturbations only to the chemistry part. Two different long-term perturbations of lower stratospheric water vapor, +1 ppmv and +5 ppmv, and a short-term perturbation of +2 ppmv with an e-folding time of two months were applied. An additional stratospheric water vapor amount of 1 ppmv results in a 5–10% OH increase in the tropical lower stratosphere between 100 and 30 hPa. As a direct consequence of the OH increase the ozone destruction by the HOx cycle becomes 6.4% more effective. Coupling processes between the HOx-family and the NOx/ClOx-family also affect the ozone destruction by other catalytic reaction cycles. The NOx cycle becomes 1.6% less effective, whereas the effectiveness of the ClOx cycle is again slightly enhanced. A long-term water vapor increase does not only affect gas-phase chemistry, but also heterogeneous ozone chemistry in polar regions. The model results indicate an enhanced heterogeneous ozone depletion during antarctic spring due to a longer PSC existence period. In contrast, PSC formation in the northern hemisphere polar vortex and therefore heterogeneous ozone depletion during arctic spring are not affected by the water vapor increase, because of the less PSC activity. Finally, this study shows that 10% of the global total ozone decline in the transient model run

  17. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  18. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  19. Vapor-Driven Propulsion of Catalytic Micromotors

    Science.gov (United States)

    Dong, Renfeng; Li, Jinxing; Rozen, Isaac; Ezhilan, Barath; Xu, Tailin; Christianson, Caleb; Gao, Wei; Saintillan, David; Ren, Biye; Wang, Joseph

    2015-08-01

    Chemically-powered micromotors offer exciting opportunities in diverse fields, including therapeutic delivery, environmental remediation, and nanoscale manufacturing. However, these nanovehicles require direct addition of high concentration of chemical fuel to the motor solution for their propulsion. We report the efficient vapor-powered propulsion of catalytic micromotors without direct addition of fuel to the micromotor solution. Diffusion of hydrazine vapor from the surrounding atmosphere into the sample solution is instead used to trigger rapid movement of iridium-gold Janus microsphere motors. Such operation creates a new type of remotely-triggered and powered catalytic micro/nanomotors that are responsive to their surrounding environment. This new propulsion mechanism is accompanied by unique phenomena, such as the distinct off-on response to the presence of fuel in the surrounding atmosphere, and spatio-temporal dependence of the motor speed borne out of the concentration gradient evolution within the motor solution. The relationship between the motor speed and the variables affecting the fuel concentration distribution is examined using a theoretical model for hydrazine transport, which is in turn used to explain the observed phenomena. The vapor-powered catalytic micro/nanomotors offer new opportunities in gas sensing, threat detection, and environmental monitoring, and open the door for a new class of environmentally-triggered micromotors.

  20. Electrospun Polymer Fiber Lasers for Applications in Vapor Sensing

    DEFF Research Database (Denmark)

    Krämmer, Sarah; Laye, Fabrice; Friedrich, Felix

    2017-01-01

    of the narrow lasing modes upon uptake of alcohol vapors (model vapors are methanol and ethanol) serves as sensor signal. Thus, the high sensitivity related to the spectral line shifts of cavity-based transducers can be combined with the fiber's large surface to volume ratio. The resulting optical sensors...