WorldWideScience

Sample records for non-volatile memory cells

  1. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  2. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  3. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  4. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  5. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  6. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  7. Method for refreshing a non-volatile memory

    Science.gov (United States)

    Riekels, James E.; Schlesinger, Samuel

    2008-11-04

    A non-volatile memory and a method of refreshing a memory are described. The method includes allowing an external system to control refreshing operations within the memory. The memory may generate a refresh request signal and transmit the refresh request signal to the external system. When the external system finds an available time to process the refresh request, the external system acknowledges the refresh request and transmits a refresh acknowledge signal to the memory. The memory may also comprise a page register for reading and rewriting a data state back to the memory. The page register may comprise latches in lieu of supplemental non-volatile storage elements, thereby conserving real estate within the memory.

  8. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  9. Bioorganic nanodots for non-volatile memory devices

    International Nuclear Information System (INIS)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi; Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil; Roizin, Yakov

    2013-01-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO 2 surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device

  10. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  11. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    Science.gov (United States)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  12. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  13. Bioorganic nanodots for non-volatile memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil, E-mail: rgil@post.tau.ac.il [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); StoreDot LTD, 16 Menahem Begin St., Ramat Gan (Israel); Roizin, Yakov [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); TowerJazz, P.O. Box 619, Migdal HaEmek 23105 (Israel)

    2013-12-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO{sub 2} surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device.

  14. Organic non-volatile memories from ferroelectric phase separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago; de Boer, Bert; Blom, Paul

    2009-03-01

    Ferroelectric polarisation is an attractive physical property for non-volatile binary switching. The functionality of the targeted memory should be based on resistive switching. Conductivity and ferroelectricity however cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. In this contribution we present an integrated solution by blending semiconducting and ferroelectric polymers into phase separated networks. The polarisation field of the ferroelectric modulates the injection barrier at the semiconductor--metal contact. This combination allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read-out non-destructively. Based on this general concept a non-volatile, reversible switchable Schottky diode with relatively fast programming time of shorter than 100 microseconds, long information retention time of longer than 10^ days, and high programming cycle endurance with non-destructive read-out is demonstrated.

  15. Organic non-volatile memories from ferroelectric phase-separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago M.; de Boer, Bert; Blom, Paul W. M.

    2008-07-01

    New non-volatile memories are being investigated to keep up with the organic-electronics road map. Ferroelectric polarization is an attractive physical property as the mechanism for non-volatile switching, because the two polarizations can be used as two binary levels. However, in ferroelectric capacitors the read-out of the polarization charge is destructive. The functionality of the targeted memory should be based on resistive switching. In inorganic ferroelectrics conductivity and ferroelectricity cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. Here we present an integrated solution by blending semiconducting and ferroelectric polymers into phase-separated networks. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-metal contact. The combination of ferroelectric bistability with (semi)conductivity and rectification allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read out non-destructively. The concept of an electrically tunable injection barrier as presented here is general and can be applied to other electronic devices such as light-emitting diodes with an integrated on/off switch.

  16. Low-temperature process steps for realization of non-volatile memory devices

    NARCIS (Netherlands)

    Brunets, I.; Boogaard, A.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.; Holleman, J.; Schmitz, Jurriaan

    2007-01-01

    In this work, the low-temperature process steps required for the realization of nano-crystal non-volatile memory cells are discussed. An amorphous silicon film, crystallized using a diode pumped solid state green laser irradiating at 532 nm, is proposed as an active layer. The deposition of the

  17. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    International Nuclear Information System (INIS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-01-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption

  18. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    Energy Technology Data Exchange (ETDEWEB)

    Jovanović, B., E-mail: bojan.jovanovic@lirmm.fr, E-mail: lionel.torres@lirmm.fr; Brum, R. M.; Torres, L. [LIRMM—University of Montpellier 2/UMR CNRS 5506, 161 Rue Ada, 34095 Montpellier (France)

    2014-04-07

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  19. Non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell of a non-volatile one

    NARCIS (Netherlands)

    Widdershoven, Franciscus P.; Annema, Anne J.; Storms, Maurits M.N.; Pelgrom, Marcellinus J.M.; Pelgrom, Marcel J M

    2001-01-01

    A non-volatile, random access memory cell comprises first and second inverters each having an output node cross-coupled by cross-coupling means to an input node of the other inverter for forming a MOS RAM cell. The output node of each inverter is selectively connected via the conductor paths of

  20. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  1. Metal-organic molecular device for non-volatile memory storage

    International Nuclear Information System (INIS)

    Radha, B.; Sagade, Abhay A.; Kulkarni, G. U.

    2014-01-01

    Non-volatile memory devices have been of immense research interest for their use in active memory storage in powered off-state of electronic chips. In literature, various molecules and metal compounds have been investigated in this regard. Molecular memory devices are particularly attractive as they offer the ease of storing multiple memory states in a unique way and also represent ubiquitous choice for miniaturized devices. However, molecules are fragile and thus the device breakdown at nominal voltages during repeated cycles hinders their practical applicability. Here, in this report, a synergetic combination of an organic molecule and an inorganic metal, i.e., a metal-organic complex, namely, palladium hexadecylthiolate is investigated for memory device characteristics. Palladium hexadecylthiolate following partial thermolysis is converted to a molecular nanocomposite of Pd(II), Pd(0), and long chain hydrocarbons, which is shown to exhibit non-volatile memory characteristics with exceptional stability and retention. The devices are all solution-processed and the memory action stems from filament formation across the pre-formed cracks in the nanocomposite film.

  2. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  3. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  4. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  5. Role of Non-Volatile Memories in Automotive and IoT Markets

    Science.gov (United States)

    2017-03-01

    Standard Manufacturing Supply Long Term Short to Medium Term Density Up to 16MB Up to 2MB IO Configuration Up to x128 Up to x32 Design for Test...Role of Non-Volatile Memories in Automotive and IoT Markets Vipin Tiwari Director, Business Development and Product Marketing SST – A Wholly Own...microcontrollers (MCU) and certainly one of the most challenging elements to master. This paper addresses the role of non-volatile memories for

  6. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  7. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Alshareef, Husam N.

    2012-01-01

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage

  8. Microwave oven fabricated hybrid memristor devices for non-volatile memory storage

    International Nuclear Information System (INIS)

    Verrelli, E; Gray, R J; O’Neill, M; Kemp, N T; Kelly, S M

    2014-01-01

    Novel hybrid non-volatile memories made using an ultra-fast microwave heating method are reported for the first time. The devices, consisting of aligned ZnO nanorods embedded in poly (methyl methacrylate), require no forming step and exhibit reliable and reproducible bipolar resistive switching at low voltages and with low power usage. We attribute these properties to a combination of the high aspect ratio of the nanorods and the polymeric hybrid structure of the device. The extremely easy, fast and low-cost solution based method of fabrication makes possible the simple and quick production of cheap memory cells. (paper)

  9. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  10. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  11. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  12. Origami-based tunable truss structures for non-volatile mechanical memory operation.

    Science.gov (United States)

    Yasuda, Hiromi; Tachi, Tomohiro; Lee, Mia; Yang, Jinkyu

    2017-10-17

    Origami has recently received significant interest from the scientific community as a method for designing building blocks to construct metamaterials. However, the primary focus has been placed on their kinematic applications by leveraging the compactness and auxeticity of planar origami platforms. Here, we present volumetric origami cells-specifically triangulated cylindrical origami (TCO)-with tunable stability and stiffness, and demonstrate their feasibility as non-volatile mechanical memory storage devices. We show that a pair of TCO cells can develop a double-well potential to store bit information. What makes this origami-based approach more appealing is the realization of two-bit mechanical memory, in which two pairs of TCO cells are interconnected and one pair acts as a control for the other pair. By assembling TCO-based truss structures, we experimentally verify the tunable nature of the TCO units and demonstrate the operation of purely mechanical one- and two-bit memory storage prototypes.Origami is a popular method to design building blocks for mechanical metamaterials. Here, the authors assemble a volumetric origami-based structure, predict its axial and rotational movements during folding, and demonstrate the operation of mechanical one- and two-bit memory storage.

  13. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  14. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  15. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  16. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  17. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser

    2012-03-21

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. High-performance non-volatile organic ferroelectric memory on banknotes.

    Science.gov (United States)

    Khan, M A; Bhansali, Unnat S; Alshareef, H N

    2012-04-24

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Use of non-volatile memories for SSC detector readout

    International Nuclear Information System (INIS)

    Fennelly, A.J.; Woosley, J.K.; Johnson, M.B.

    1990-01-01

    Use of non-volatile memory units at the end of each fiber optic bunch/strand would substantially increase information available from experiments by providing a complete event history, in addition to easing real time processing requirements. This may be an alternative to enhancing technology to optical computing techniques. Available and low-risk projected technologies will be surveyed, with costing addressed. Some discussion will be given to covnersion of optical signals, to electronic information, concepts for providing timing pulses to the memory units, and to the magnetoresistive (MRAM) and ferroelectric (FERAM) random access memory technologies that may be utilized in the prototype system

  20. Different importance of the volatile and non-volatile fractions of an olfactory signature for individual social recognition in rats versus mice and short-term versus long-term memory.

    Science.gov (United States)

    Noack, Julia; Richter, Karin; Laube, Gregor; Haghgoo, Hojjat Allah; Veh, Rüdiger W; Engelmann, Mario

    2010-11-01

    When tested in the olfactory cued social recognition/discrimination test, rats and mice differ in their retention of a recognition memory for a previously encountered conspecific juvenile: Rats are able to recognize a given juvenile for approximately 45 min only whereas mice show not only short-term, but also long-term recognition memory (≥ 24 h). Here we modified the social recognition/social discrimination procedure to investigate the neurobiological mechanism(s) underlying the species differences. We presented a conspecific juvenile repeatedly to the experimental subjects and monitored the investigation duration as a measure for recognition. Presentation of only the volatile fraction of the juvenile olfactory signature was sufficient for both short- and long-term recognition in mice but not rats. Applying additional volatile, mono-molecular odours to the "to be recognized" juveniles failed to affect short-term memory in both species, but interfered with long-term recognition in mice. Finally immunocytochemical analysis of c-Fos as a marker for cellular activation, revealed that juvenile exposure stimulated areas involved in the processing of olfactory signals in both the main and the accessory olfactory bulb in mice. In rats, we measured an increased c-Fos synthesis almost exclusively in cells of the accessory olfactory bulb. Our data suggest that the species difference in the retention of social recognition memory is based on differences in the processing of the volatile versus non-volatile fraction of the individuals' olfactory signature. The non-volatile fraction is sufficient for retaining a short-term social memory only. Long-term social memory - as observed in mice - requires a processing of both the volatile and non-volatile fractions of the olfactory signature. Copyright © 2010 Elsevier Inc. All rights reserved.

  1. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  2. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Science.gov (United States)

    Riente, Fabrizio; Ziemys, Grazvydas; Mattersdorfer, Clemens; Boche, Silke; Turvani, Giovanna; Raberg, Wolfgang; Luber, Sebastian; Breitkreutz-v. Gamm, Stephan

    2017-05-01

    Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML) is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  3. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Directory of Open Access Journals (Sweden)

    Fabrizio Riente

    2017-05-01

    Full Text Available Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  4. Rad Hard Non Volatile Memory for FPGA BootLoading, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation-hardened non volatile memory (NVM) is needed to store the golden copy of the image(s) has not kept pace with the advances in FPGAs. Consider that a single...

  5. The memory of volatility

    Directory of Open Access Journals (Sweden)

    Kai R. Wenger

    2018-03-01

    Full Text Available The focus of the volatility literature on forecasting and the predominance of theconceptually simpler HAR model over long memory stochastic volatility models has led to the factthat the actual degree of memory estimates has rarely been considered. Estimates in the literaturerange roughly between 0.4 and 0.6 - that is from the higher stationary to the lower non-stationaryregion. This difference, however, has important practical implications - such as the existence or nonexistenceof the fourth moment of the return distribution. Inference on the memory order is complicatedby the presence of measurement error in realized volatility and the potential of spurious long memory.In this paper we provide a comprehensive analysis of the memory in variances of international stockindices and exchange rates. On the one hand, we find that the variance of exchange rates is subject tospurious long memory and the true memory parameter is in the higher stationary range. Stock indexvariances, on the other hand, are free of low frequency contaminations and the memory is in the lowernon-stationary range. These results are obtained using state of the art local Whittle methods that allowconsistent estimation in presence of perturbations or low frequency contaminations.

  6. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  7. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  8. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  9. Non-exponential resistive switching in Ag2S memristors: a key to nanometer-scale non-volatile memory devices.

    Science.gov (United States)

    Gubicza, Agnes; Csontos, Miklós; Halbritter, András; Mihály, György

    2015-03-14

    The dynamics of resistive switchings in nanometer-scale metallic junctions formed between an inert metallic tip and an Ag film covered by a thin Ag2S layer are investigated. Our thorough experimental analysis and numerical simulations revealed that the resistance change upon a switching bias voltage pulse exhibits a strongly non-exponential behaviour yielding markedly different response times at different bias levels. Our results demonstrate the merits of Ag2S nanojunctions as nanometer-scale non-volatile memory cells with stable switching ratios, high endurance as well as fast response to write/erase, and an outstanding stability against read operations at technologically optimal bias and current levels.

  10. Non-volatile memory devices with redox-active diruthenium molecular compound

    International Nuclear Information System (INIS)

    Pookpanratana, S; Zhu, H; Bittle, E G; Richter, C A; Li, Q; Hacker, C A; Natoli, S N; Ren, T

    2016-01-01

    Reduction-oxidation (redox) active molecules hold potential for memory devices due to their many unique properties. We report the use of a novel diruthenium-based redox molecule incorporated into a non-volatile Flash-based memory device architecture. The memory capacitor device structure consists of a Pd/Al 2 O 3 /molecule/SiO 2 /Si structure. The bulky ruthenium redox molecule is attached to the surface by using a ‘click’ reaction and the monolayer structure is characterized by x-ray photoelectron spectroscopy to verify the Ru attachment and molecular density. The ‘click’ reaction is particularly advantageous for memory applications because of (1) ease of chemical design and synthesis, and (2) provides an additional spatial barrier between the oxide/silicon to the diruthenium molecule. Ultraviolet photoelectron spectroscopy data identified the energy of the electronic levels of the surface before and after surface modification. The molecular memory devices display an unsaturated charge storage window attributed to the intrinsic properties of the redox-active molecule. Our findings demonstrate the strengths and challenges with integrating molecular layers within solid-state devices, which will influence the future design of molecular memory devices. (paper)

  11. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  12. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  13. A graphene-based non-volatile memory

    Science.gov (United States)

    Loisel, Loïc.; Maurice, Ange; Lebental, Bérengère; Vezzoli, Stefano; Cojocaru, Costel-Sorin; Tay, Beng Kang

    2015-09-01

    We report on the development and characterization of a simple two-terminal non-volatile graphene switch. After an initial electroforming step during which Joule heating leads to the formation of a nano-gap impeding the current flow, the devices can be switched reversibly between two well-separated resistance states. To do so, either voltage sweeps or pulses can be used, with the condition that VSET achieve reversible switching on more than 100 cycles with resistance ratio values of 104. This approach of graphene memory is competitive as compared to other graphene approaches such as redox of graphene oxide, or electro-mechanical switches with suspended graphene. We suggest a switching model based on a planar electro-mechanical switch, whereby electrostatic, elastic and friction forces are competing to switch devices ON and OFF, and the stability in the ON state is achieved by the formation of covalent bonds between the two stretched sides of the graphene, hence bridging the nano-gap. Developing a planar electro-mechanical switch enables to obtain the advantages of electro-mechanical switches while avoiding most of their drawbacks.

  14. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  15. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  16. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues

    Science.gov (United States)

    Noé, Pierre; Vallée, Christophe; Hippert, Françoise; Fillot, Frédéric; Raty, Jean-Yves

    2018-01-01

    Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these

  17. Non Volatile Flash Memory Radiation Tests

    Science.gov (United States)

    Irom, Farokh; Nguyen, Duc N.; Allen, Greg

    2012-01-01

    Commercial flash memory industry has experienced a fast growth in the recent years, because of their wide spread usage in cell phones, mp3 players and digital cameras. On the other hand, there has been increased interest in the use of high density commercial nonvolatile flash memories in space because of ever increasing data requirements and strict power requirements. Because of flash memories complex structure; they cannot be treated as just simple memories in regards to testing and analysis. It becomes quite challenging to determine how they will respond in radiation environments.

  18. An ultra-low-power area-efficient non-volatile memory in a 0.18 μm single-poly CMOS process for passive RFID tags

    International Nuclear Information System (INIS)

    Jia Xiaoyun; Feng Peng; Zhang Shengguang; Wu Nanjian; Zhao Baiqin; Liu Su

    2013-01-01

    This paper presents an ultra-low-power area-efficient non-volatile memory (NVM) in a 0.18 μm single-poly standard CMOS process for passive radio frequency identification (RFID) tags. In the memory cell, a novel low-power operation method is proposed to realize bi-directional Fowler—Nordheim tunneling during write operation. Furthermore, the cell is designed with PMOS transistors and coupling capacitors to minimize its area. In order to improve its reliability, the cell consists of double floating gates to store the data, and the 1 kbit NVM was implemented in a 0.18 μm single-poly standard CMOS process. The area of the memory cell and 1 kbit memory array is 96 μm 2 and 0.12 mm 2 , respectively. The measured results indicate that the program/erase voltage ranges from 5 to 6 V The power consumption of the read/write operation is 0.19 μW/0.69 μW at a read/write rate of (268 kb/s)/(3.0 kb/s). (semiconductor integrated circuits)

  19. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-01

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  20. Computing with volatile memristors: an application of non-pinched hysteresis

    Science.gov (United States)

    Pershin, Y. V.; Shevchenko, S. N.

    2017-02-01

    The possibility of in-memory computing with volatile memristive devices, namely, memristors requiring a power source to sustain their memory, is demonstrated theoretically. We have adopted a hysteretic graphene-based field emission structure as a prototype of a volatile memristor, which is characterized by a non-pinched hysteresis loop. A memristive model of the structure is developed and used to simulate a polymorphic circuit implementing stateful logic gates, such as the material implication. Specific regions of parameter space realizing useful logic functions are identified. Our results are applicable to other realizations of volatile memory devices, such as certain NEMS switches.

  1. Process Qualification Strategy for Advances Embedded Non Volatile Memory Technology : The Philips' 0.18um Embedded Flash Case

    NARCIS (Netherlands)

    Tao, Guoqiao; Scarpa, Andrea; van Dijk, Kitty; Kuper, Fred G.

    2003-01-01

    A qualification strategy for advanced embedded non-volatile memory technology has been revealed. This strategy consists of: a thorough understanding of the requirements, extensive use and frequent update of the FMEA (failure mode effect analysis), a qualification plan with excellent coverage of all

  2. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    Energy Technology Data Exchange (ETDEWEB)

    De, Arup [Univ. of California, San Diego, CA (United States)

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interface (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.

  3. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  4. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    Science.gov (United States)

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  5. Bias-reduced estimation of long memory stochastic volatility

    DEFF Research Database (Denmark)

    Frederiksen, Per; Nielsen, Morten Ørregaard

    We propose to use a variant of the local polynomial Whittle estimator to estimate the memory parameter in volatility for long memory stochastic volatility models with potential nonstation- arity in the volatility process. We show that the estimator is asymptotically normal and capable of obtaining...

  6. Long-term memory and volatility clustering in high-frequency price changes

    Science.gov (United States)

    oh, Gabjin; Kim, Seunghwan; Eom, Cheoljun

    2008-02-01

    We studied the long-term memory in diverse stock market indices and foreign exchange rates using Detrended Fluctuation Analysis (DFA). For all high-frequency market data studied, no significant long-term memory property was detected in the return series, while a strong long-term memory property was found in the volatility time series. The possible causes of the long-term memory property were investigated using the return data filtered by the AR(1) model, reflecting the short-term memory property, the GARCH(1,1) model, reflecting the volatility clustering property, and the FIGARCH model, reflecting the long-term memory property of the volatility time series. The memory effect in the AR(1) filtered return and volatility time series remained unchanged, while the long-term memory property diminished significantly in the volatility series of the GARCH(1,1) filtered data. Notably, there is no long-term memory property, when we eliminate the long-term memory property of volatility by the FIGARCH model. For all data used, although the Hurst exponents of the volatility time series changed considerably over time, those of the time series with the volatility clustering effect removed diminish significantly. Our results imply that the long-term memory property of the volatility time series can be attributed to the volatility clustering observed in the financial time series.

  7. Four-state non-volatile memory in a multiferroic spin filter tunnel junction

    Science.gov (United States)

    Ruan, Jieji; Li, Chen; Yuan, Zhoushen; Wang, Peng; Li, Aidong; Wu, Di

    2016-12-01

    We report a spin filter type multiferroic tunnel junction with a ferromagnetic/ferroelectric bilayer barrier. Memory functions of a spin filter magnetic tunnel junction and a ferroelectric tunnel junction are combined in this single device, producing four non-volatile resistive states that can be read out in a non-destructive manner. This concept is demonstrated in a LaNiO3/Pr0.8Ca0.2MnO3/BaTiO3/La0.7Sr0.3MnO3 all-oxide tunnel junction. The ferromagnetic insulator Pr0.8Ca0.2MnO3 serves as the spin filter and the ferromagnetic metal La0.7Sr0.3MnO3 is the spin analyzer. The ferroelectric polarization reversal in the BaTiO3 barrier switches the tunneling barrier height to produce a tunneling electroresistance. The ferroelectric switching also modulates the spin polarization and the spin filtering efficiency in Pr0.8Ca0.2MnO3.

  8. The floating-gate non-volatile semiconductor memory--from invention to the digital age.

    Science.gov (United States)

    Sze, S M

    2012-10-01

    In the past 45 years (from 1967 to 2012), the non-volatile semiconductor memory (NVSM) has emerged from a floating-gate concept to the prime technology driver of the largest industry in the world-the electronics industry. In this paper, we briefly review the historical development of NVSM and project its future trends to the year 2020. In addition, we consider NVSM's wide-range of applications from the digital cellular phone to tablet computer to digital television. As the device dimension is scaled down to the deca-nanometer regime, we expect that many innovations will be made to meet the scaling challenges, and NVSM-inspired technology will continue to enrich and improve our lives for decades to come.

  9. Electrical and ferroelectric properties of RF sputtered PZT/SBN on silicon for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    We report the integration of multilayer ferroelectric film deposited by RF magnetron sputtering and explore the electrical characteristics for its application as the gate of ferroelectric field effect transistor for non-volatile memories. PZT (Pb[Zr0.35Ti0.65]O3) and SBN (SrBi2Nb2O9) ferroelectric materials were selected for the stack fabrication due to their large polarization and fatigue free properties respectively. Electrical characterization has been carried out to obtain memory window, leakage current density, PUND and endurance characteristics. Fabricated multilayer ferroelectric film capacitor structure shows large memory window of 17.73 V and leakage current density of the order 10-6 A cm-2 for the voltage sweep of -30 to +30 V. This multilayer gate stack of PZT/SBN shows promising endurance property with no degradation in the remnant polarization for the read/write iteration cycles upto 108.

  10. Consequences for option pricing of a long memory in volatility

    OpenAIRE

    Taylor, S J

    2001-01-01

    The economic consequences of a long memory assumption about volatility are documented, by comparing implied volatilities for option prices obtained from short and long memory volatility processes. Numerical results are given for options on the S&P 100 index from 1984 to 1998, with lives up to two years. The long memory assumption is found to have a significant impact upon the term structure of implied volatilities and a relatively minor impact upon smile effects. These conclusions are importa...

  11. Long Memory in Stock Market Volatility and the Volatility-in-Mean Effect: The FIEGARCH-M Model

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard; Zhu, Jie

    We extend the fractionally integrated exponential GARCH (FIEGARCH) model for daily stock return data with long memory in return volatility of Bollerslev and Mikkelsen (1996) by introducing a possible volatility-in-mean effect. To avoid that the long memory property of volatility carries over to r...

  12. Electric field mediated non-volatile tuning magnetism in CoPt/PMN-PT heterostructure for magnetoelectric memory devices

    Science.gov (United States)

    Yang, Y. T.; Li, J.; Peng, X. L.; Wang, X. Q.; Wang, D. H.; Cao, Q. Q.; Du, Y. W.

    2016-02-01

    We report a power efficient non-volatile magnetoelectric memory in the CoPt/(011)PMN-PT heterostructure. Two reversible and stable electric field induced coercivity states (i.e., high-HC or low-HC) are obtained due to the strain mediated converse magnetoelectric effect. The reading process of the different coercive field information written by electric fields is demonstrated by using a magnetoresistance read head. This result shows good prospects in the application of novel multiferroic devices.

  13. NVL-C: Static Analysis Techniques for Efficient, Correct Programming of Non-Volatile Main Memory Systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seyong [ORNL; Vetter, Jeffrey S [ORNL

    2016-01-01

    Computer architecture experts expect that non-volatile memory (NVM) hierarchies will play a more significant role in future systems including mobile, enterprise, and HPC architectures. With this expectation in mind, we present NVL-C: a novel programming system that facilitates the efficient and correct programming of NVM main memory systems. The NVL-C programming abstraction extends C with a small set of intuitive language features that target NVM main memory, and can be combined directly with traditional C memory model features for DRAM. We have designed these new features to enable compiler analyses and run-time checks that can improve performance and guard against a number of subtle programming errors, which, when left uncorrected, can corrupt NVM-stored data. Moreover, to enable recovery of data across application or system failures, these NVL-C features include a flexible directive for specifying NVM transactions. So that our implementation might be extended to other compiler front ends and languages, the majority of our compiler analyses are implemented in an extended version of LLVM's intermediate representation (LLVM IR). We evaluate NVL-C on a number of applications to show its flexibility, performance, and correctness.

  14. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  15. Volatile and non-volatile/semi-volatile compounds and in vitro bioactive properties of Chilean Ulmo (Eucryphia cordifolia Cav.) honey.

    Science.gov (United States)

    Acevedo, Francisca; Torres, Paulina; Oomah, B Dave; de Alencar, Severino Matias; Massarioli, Adna Prado; Martín-Venegas, Raquel; Albarral-Ávila, Vicenta; Burgos-Díaz, César; Ferrer, Ruth; Rubilar, Mónica

    2017-04-01

    Ulmo honey originating from Eucryphia cordifolia tree, known locally in the Araucania region as the Ulmo tree is a natural product with valuable nutritional and medicinal qualities. It has been used in the Mapuche culture to treat infections. This study aimed to identify the volatile and non-volatile/semi-volatile compounds of Ulmo honey and elucidate its in vitro biological properties by evaluating its antioxidant, antibacterial, antiproliferative and hemolytic properties and cytotoxicity in Caco-2 cells. Headspace volatiles of Ulmo honey were isolated by solid-phase microextraction (SPME); non-volatiles/semi-volatiles were obtained by removing all saccharides with acidified water and the compounds were identified by GC/MS analysis. Ulmo honey volatiles consisted of 50 compounds predominated by 20 flavor components. Two of the volatile compounds, lyrame and anethol have never been reported before as honey compounds. The non-volatile/semi-volatile components of Ulmo honey comprised 27 compounds including 13 benzene derivatives accounting 75% of the total peak area. Ulmo honey exhibited weak antioxidant activity but strong antibacterial activity particularly against gram-negative bacteria and methicillin-resistant Staphylococcus aureus (MRSA), the main strain involved in wounds and skin infections. At concentrations >0.5%, Ulmo honey reduced Caco-2 cell viability, released lactate dehydrogenase (LDH) and increased reactive oxygen species (ROS) production in a dose dependent manner in the presence of foetal bovine serum (FBS). The wide array of volatile and non-volatile/semi-volatile constituents of Ulmo honey rich in benzene derivatives may partly account for its strong antibacterial and antiproliferative properties important for its therapeutic use. Our results indicate that Ulmo honey can potentially inhibit cancer growth at least partly by modulating oxidative stress. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  17. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-07-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed magnet (Bhowmik et al., 2014). The possibility of setting the magnetization to both stable magnetization states in a controlled manner using a similar concept remains unknown, but the proposed structure poses to be a solution to this difficulty. The second cell proposed takes advantage of the multiple stable magnetic states that exist in ferromagnets with configurational anisotropy and also uses spin torques to manipulate its magnetization. It utilizes a square-shaped ferromagnet whose stable magnetization has preferred directions along the diagonals of the square, giving four stable magnetic states allowing to use the structure as a multi-bit memory cell. Both devices use spin currents generated in heavy metals by the Spin Hall effect present in these materials. Among the advantages of the structures proposed are their inherent non-volatility and the fact that there is no need for applying external magnetic fields during their operation, which drastically improves the energy efficiency of the devices. Computational simulations using the Object Oriented Micromagnetic Framework (OOMMF) software package were performed to study the dynamics of the magnetization process in both structures and predict their behavior. Besides, we fabricated a 4-terminal memory cell with configurational anisotropy similar to the device proposed, and found four stable resistive states on the structure, proving the feasibility of this technology for implementation of high-density, non-volatile memory cells.

  18. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  19. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Science.gov (United States)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-05-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  20. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    Energy Technology Data Exchange (ETDEWEB)

    Di Pendina, G., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr; Zianbetov, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, INAC-SPINTEC, F-38000 Grenoble (France); CNRS, SPINTEC, F-38000 Grenoble (France); CEA, INAC-SPINTEC, F-38000 Grenoble (France); Beigne, E., E-mail: gregory.dipendina@cea.fr, E-mail: eldar.zianbetov@cea.fr, E-mail: edith.beigne@cea.fr [Univ. Grenoble Alpes, CEA, LETI, F-38000 Grenoble (France)

    2015-05-07

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes.

  1. Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications

    International Nuclear Information System (INIS)

    Di Pendina, G.; Zianbetov, E.; Beigne, E.

    2015-01-01

    Micro and nano electronic integrated circuit domain is today mainly driven by the advent of the Internet of Things for which the constraints are strong, especially in terms of power consumption and autonomy, not only during the computing phases but also during the standby or idle phases. In such ultra-low power applications, the circuit has to meet new constraints mainly linked to its changing energetic environment: long idle phases, automatic wake up, data back-up when the circuit is sporadically turned off, and ultra-low voltage power supply operation. Such circuits have to be completely autonomous regarding their unstable environment, while remaining in an optimum energetic configuration. Therefore, we propose in this paper the first MRAM-based non-volatile asynchronous Muller cell. This cell has been simulated and characterized in a very advanced 28 nm CMOS fully depleted silicon-on-insulator technology, presenting good power performance results due to an extremely efficient body biasing control together with ultra-wide supply voltage range from 160 mV up to 920 mV. The leakage current can be reduced to 154 pA thanks to reverse body biasing. We also propose an efficient standard CMOS bulk version of this cell in order to be compatible with different fabrication processes

  2. Non-volatile resistive switching in the Mott insulator (V1-xCrx)2O3

    Science.gov (United States)

    Querré, M.; Tranchant, J.; Corraze, B.; Cordier, S.; Bouquet, V.; Députier, S.; Guilloux-Viry, M.; Besland, M.-P.; Janod, E.; Cario, L.

    2018-05-01

    The discovery of non-volatile resistive switching in Mott insulators related to an electric-field-induced insulator to metal transition (IMT) has paved the way for their use in a new type of non-volatile memories, the Mott memories. While most of the previous studies were dedicated to uncover the resistive switching mechanism and explore the memory potential of chalcogenide Mott insulators, we present here a comprehensive study of resistive switching in the canonical oxide Mott insulator (V1-xCrx)2O3. Our work demonstrates that this compound undergoes a non-volatile resistive switching under electric field. This resistive switching is induced by a Mott transition at the local scale which creates metallic domains closely related to existing phases of the temperature-pressure phase diagram of (V1-xCrx)2O3. Our work demonstrates also reversible resistive switching in (V1-xCrx)2O3 crystals and thin film devices. Preliminary performances obtained on 880 nm thick layers with 500 nm electrodes show the strong potential of Mott memories based on the Mott insulator (V1-xCrx)2O3.

  3. Long Memory in STOCK Market Volatility: the International Evidence

    Science.gov (United States)

    Yang, Chunxia; Hu, Sen; Xia, Bingying; Wang, Rui

    2012-08-01

    It is still a hot topic to catch the auto-dependence behavior of volatility. Here, based on the measurement of average volatility, under different observation window size, we investigated the dependence of successive volatility of several main stock indices and their simulated GARCH(1, 1) model, there were obvious linear auto-dependence in the logarithm of volatility under a small observation window size and nonlinear auto-dependence under a big observation. After calculating the correlation and mutual information of the logarithm of volatility for Dow Jones Industrial Average during different periods, we find that some influential events can change the correlation structure and the volatilities of different periods have distinct influence on that of the remote future. Besides, GARCH model could produce similar behavior of dependence as real data and long memory property. But our analyses show that the auto-dependence of volatility in GARCH is different from that in real data, and the long memory is undervalued by GARCH.

  4. Phase change materials in non-volatile storage

    OpenAIRE

    Ielmini, Daniele; Lacaita, Andrea L.

    2011-01-01

    After revolutionizing the technology of optical data storage, phase change materials are being adopted in non-volatile semiconductor memories. Their success in electronic storage is mostly due to the unique properties of the amorphous state where carrier transport phenomena and thermally-induced phase change cooperate to enable high-speed, low-voltage operation and stable data retention possible within the same material. This paper reviews the key physical properties that make this phase so s...

  5. Long memory and tail dependence in trading volume and volatility

    DEFF Research Database (Denmark)

    Rossi, Eduardo; Santucci de Magistris, Paolo

    2013-01-01

    We investigate the relationship between volatility, measured by realized volatility, and trading volume for 25 NYSE stocks. We show that volume and volatility are long memory but not fractionally cointegrated in most cases. We also find right tail dependence in the volatility and volume innovations...

  6. Testing for long memory in volatility in the Indian Forex market

    Directory of Open Access Journals (Sweden)

    Kumar Anoop S.

    2014-01-01

    Full Text Available This article attempts to verify the presence of long memory in volatility in the Indian foreign exchange market using daily bilateral returns of the Indian Rupee against the US dollar from 17/02/1994 to 08/11/2013. In the first part of the analysis the presence of long-term dependence is confirmed in the return series as well as in two measures of unconditional volatility (absolute returns and squared returns by employing three measures of long memory. Next, the presence of long memory in conditional volatility is tested using ARMA-FIGARCH and ARMA-FIAPARCH models under various distributional assumptions. The results confirm the presence of long memory in conditional variance for two models. In the last part, the presence of long memory in conditional mean and conditional variance is verified using ARFIMA-FIGARCH and ARFIMA-FIAPARCH models. It is also found that long-memory models fare well compared to short-memory models in sample forecast performance.

  7. High frequency electromechanical memory cells based on telescoping carbon nanotubes.

    Science.gov (United States)

    Popov, A M; Lozovik, Y E; Kulish, A S; Bichoutskaia, E

    2010-07-01

    A new method to increase the operational frequency of electromechanical memory cells based on the telescoping motion of multi-walled carbon nanotubes through the selection of the form of the switching voltage pulse is proposed. The relative motion of the walls of carbon nanotubes can be controlled through the shape of the interwall interaction energy surface. This allows the use of the memory cells in nonvolatile or volatile regime, depending on the structure of carbon nanotube. Simulations based on ab initio and semi-empirical calculations of the interwall interaction energies are used to estimate the switching voltage and the operational frequency of volatile cells with the electrodes made of carbon nanotubes. The lifetime of nonvolatile memory cells is also predicted.

  8. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    2007-01-01

    Recent empirical evidence demonstrates the presence of an important long memory component in realized asset return volatility. We specify and estimate multivariate models for the joint dynamics of stock returns and volatility that allow for long memory in volatility without imposing this property...... on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  9. Highly conducting leakage-free electrolyte for SrCoOx-based non-volatile memory device

    Science.gov (United States)

    Katase, Takayoshi; Suzuki, Yuki; Ohta, Hiromichi

    2017-10-01

    The electrochemical switching of SrCoOx-based non-volatile memory with a thin-film-transistor structure was examined by using liquid-leakage-free electrolytes with different conductivities (σ) as the gate insulator. We first examined leakage-free water, which is incorporated in the amorphous (a-) 12CaO.7Al2O3 film with a nanoporous structure (Calcium Aluminate with Nanopore), but the electrochemical oxidation/reduction of the SrCoOx layer required the application of a high gate voltage (Vg) up to 20 V for a very long current-flowing-time (t) ˜40 min, primarily due to the low σ [2.0 × 10-8 S cm-1 at room temperature (RT)] of leakage-free water. We then controlled the σ of the leakage-free electrolyte, infiltrated in the a-NaxTaO3 film with a nanopillar array structure, from 8.0 × 10-8 S cm-1 to 2.5 × 10-6 S cm-1 at RT by changing the x = 0.01-1.0. As the result, the t, required for the metallization of the SrCoOx layer under small Vg = -3 V, becomes two orders of magnitude shorter with increase of the σ of the a-NaxTaO3 leakage-free electrolyte. These results indicate that the ion migration in the leakage-free electrolyte is the rate-determining step for the electrochemical switching, compared to the other electrochemical process, and the high σ of the leakage-free electrolyte is the key factor for the development of the non-volatile SrCoOx-based electro-magnetic phase switching device.

  10. Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications

    Science.gov (United States)

    Erlbacher, T.; Huerner, A.; Bauer, A. J.; Frey, L.

    2012-09-01

    Anti-fuse devices based on non-volatile memory cells and suitable for power electronic applications are demonstrated for the first time using silicon technology. These devices may be applied as stand alone devices or integrated using standard junction-isolation into application-specific and smart-power integrated circuits. The on-resistance of such devices can be permanently switched by nine orders of magnitude by triggering the anti-fuse with a positive voltage pulse. Extrapolation of measurement data and 2D TCAD process and device simulations indicate that 20 A anti-fuses with 10 mΩ can be reliably fabricated in 0.35 μm technology with a footprint of 2.5 mm2. Moreover, this concept offers distinguished added-values compared to existing mechanical relays, e.g. pre-test, temporary and permanent reset functions, gradual turn-on mode, non-volatility, and extendibility to high voltage capability.

  11. Non-volatile nano-floating gate memory with Pt-Fe{sub 2}O{sub 3} composite nanoparticles and indium gallium zinc oxide channel

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)

    2013-02-15

    Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.

  12. True or spurious long memory in volatility: Further evidence on the energy futures markets

    International Nuclear Information System (INIS)

    Charfeddine, Lanouar

    2014-01-01

    The main goal of this paper is to investigate whether the long memory behavior observed in many volatility energy futures markets series is a spurious behavior or not. For this purpose, we employ a wide variety of advanced volatility models that allow for long memory and/or structural changes: the GARCH(1,1), the FIGARCH(1,d,1), the Adaptative-GARCH(1,1,k), and the Adaptative-FIGARCH(1,d,1,k) models. To compare forecasting ability of these models, we use out-of-sample forecasting performance. Using the crude oil, heating oil, gasoline and propane volatility futures energy time series with 1-month and 3-month maturities, we found that five out of the eight time series are characterized by both long memory and structural breaks. For these series, dates of breaks coincide with some major economics and financial events. For the three other time series, we found strong evidence of long memory in volatility. - Highlights: • This paper investigates the long memory properties of the futures energy volatility. • We estimate a variety of GARCH-class of models. • The Adaptative-FIGARCH(1,d,1,k) model has been used to account for both long memory and breaks. • 5 out of the 8 futures energy series are characterized by both long memory and structural breaks. • The 3 other series are characterized by only long range dependence in volatility

  13. A multi-level capacitor-less memory cell fabricated on a nano-scale strained silicon-on-insulator

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Kim, Seong-Je; Shin, Mi-Hee; Song, Seung-Hyun; Shim, Tae-Hun; Chung, Sung-Woong; Enomoto, Hirofumi

    2011-01-01

    A multi-level capacitor-less memory cell was fabricated with a fully depleted n-metal-oxide-semiconductor field-effect transistor on a nano-scale strained silicon channel on insulator (FD sSOI n-MOSFET). The 0.73% biaxial tensile strain in the silicon channel of the FD sSOI n-MOSFET enhanced the effective electron mobility to ∼ 1.7 times that with an unstrained silicon channel. This thereby enables both front- and back-gate cell operations, demonstrating eight-level volatile memory-cell operation with a 1 ms retention time and 12 μA memory margin. This is a step toward achieving a terabit volatile memory cell.

  14. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    Science.gov (United States)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  15. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  16. Two-dimensional non-volatile programmable p-n junctions

    Science.gov (United States)

    Li, Dong; Chen, Mingyuan; Sun, Zhengzong; Yu, Peng; Liu, Zheng; Ajayan, Pulickel M.; Zhang, Zengxing

    2017-09-01

    Semiconductor p-n junctions are the elementary building blocks of most electronic and optoelectronic devices. The need for their miniaturization has fuelled the rapid growth of interest in two-dimensional (2D) materials. However, the performance of a p-n junction considerably degrades as its thickness approaches a few nanometres and traditional technologies, such as doping and implantation, become invalid at the nanoscale. Here we report stable non-volatile programmable p-n junctions fabricated from the vertically stacked all-2D semiconductor/insulator/metal layers (WSe2/hexagonal boron nitride/graphene) in a semifloating gate field-effect transistor configuration. The junction exhibits a good rectifying behaviour with a rectification ratio of 104 and photovoltaic properties with a power conversion efficiency up to 4.1% under a 6.8 nW light. Based on the non-volatile programmable properties controlled by gate voltages, the 2D p-n junctions have been exploited for various electronic and optoelectronic applications, such as memories, photovoltaics, logic rectifiers and logic optoelectronic circuits.

  17. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd [Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Bahar, Arifah [UTM Centre for Industrial and Applied Mathematics (UTM-CIAM), Universiti Teknologi Malaysia, 81310, Johor Bahru and Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Ting, Chee-Ming [Center for Biomedical Engineering, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia)

    2015-02-03

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  18. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Science.gov (United States)

    Chen, Kho Chia; Bahar, Arifah; Kane, Ibrahim Lawal; Ting, Chee-Ming; Rahman, Haliza Abd

    2015-02-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  19. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    International Nuclear Information System (INIS)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd; Bahar, Arifah; Ting, Chee-Ming

    2015-01-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well

  20. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    NARCIS (Netherlands)

    S. Peiris (Shelton); M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractIn recent years fractionally differenced processes have received a great deal of attention due to its flexibility in financial applications with long memory. This paper considers a class of models generated by Gegenbauer polynomials, incorporating the long memory in stochastic volatility

  1. Novel ferroelectric capacitor for non-volatile memory storage and biomedical tactile sensor applications

    International Nuclear Information System (INIS)

    Liu, Shi Yang; Chua, Lynn; Tan, Kian Chuan; Valavan, S.E.

    2010-01-01

    We report on novel ferroelectric thin film compositions for use in non-volatile memory storage and biomedical tactile sensor applications. The lead zirconate titanate (PZT) composition was modified by lanthanum (La 3+ ) (PLZT) and vanadium (V 5+ ) (PZTV, PLZTV) doping. Hybrid films with PZTV and PLZTV as top layers are also made using seed layers of differing compositions using sol-gel and spin coating methods. La 3+ doping decreased the coercive field, polarization and leakage current, while increasing the relative permittivity. V 5+ doping, while having similar effects, results in an enhanced polarization, with comparable dielectric loss characteristics. Complex doping of both La 3+ and V 5+ in PLZTV, while reducing the polarization relative to PZTV, significantly decreases the coercive field. Hybrid films have a greater uniformity of grain formation than non-hybrid films, thus decreasing the coercive field, leakage current and polarization fatigue while increasing the relative permittivity. Analysis using X-ray diffraction (XRD) verified the retention of the PZT perovskite structure in the novel films. PLZT/PZTV has been identified as an optimal ferroelectric film composition due to its desirable ferroelectric, fatigue and dielectric properties, including the highest observed remnant polarization (P r ) of ∼ 25 μC/cm 2 , saturation polarization (P sat ) of ∼ 58 μC/cm 2 and low coercive field (E c ) of ∼ 60 kV/cm at an applied field of ∼ 1000 kV/cm, as well as a low leakage current density of ∼ 10 -5 A/cm 2 at 500 kV/cm and fatigue resistance of up to ∼ 10 10 switching cycles.

  2. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  3. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    R. Tansuchat (Roengchai); C-L. Chang (Chia-Lin); M.J. McAleer (Michael)

    2009-01-01

    textabstractThis paper estimates the long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  4. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    C-L. Chang (Chia-Lin); M.J. McAleer (Michael); R. Tansuchat (Roengchai)

    2012-01-01

    textabstractThis paper estimates a long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  5. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  6. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  7. Laser Nanosoldering of Golden and Magnetite Particles and its Possible Application in 3D Printing Devices and Four-Valued Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Jaworski Jacek

    2015-12-01

    Full Text Available In recent years the 3D printing methods have been developing rapidly. This article presents researches about a new composite consisted of golden and magnetite nanoparticles which could be used for this technique. Preparation of golden nanoparticles by laser ablation and their soldering by laser green light irradiation proceeded in water environment. Magnetite was obtained on chemical way. During experiments it was tested a change of a size of nanoparticles during laser irradiation, surface plasmon resonance, zeta potential. The obtained golden - magnetite composite material was magnetic after laser irradiation. On the end there was considered the application it for 3D printing devices, water filters and four-valued non-volatile memories.

  8. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  9. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-09-01

    Full Text Available To enable the design of large capacity memory structures, novel memory technologies such as non-volatile memory (NVM and novel fabrication approaches, e.g., 3D stacking and multi-level cell (MLC design have been explored. The existing modeling tools, however, cover only a few memory technologies, technology nodes and fabrication approaches. We present DESTINY, a tool for modeling 2D/3D memories designed using SRAM, resistive RAM (ReRAM, spin transfer torque RAM (STT-RAM, phase change RAM (PCM and embedded DRAM (eDRAM and 2D memories designed using spin orbit torque RAM (SOT-RAM, domain wall memory (DWM and Flash memory. In addition to single-level cell (SLC designs for all of these memories, DESTINY also supports modeling MLC designs for NVMs. We have extensively validated DESTINY against commercial and research prototypes of these memories. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g., latency, area or energy-delay product for a given memory technology, choosing the suitable memory technology or fabrication method (i.e., 2D v/s 3D for a given optimization target, etc. We believe that DESTINY will boost studies of next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers. The latest source-code of DESTINY is available from the following git repository: https://bitbucket.org/sparshmittal/destinyv2.

  10. Novel applications of non-volatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Duthie, I

    1982-01-01

    The author reviews briefly the evolution of the programmable memory and the alternative technologies, before discussing the operation of a small EEPROM when used in conjunction with a microprocessor for typical applications. Some applications are reviewed and the opportunities which eeproms can offer for new applications are presented, together with the requirements for artificial intelligence to become a reality.

  11. On the origin of resistive switching volatility in Ni/TiO{sub 2}/Ni stacks

    Energy Technology Data Exchange (ETDEWEB)

    Cortese, Simone, E-mail: simone.cortese@soton.ac.uk; Trapatseli, Maria; Khiat, Ali; Prodromakis, Themistoklis [Nano Research Group, Electronics and Computer Science, University of Southampton, Southampton, Hampshire, SO17 1BJ (United Kingdom)

    2016-08-14

    Resistive switching and resistive random access memories have attracted huge interest for next generation nonvolatile memory applications, also thought to be able to overcome flash memories limitations when arranged in crossbar arrays. A cornerstone of their potential success is that the toggling between two distinct resistance states, usually a High Resistive State (HRS) and a Low Resistive State (LRS), is an intrinsic non-volatile phenomenon with the two states being thermodynamically stable. TiO{sub 2} is one of the most common materials known to support non-volatile RS. In this paper, we report a volatile resistive switching in a titanium dioxide thin film sandwiched by two nickel electrodes. The aim of this work is to understand the underlying physical mechanism that triggers the volatile effect, which is ascribed to the presence of a NiO layer at the bottom interface. The NiO layer alters the equilibrium between electric field driven filament formation and thermal enhanced ion diffusion, resulting in the volatile behaviour. Although the volatility is not ideal for non-volatile memory applications, it shows merit for access devices in crossbar arrays due to its high LRS/HRS ratio, which are also briefly discussed.

  12. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  13. Piezoelectric control of magnetoelectric coupling driven non-volatile memory switching and self cooling effects in FE/FSMA multiferroic heterostructures

    Science.gov (United States)

    Singh, Kirandeep; Kaur, Davinder

    2017-02-01

    The manipulation of magnetic states and materials' spin degree-of-freedom via a control of an electric (E-) field has been recently pursued to develop magnetoelectric (ME) coupling-driven electronic data storage devices with high read/write endurance, fast dynamic response, and low energy dissipation. One major hurdle for this approach is to develop reliable materials which should be compatible with prevailing silicon (Si)-based complementary metal-oxide-semiconductor (CMOS) technology, simultaneously allowing small voltage for the tuning of magnetization switching. In this regard, multiferroic heterostructures where ferromagnetic (FM) and ferroelectric (FE) layers are alternatively grown on conventional Si substrates are promising as the piezoelectric control of magnetization switching is anticipated to be possible by an E-field. In this work, we study the ferromagnetic shape memory alloys based PbZr0.52Ti0.48O3/Ni50Mn35In15 (PZT/Ni-Mn-In) multiferroic heterostructures, and investigate their potential for CMOS compatible non-volatile magnetic data storage applications. We demonstrate the voltage-impulse controlled nonvolatile, reversible, and bistable magnetization switching at room temperature in Si-integrated PZT/Ni-Mn-In thin film multiferroic heterostructures. We also thoroughly unveil the various intriguing features in these materials, such as E-field tuned ME coupling and magnetocaloric effect, shape memory induced ferroelectric modulation, improved fatigue endurance as well as Refrigeration Capacity (RC). This comprehensive study suggests that these novel materials have a great potential for the development of unconventional nanoscale memory and refrigeration devices with self-cooling effect and enhanced refrigeration efficiency, thus providing a new venue for their applications.

  14. Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions

    Science.gov (United States)

    2017-03-06

    WP-201317) Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-volatile Particulate Matter (PM... Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions 6. AUTHOR(S) E. Corporan, M. DeWitt, C. Klingshirn, M.D. Cheng, R. Miake-Lye, J. Peck...the performance and viability of two devices to condition aircraft turbine engine exhaust to allow the accurate measurement of total (volatile and non

  15. Effects of Financial Crises on the Long Memory Volatility Dependency of Foreign Exchange Rates: the Asian Crisis vs. the Global Crisis

    Directory of Open Access Journals (Sweden)

    Young Wook Han

    2014-03-01

    Full Text Available This paper examines the effects of financial crises on the long memory volatility dependency of daily exchange returns focusing on the Asian crisis in 97-98 and the Global crisis in 08-09. By using the daily KRW-USD and JPY-USD exchange rates which have different trading regions and volumes, this paper first applies both the parametric FIGARCH model and the semi-parametric Local Whittle method to estimate the long memory volatility dependency of the daily returns and the temporally aggregated returns of the two exchange rates. Then it compares the effects of the two financial crises on the long memory volatility dependency of the daily returns. The estimation results reflect that the long memory volatility dependency of the KRW-USD is generally greater than that of the JPY-USD returns and the long memory dependency of the two returns appears to be invariant to temporal aggregation. And, the two financial crises appear to affect the volatility dynamics of all the returns by inducing greater long memory dependency in the volatility process of the exchange returns, but the degree of the effects of the two crises seems to be different on the exchange rates.

  16. Discovering Authentication Credentials in Volatile Memory of Android Mobile Devices

    OpenAIRE

    Apostolopoulos , Dimitris; Marinakis , Giannis; Ntantogian , Christoforos; Xenakis , Christos

    2013-01-01

    Part 5: Adoption Issues in e/m-Services; International audience; This paper investigates whether authentication credentials in the volatile memory of Android mobile devices can be discovered using freely available tools. The experiments that we carried out for each application included two different sets: In the first set, our goal was to check if we could recover our own submitted credentials from the memory dump of the mobile device. In the second set of experiments, the goal was to find pa...

  17. Supercritical fluid extraction of volatile and non-volatile compounds from Schinus molle L.

    Directory of Open Access Journals (Sweden)

    M. S. T. Barroso

    2011-06-01

    Full Text Available Schinus molle L., also known as pepper tree, has been reported to have antimicrobial, antifungal, anti-inflammatory, antispasmodic, antipyretic, antitumoural and cicatrizing properties. This work studies supercritical fluid extraction (SFE to obtain volatile and non-volatile compounds from the aerial parts of Schinus molle L. and the influence of the process on the composition of the extracts. Experiments were performed in a pilot-scale extractor with a capacity of 1 L at pressures of 9, 10, 12, 15 and 20 MPa at 323.15 K. The volatile compounds were obtained by CO2 supercritical extraction with moderate pressure (9 MPa, whereas the non-volatile compounds were extracted at higher pressure (12 to 20 MPa. The analysis of the essential oil was carried out by GC-MS and the main compounds identified were sabinene, limonene, D-germacrene, bicyclogermacrene, and spathulenol. For the non-volatile extracts, the total phenolic content was determined by the Folin-Ciocalteau method. Moreover, one of the goals of this study was to compare the experimental data with the simulated yields predicted by a mathematical model based on mass transfer. The model used requires three adjustable parameters to predict the experimental extraction yield curves.

  18. Exponential Smoothing, Long Memory and Volatility Prediction

    DEFF Research Database (Denmark)

    Proietti, Tommaso

    three models that are natural extensions of ES: the fractionally integrated first order moving average (FIMA) model, a new integrated moving average model formulated in terms of the fractional lag operator (FLagIMA), and a fractional equal root integrated moving average (FerIMA) model, proposed...... originally by Hosking. We investigate the properties of the volatility components and the forecasts arising from these specification, which depend uniquely on the memory and the moving average parameters. For statistical inference we show that, under mild regularity conditions, the Whittle pseudo...

  19. Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

    OpenAIRE

    senni , sophiane; Torres , Lionel; Sassatelli , Gilles; Gamatié , Abdoulaye; Mussard , Bruno

    2015-01-01

    International audience; Most die area of today's systems-on-chips is occupied by memories. Hence, a significant proportion of total power is spent on memory systems. Moreover, since processing elements have to be fed with instructions and data from memories, memory plays a key role for system's performance. As a result, memories are a critical part of future embedded systems. Continuing CMOS scaling leads to manufacturing constraints and power consumption issues for the current three main mem...

  20. Volatile Composition of Smoked and Non-Smoked Iranian Rice

    Directory of Open Access Journals (Sweden)

    Leontina Lipan

    2016-11-01

    Full Text Available In this work, the volatile profiles of smoked and non-smoked Iranian rice were identified, and their relative abundance was calculated and compared. Headspace solid-phase microextraction together with gas chromatography-mass spectrometry (SPME-GC-MS were used to extract and identify the volatile compounds. The main groups of volatiles in Iranian rice were aldehydes, ketones, phenol derivatives, furans, linear hydrocarbons, esters and terpenes. The chemical family aldehydes was the most abundant one in the profile of non-smoked rice, while phenol derivatives and furans predominated in smoked samples. This study is the first one reporting comparative data of volatile compounds between smoked and non-smoked Iranian rice.

  1. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    Directory of Open Access Journals (Sweden)

    Shelton Peiris

    2017-12-01

    Full Text Available This paper considers a flexible class of time series models generated by Gegenbauer polynomials incorporating the long memory in stochastic volatility (SV components in order to develop the General Long Memory SV (GLMSV model. We examine the corresponding statistical properties of this model, discuss the spectral likelihood estimation and investigate the finite sample properties via Monte Carlo experiments. We provide empirical evidence by applying the GLMSV model to three exchange rate return series and conjecture that the results of out-of-sample forecasts adequately confirm the use of GLMSV model in certain financial applications.

  2. The cellular memory disc of reprogrammed cells.

    Science.gov (United States)

    Anjamrooz, Seyed Hadi

    2013-04-01

    The crucial facts underlying the low efficiency of cellular reprogramming are poorly understood. Cellular reprogramming occurs in nuclear transfer, induced pluripotent stem cell (iPSC) formation, cell fusion, and lineage-switching experiments. Despite these advances, there are three fundamental problems to be addressed: (1) the majority of cells cannot be reprogrammed, (2) the efficiency of reprogramming cells is usually low, and (3) the reprogrammed cells developed from a patient's own cells activate immune responses. These shortcomings present major obstacles for using reprogramming approaches in customised cell therapy. In this Perspective, the author synthesises past and present observations in the field of cellular reprogramming to propose a theoretical picture of the cellular memory disc. The current hypothesis is that all cells undergo an endogenous and exogenous holographic memorisation such that parts of the cellular memory dramatically decrease the efficiency of reprogramming cells, act like a barrier against reprogramming in the majority of cells, and activate immune responses. Accordingly, the focus of this review is mainly to describe the cellular memory disc (CMD). Based on the present theory, cellular memory includes three parts: a reprogramming-resistance memory (RRM), a switch-promoting memory (SPM) and a culture-induced memory (CIM). The cellular memory arises genetically, epigenetically and non-genetically and affects cellular behaviours. [corrected].

  3. Ferroelectric polymer gates for non-volatile field effect control of ferromagnetism in (Ga, Mn)As layers

    International Nuclear Information System (INIS)

    Stolichnov, I; Riester, S W E; Mikheev, E; Setter, N; Rushforth, A W; Edmonds, K W; Campion, R P; Foxon, C T; Gallagher, B L; Jungwirth, T; Trodahl, H J

    2011-01-01

    (Ga, Mn)As and other diluted magnetic semiconductors (DMS) attract a great deal of attention for potential spintronic applications because of the possibility of controlling the magnetic properties via electrical gating. Integration of a ferroelectric gate on the DMS channel adds to the system a non-volatile memory functionality and permits nanopatterning via the polarization domain engineering. This topical review is focused on the multiferroic system, where the ferromagnetism in the (Ga, Mn)As DMS channel is controlled by the non-volatile field effect of the spontaneous polarization. Use of ferroelectric polymer gates in such heterostructures offers a viable alternative to the traditional oxide ferroelectrics generally incompatible with DMS. Here we review the proof-of-concept experiments demonstrating the ferroelectric control of ferromagnetism, analyze the performance issues of the ferroelectric gates and discuss prospects for further development of the ferroelectric/DMS heterostructures toward the multiferroic field effect transistor. (topical review)

  4. Cell characteristics of FePt nano-dot memories with a high-k Al2O3 blocking oxide

    International Nuclear Information System (INIS)

    Lee, Gae Hun; Lee, Jung Min; Yang, Hyung Jun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Testsu

    2012-01-01

    The cell characteristics of an alloy FePt nano-dot (ND) charge trapping memory with a high-k dielectric as a blocking oxide was investigated. Adoption of a high-k Al 2 O 3 material as a blocking oxide for the metal nano-dot memory provided a superior scaling of the operation voltage compared to silicon oxide under a similar gate leakage level. For the 40-nm-thick high-k (Al 2 O 3 ) blocking oxide, we confirmed an operation voltage reduction of ∼7 V under the same memory window on for silicon dioxide. Also, this device showed a large memory window of 7.8 V and a low leakage current under 10 -10 A in an area of Φ 0.25 mm. From these results, the use of a dielectric (Al 2 O 3 ) as a blocking oxide for a metal nano-dot device is essential, and a metal nano-dot memory with a high-k dielectric will be one of the candidates for a high-density non-volatile memory device.

  5. Malware Memory Analysis of the IVYL Linux Rootkit: Investigating a Publicly Available Linux Rootkit Using the Volatility Memory Analysis Framework

    Science.gov (United States)

    2015-04-01

    report is to examine how a computer forensic investigator/incident handler, without specialised computer memory or software reverse engineering skills ...The skills amassed by incident handlers and investigators alike while using Volatility to examine Windows memory images will be of some help...bin/pulseaudio --start --log-target=syslog 1362 1000 1000 nautilus 1366 1000 1000 /usr/lib/pulseaudio/pulse/gconf- helper 1370 1000 1000 nm-applet

  6. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  7. Modeling of Volatility with Non-linear Time Series Model

    OpenAIRE

    Kim Song Yon; Kim Mun Chol

    2013-01-01

    In this paper, non-linear time series models are used to describe volatility in financial time series data. To describe volatility, two of the non-linear time series are combined into form TAR (Threshold Auto-Regressive Model) with AARCH (Asymmetric Auto-Regressive Conditional Heteroskedasticity) error term and its parameter estimation is studied.

  8. A Multivariate Asymmetric Long Memory Conditional Volatility Model with X, Regularity and Asymptotics

    NARCIS (Netherlands)

    M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractThe paper derives a Multivariate Asymmetric Long Memory conditional volatility model with Exogenous Variables (X), or the MALMX model, with dynamic conditional correlations, appropriate regularity conditions, and associated asymptotic theory. This enables checking of internal consistency

  9. Observing the amorphous-to-crystalline phase transition in Ge{sub 2}Sb{sub 2}Te{sub 5} non-volatile memory materials from ab initio molecular-dynamics simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lee, T.H.; Elliott, S.R. [Department of Chemistry, University of Cambridge, Lensfield Road, CB2 1EW Cambridge (United Kingdom)

    2012-10-15

    Phase-change memory is a promising candidate for the next generation of non-volatile memory devices. This technology utilizes reversible phase transitions between amorphous and crystalline phases of a recording material, and has been successfully used in rewritable optical data storage, revealing its feasibility. In spite of the importance of understanding the nucleation and growth processes that play a critical role in the phase transition, this understanding is still incomplete. Here, we present observations of the early stages of crystallization in Ge{sub 2}Sb{sub 2}Te{sub 5} materials through ab initio molecular-dynamics simulations. Planar structures, including fourfold rings and planes, play an important role in the formation and growth of crystalline clusters in the amorphous matrix. At the same time, vacancies facilitate crystallization by providing space at the glass-crystalline interface for atomic diffusion, which results in fast crystal growth, as observed in simulations and experiments. The microscopic mechanism of crystallization presented here may deepen our understanding of the phase transition occurring in real devices, providing an opportunity to optimize the memory performance of phase-change materials. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  11. Organic ferroelectric opto-electronic memories

    NARCIS (Netherlands)

    Asadi, K.; Li, M.; Blom, P.W.M.; Kemerink, M.; Leeuw, D.M. de

    2011-01-01

    Memory is a prerequisite for many electronic devices. Organic non-volatile memory devices based on ferroelectricity are a promising approach towards the development of a low-cost memory technology based on a simple cross-bar array. In this review article we discuss the latest developments in this

  12. Comparing Predictive Accuracy under Long Memory - With an Application to Volatility Forecasting

    DEFF Research Database (Denmark)

    Kruse, Robinson; Leschinski, Christian; Will, Michael

    This paper extends the popular Diebold-Mariano test to situations when the forecast error loss differential exhibits long memory. It is shown that this situation can arise frequently, since long memory can be transmitted from forecasts and the forecast objective to forecast error loss differentials....... The nature of this transmission mainly depends on the (un)biasedness of the forecasts and whether the involved series share common long memory. Further results show that the conventional Diebold-Mariano test is invalidated under these circumstances. Robust statistics based on a memory and autocorrelation...... extensions of the heterogeneous autoregressive model. While we find that forecasts improve significantly if jumps in the log-price process are considered separately from continuous components, improvements achieved by the inclusion of implied volatility turn out to be insignificant in most situations....

  13. A non-destructive crossbar architecture of multi-level memory-based resistor

    Science.gov (United States)

    Sahebkarkhorasani, Seyedmorteza

    Nowadays, researchers are trying to shrink the memory cell in order to increase the capacity of the memory system and reduce the hardware costs. In recent years, there has been a revolution in electronics by using fundamentals of physics to build a new memory for computer application in order to increase the capacity and decrease the power consumption. Increasing the capacity of the memory causes a growth in the chip area. From 1971 to 2012 semiconductor manufacturing process improved from 6mum to 22 mum. In May 2008, S.Williams stated that "it is time to stop shrinking". In his paper, he declared that the process of shrinking memory element has recently become very slow and it is time to use another alternative in order to create memory elements [9]. In this project, we present a new design of a memory array using the new element named Memristor [3]. Memristor is a two-terminal passive electrical element that relates the charge and magnetic flux to each other. The device remained unknown since 1971 when it was discovered by Chua and introduced as the fourth fundamental passive element like capacitor, inductor and resistor [3]. Memristor has a dynamic resistance and it can retain its previous value even after disconnecting the power supply. Due to this interesting behavior of the Memristor, it can be a good replacement for all of the Non-Volatile Memories (NVMs) in the near future. Combination of this newly introduced element with the nanowire crossbar architecture would be a great structure which is called Crossbar Memristor. Some frameworks have recently been introduced in literature that utilized Memristor crossbar array, but there are many challenges to implement the Memristor crossbar array due to fabrication and device limitations. In this work, we proposed a simple design of Memristor crossbar array architecture which uses input feedback in order to preserve its data after each read operation.

  14. Human T Cell Memory: A Dynamic View

    Directory of Open Access Journals (Sweden)

    Derek C. Macallan

    2017-02-01

    Full Text Available Long-term T cell-mediated protection depends upon the formation of a pool of memory cells to protect against future pathogen challenge. In this review we argue that looking at T cell memory from a dynamic viewpoint can help in understanding how memory populations are maintained following pathogen exposure or vaccination. For example, a dynamic view resolves the apparent paradox between the relatively short lifespans of individual memory cells and very long-lived immunological memory by focussing on the persistence of clonal populations, rather than individual cells. Clonal survival is achieved by balancing proliferation, death and differentiation rates within and between identifiable phenotypic pools; such pools correspond broadly to sequential stages in the linear differentiation pathway. Each pool has its own characteristic kinetics, but only when considered as a population; single cells exhibit considerable heterogeneity. In humans, we tend to concentrate on circulating cells, but memory T cells in non-lymphoid tissues and bone marrow are increasingly recognised as critical for immune defence; their kinetics, however, remain largely unexplored. Considering vaccination from this viewpoint shifts the focus from the size of the primary response to the survival of the clone and enables identification of critical system pinch-points and opportunities to improve vaccine efficacy.

  15. Silicon nano crystal-based non-volatile memory devices

    International Nuclear Information System (INIS)

    Ng, C.Y.; Chen, T.P.; Sreeduth, D.; Chen, Q.; Ding, L.; Du, A.

    2006-01-01

    In this work, we have investigated the performance and reliability of a Flash memory based on silicon nanocrystal synthesized with very-low energy ion beams. The devices are fabricated with a conventional CMOS process and the size of the nanocrystal is ∼ 4 nm as determined from TEM measurement. Electrical properties of the devices with a tunnel oxide of either 3 nm or 7 nm are evaluated. The devices exhibit good endurance up to 10 5 W/E cycles even at the high operation temperature of 85 deg. C for both the tunnel oxide thicknesses. For the thicker tunnel oxide (i.e., the 7-nm tunnel oxide), a good retention performance with an extrapolated 10-year memory window of ∼ 0.3 V (or ∼ 20% of charge lose after 10 years) is achieved. However, ∼ 70% of charge loss after 10 years is expected for the thinner tunnel oxide (i.e., the 3-nm tunnel oxide)

  16. An overview of Experimental Condensed Matter Physics in Argentina by 2014, and Oxides for Non Volatile Memory Devices: The MeMOSat Project

    Science.gov (United States)

    Levy, Pablo

    2015-03-01

    In the first part of my talk, I will describe the status of the experimental research in Condensed Matter Physics in Argentina, biased towards developments related to micro and nanotechnology. In the second part, I will describe the MeMOSat Project, a consortium aimed at producing non-volatile memory devices to work in aggressive environments, like those found in the aerospace and nuclear industries. Our devices rely on the Resistive Switching mechanism, which produces a permanent but reversible change in the electrical resistance across a metal-insulator-metal structure by means of a pulsed protocol of electrical stimuli. Our project is devoted to the study of Memory Mechanisms in Oxides (MeMO) in order to establish a technological platform that tests the Resistive RAM (ReRAM) technology for aerospace applications. A review of MeMOSat's activities is presented, covering the initial Proof of Concept in ceramic millimeter sized samples; the study of different oxide-metal couples including (LaPr)2/3Ca1/3MnO, La2/3Ca1/3MnO3, YBa2Cu3O7, TiO2, HfO2, MgO and CuO; and recent miniaturized arrays of micrometer sized devices controlled by in-house designed electronics, which were launched with the BugSat01 satellite in June2014 by the argentinian company Satellogic.

  17. Low-field Switching Four-state Nonvolatile Memory Based on Multiferroic Tunnel Junctions

    Science.gov (United States)

    Yau, H. M.; Yan, Z. B.; Chan, N. Y.; Au, K.; Wong, C. M.; Leung, C. W.; Zhang, F. Y.; Gao, X. S.; Dai, J. Y.

    2015-08-01

    Multiferroic tunneling junction based four-state non-volatile memories are very promising for future memory industry since this kind of memories hold the advantages of not only the higher density by scaling down memory cell but also the function of magnetically written and electrically reading. In this work, we demonstrate a success of this four-state memory in a material system of NiFe/BaTiO3/La0.7Sr0.3MnO3 with improved memory characteristics such as lower switching field and larger tunneling magnetoresistance (TMR). Ferroelectric switching induced resistive change memory with OFF/ON ratio of 16 and 0.3% TMR effect have been achieved in this multiferroic tunneling structure.

  18. Multicolour fluorescent memory based on the interaction of hydroxy terphenyls with fluoride anions.

    Science.gov (United States)

    Akamatsu, Masaaki; Mori, Taizo; Okamoto, Ken; Sakai, Hideki; Abe, Masahiko; Hill, Jonathan P; Ariga, Katsuhiko

    2014-12-01

    Memory operations based on variation of a molecule's properties are important because they may lead to device miniaturization to the molecular scale or increasingly complex information processing protocols beyond the binary level. Molecular memory also introduces possibilities related to information-storage security where chemical information (or reagents) might be used as an encryption key, in this case, acidic/basic reagents. Chemical memory that possesses both volatile and non-volatile functionality requires reversible conversion between at least two chemically different stable or quasi-stable states. Here we have developed the phenol-phenoxide equilibrium of phenol fluorophores as a data storage element, which can be used to write or modulate data using chemical reagents. The properties of this system allow data to be stored and erased either in non-volatile or volatile modes. We also demonstrate non-binary switching of states made possible by preparation of  a composite containing the molecular memory elements. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. The Cholinergic System Modulates Memory and Hippocampal Plasticity via Its Interactions with Non-Neuronal Cells

    Directory of Open Access Journals (Sweden)

    Sara V. Maurer

    2017-11-01

    Full Text Available Degeneration of central cholinergic neurons impairs memory, and enhancement of cholinergic synapses improves cognitive processes. Cholinergic signaling is also anti-inflammatory, and neuroinflammation is increasingly linked to adverse memory, especially in Alzheimer’s disease. Much of the evidence surrounding cholinergic impacts on the neuroimmune system focuses on the α7 nicotinic acetylcholine (ACh receptor, as stimulation of this receptor prevents many of the effects of immune activation. Microglia and astrocytes both express this receptor, so it is possible that some cholinergic effects may be via these non-neuronal cells. Though the presence of microglia is required for memory, overactivated microglia due to an immune challenge overproduce inflammatory cytokines, which is adverse for memory. Blocking these exaggerated effects, specifically by decreasing the release of tumor necrosis factor α (TNF-α, interleukin 1β (IL-1β, and interleukin 6 (IL-6, has been shown to prevent inflammation-induced memory impairment. While there is considerable evidence that cholinergic signaling improves memory, fewer studies have linked the “cholinergic anti-inflammatory pathway” to memory processes. This review will summarize the current understanding of the cholinergic anti-inflammatory pathway as it relates to memory and will argue that one mechanism by which the cholinergic system modulates hippocampal memory processes is its influence on neuroimmune function via the α7 nicotinic ACh receptor.

  20. Distributed Shared Memory for the Cell Broadband Engine (DSMCBE)

    DEFF Research Database (Denmark)

    Larsen, Morten Nørgaard; Skovhede, Kenneth; Vinter, Brian

    2009-01-01

    in and out of non-coherent local storage blocks for each special processor element. In this paper we present a software library, namely the Distributed Shared Memory for the Cell Broadband Engine (DSMCBE). By using techniques known from distributed shared memory DSMCBE allows programmers to program the CELL...

  1. Pricing European option with transaction costs under the fractional long memory stochastic volatility model

    Science.gov (United States)

    Wang, Xiao-Tian; Wu, Min; Zhou, Ze-Min; Jing, Wei-Shu

    2012-02-01

    This paper deals with the problem of discrete time option pricing using the fractional long memory stochastic volatility model with transaction costs. Through the 'anchoring and adjustment' argument in a discrete time setting, a European call option pricing formula is obtained.

  2. Flash memories economic principles of performance, cost and reliability optimization

    CERN Document Server

    Richter, Detlev

    2014-01-01

    The subject of this book is to introduce a model-based quantitative performance indicator methodology applicable for performance, cost and reliability optimization of non-volatile memories. The complex example of flash memories is used to introduce and apply the methodology. It has been developed by the author based on an industrial 2-bit to 4-bit per cell flash development project. For the first time, design and cost aspects of 3D integration of flash memory are treated in this book. Cell, array, performance and reliability effects of flash memories are introduced and analyzed. Key performance parameters are derived to handle the flash complexity. A performance and array memory model is developed and a set of performance indicators characterizing architecture, cost and durability is defined.   Flash memories are selected to apply the Performance Indicator Methodology to quantify design and technology innovation. A graphical representation based on trend lines is introduced to support a requirement based pr...

  3. Retention of Ag-specific memory CD4+ T cells in the draining lymph node indicates lymphoid tissue resident memory populations.

    Science.gov (United States)

    Marriott, Clare L; Dutton, Emma E; Tomura, Michio; Withers, David R

    2017-05-01

    Several different memory T-cell populations have now been described based upon surface receptor expression and migratory capabilities. Here we have assessed murine endogenous memory CD4 + T cells generated within a draining lymph node and their subsequent migration to other secondary lymphoid tissues. Having established a model response targeting a specific peripheral lymph node, we temporally labelled all the cells within draining lymph node using photoconversion. Tracking of photoconverted and non-photoconverted Ag-specific CD4 + T cells revealed the rapid establishment of a circulating memory population in all lymph nodes within days of immunisation. Strikingly, a resident memory CD4 + T cell population became established in the draining lymph node and persisted for several months in the absence of detectable migration to other lymphoid tissue. These cells most closely resembled effector memory T cells, usually associated with circulation through non-lymphoid tissue, but here, these cells were retained in the draining lymph node. These data indicate that lymphoid tissue resident memory CD4 + T-cell populations are generated in peripheral lymph nodes following immunisation. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Guiseppe; Rahbæk, Anders; Taylor, A.M. Robert

    Many key macro-economic and financial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  5. Monitoring of volatile and non-volatile urban air genotoxins using bacteria, human cells and plants.

    Science.gov (United States)

    Ceretti, E; Zani, C; Zerbini, I; Viola, G; Moretti, M; Villarini, M; Dominici, L; Monarca, S; Feretti, D

    2015-02-01

    Urban air contains many mutagenic pollutants. This research aimed to investigate the presence of mutagens in the air by short-term mutagenicity tests using bacteria, human cells and plants. Inflorescences of Tradescantia were exposed to air in situ for 6h, once a month from January to May, to monitor volatile compounds and micronuclei frequency was computed. On the same days PM10 was collected continuously for 24h. Half of each filter was extracted with organic solvents and studied by means of the Ames test, using Salmonella typhimurium TA98 and TA100 strains, and the comet assay on human leukocytes. A quarter of each filter was extracted with distilled water in which Tradescantia was exposed. PM10 concentration was particularly high in the winter season (> 50 μg/m(3)). In situ exposure of inflorescences to urban air induced a significant increase in micronuclei frequency at all the sites considered, but only in January (p bacteria, human cells and plants. Copyright © 2014 Elsevier Ltd. All rights reserved.

  6. A Skewed Student-t Value-at-Risk Approach for Long Memory Volatility Processes in Japanese Financial Markets

    Directory of Open Access Journals (Sweden)

    Seong¡-Min Yoon

    2007-06-01

    Full Text Available This paper investigates the relevance of skewed Student-t distributions in capturing long memory volatility properties in the daily return series of Japanese financial data (Nikkei 225 Index and JPY-USD exchange rate. For this purpose, we assess the performance of two long memory Value-at-Risk (VaR models (FIGARCH and FIAPARCH VaR model with three different distribution innovations: the normal, Student-t, and skewed Student-t distributions. From our results, we find that the skewed Student-t distribution model produces more accurate VaR estimations than normal and Student-t distribution models. Thus, accounting for skewness and excess kurtosis in the asset return distribution can provide suitable criteria for VaR model selection in the context of long memory volatility and enhance the performance of risk management in Japanese financial markets.

  7. Testing for Co-integration in Vector Autoregressions with Non-Stationary Volatility

    DEFF Research Database (Denmark)

    Cavaliere, Giuseppe; Rahbek, Anders Christian; Taylor, A. M. Robert

    Many key macro-economic and …nancial variables are characterised by permanent changes in unconditional volatility. In this paper we analyse vector autoregressions with non-stationary (unconditional) volatility of a very general form, which includes single and multiple volatility breaks as special...

  8. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  9. Volatile and non-volatile compounds in green tea affected in harvesting time and their correlation to consumer preference.

    Science.gov (United States)

    Kim, Youngmok; Lee, Kwang-Geun; Kim, Mina K

    2016-10-01

    Current study was designed to find out how tea harvesting time affects the volatile and non-volatile compounds profiles of green tea. In addition, correlation of instrumental volatile and non-volatile compounds analyses to consumer perception were analyzed. Overall, earlier harvested green tea had stronger antioxidant capacity (~61.0%) due to the polyphenolic compounds from catechin (23,164 mg/L), in comparison to later harvested green teas (11,961 mg/L). However, high catechin content in green tea influenced negatively the consumer likings of green tea, due to high bitterness (27.6%) and astringency (13.4%). Volatile compounds drive consumer liking of green tea products were also identified, that included linalool, 2,3-methyl butanal, 2-heptanone, (E,E)-3,5-Octadien-2-one. Finding from current study are useful for green tea industry as it provide the difference in physiochemical properties of green tea harvested at different intervals.

  10. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    OpenAIRE

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affe...

  11. Phase change memory

    CERN Document Server

    Qureshi, Moinuddin K

    2011-01-01

    As conventional memory technologies such as DRAM and Flash run into scaling challenges, architects and system designers are forced to look at alternative technologies for building future computer systems. This synthesis lecture begins by listing the requirements for a next generation memory technology and briefly surveys the landscape of novel non-volatile memories. Among these, Phase Change Memory (PCM) is emerging as a leading contender, and the authors discuss the material, device, and circuit advances underlying this exciting technology. The lecture then describes architectural solutions t

  12. Multi-bits memory cell using degenerated magnetic states in a synthetic antiferromagnetic reference layer

    International Nuclear Information System (INIS)

    Fukushima, Akio; Yakushiji, Kay; Konoto, Makoto; Kubota, Hitoshi; Imamura, Hiroshi; Yuasa, Shinji

    2016-01-01

    We newly developed a magnetic memory cell having multi-bit function. The memory cell composed of a perpendicularly magnetized magnetic tunnel junction (MB-pMTJ) and a synthetic antiferromagnetic reference layer. The multi-bit function is realized by combining the freedom of states of the magnetic free layer and that in the antiferromagnetically coupled reference layer. The structure of the reference layer is (FeB/Ta/[Co/Pt]_3)/Ru/([Co/Pt]_6); the top and the bottom layers are coupled through Ru layer where the reference layer has two degrees of freedom of a head-to-head and a bottom-to-bottom magnetic configuration. A four-state memory cell is realized by combination of both degrees of freedom. The states in the reference layer however is hardly detected by the total resistance of MB-pMTJ, because the magnetoresistance effect in the reference layer is negligibly small. That implies that the resistance values for the different states in the reference layer are degenerated. On the other hand, the two different states in the reference layer bring different stray fields to the free layer, which generate two different minor loop with different switching fields. Therefore, the magnetic states in the reference layer can be differentiated by the two-step reading, before and after applying the appropriately pulsed magnetic field which can identify the initial state in the reference layer. This method is similar to distinguishing different magnetic states in an in-plane magnetized spin-valve element. We demonstrated that four different states in the MB-pMTJ can be distinguished by the two-step read-out. The important feature of the two-step reading is a practically large operation margins (large resistance change in reading) which is equal to that of a single MTJ. Even though the two-step reading is a destructive method by which 50% of the magnetic state is changed, this MB-pMTJ is promising for high density non-volatile memory cell with a minor cost of operation speed

  13. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Science.gov (United States)

    Cheung, Heidi H. Y.; Tan, Haobo; Xu, Hanbing; Li, Fei; Wu, Cheng; Yu, Jian Z.; Chan, Chak K.

    2016-07-01

    Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA) and an organic carbon/elemental carbon (OC / EC) analyzer. Low volatility (LV) particles, with a volatility shrink factor (VSF) at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11-15 % of the 80-300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4 transported at low altitudes (below 1500 m) for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the sum of EC and non-volatile OC was conducted. It suggests that non-volatile OC, in addition to EC, was one of the components of the non-volatile residuals measured by the VTDMA in this study.

  14. Large non-volatile tuning of magnetism mediated by electric field in Fe–Al/Pb(Mg1/3Nb2/3)O3–PbTiO3 heterostructure

    International Nuclear Information System (INIS)

    Chen, Zhendong; Gao, Cunxu; Wei, Yanping; Zhang, Peng; Wang, Yutian; Zhang, Chao; Ma, Zhikun

    2017-01-01

    Electric-field control of magnetism is now an attractive trend to approach a new kind of fast, low-power-cost memory device. In this work, we report a strong non-volatile electric control of magnetism in an Fe–Al/Pb(Mg 1/3 Nb 2/3 )O 3 –PbTiO 3 heterostructure. In this system, a 90° rotation of the in-plane uniaxial magnetic anisotropy is exhibited during the increase of the external electric field, which means the easy axis turns into a hard axis and the hard axis turns into an easy one. Additionally, a non-volatile switch of the remanence is observed after a sweeping of the electric field from 0 kV cm −1 to  ±  10 kV cm −1 , then back to 0 kV cm −1 . More interestingly, a 20% non-volatile magnetic state tuning driven by individual pulse electric fields is shown in contrast to large tuning up to 120% caused by pulse electric fields with small assistant pulse magnetic fields, which means a 180° reverse of the magnetization. These remarkable behaviors demonstrated in this heterostructure reveal a promising potential application in magnetic memory devices mediated by electric fields. (paper)

  15. Electric Field Tuning Non-volatile Magnetism in Half-Metallic Alloys Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 Heterostructure

    Science.gov (United States)

    Dunzhu, Gesang; Wang, Fenglong; Zhou, Cai; Jiang, Changjun

    2018-03-01

    We reported the non-volatile electric field-mediated magnetic properties in the half-metallic Heusler alloy Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 heterostructure at room temperature. The remanent magnetization with different applied electric field along [100] and [01-1] directions was achieved, which showed the non-volatile remanent magnetization driven by an electric field. The two giant reversible and stable remanent magnetization states were obtained by applying pulsed electric field. This can be attributed to the piezostrain effect originating from the piezoelectric substrate, which can be used for magnetoelectric-based memory devices.

  16. FOXO3 regulates CD8 T cell memory by T cell-intrinsic mechanisms.

    Directory of Open Access Journals (Sweden)

    Jeremy A Sullivan

    2012-02-01

    Full Text Available CD8 T cell responses have three phases: expansion, contraction, and memory. Dynamic alterations in proliferation and apoptotic rates control CD8 T cell numbers at each phase, which in turn dictate the magnitude of CD8 T cell memory. Identification of signaling pathways that control CD8 T cell memory is incomplete. The PI3K/Akt signaling pathway controls cell growth in many cell types by modulating the activity of FOXO transcription factors. But the role of FOXOs in regulating CD8 T cell memory remains unknown. We show that phosphorylation of Akt, FOXO and mTOR in CD8 T cells occurs in a dynamic fashion in vivo during an acute viral infection. To elucidate the potentially dynamic role for FOXO3 in regulating homeostasis of activated CD8 T cells in lymphoid and non-lymphoid organs, we infected global and T cell-specific FOXO3-deficient mice with Lymphocytic Choriomeningitis Virus (LCMV. We found that FOXO3 deficiency induced a marked increase in the expansion of effector CD8 T cells, preferentially in the spleen, by T cell-intrinsic mechanisms. Mechanistically, the enhanced accumulation of proliferating CD8 T cells in FOXO3-deficient mice was not attributed to an augmented rate of cell division, but instead was linked to a reduction in cellular apoptosis. These data suggested that FOXO3 might inhibit accumulation of growth factor-deprived proliferating CD8 T cells by reducing their viability. By virtue of greater accumulation of memory precursor effector cells during expansion, the numbers of memory CD8 T cells were strikingly increased in the spleens of both global and T cell-specific FOXO3-deficient mice. The augmented CD8 T cell memory was durable, and FOXO3 deficiency did not perturb any of the qualitative attributes of memory T cells. In summary, we have identified FOXO3 as a critical regulator of CD8 T cell memory, and therapeutic modulation of FOXO3 might enhance vaccine-induced protective immunity against intracellular pathogens.

  17. Vaccination Expands Antigen-Specific CD4+ Memory T Cells and Mobilizes Bystander Central Memory T Cells

    Science.gov (United States)

    Li Causi, Eleonora; Parikh, Suraj C.; Chudley, Lindsey; Layfield, David M.; Ottensmeier, Christian H.; Stevenson, Freda K.; Di Genova, Gianfranco

    2015-01-01

    CD4+ T helper memory (Thmem) cells influence both natural and vaccine-boosted immunity, but mechanisms for their maintenance remain unclear. Pro-survival signals from the common gamma-chain cytokines, in particular IL-7, appear important. Previously we showed in healthy volunteers that a booster vaccination with tetanus toxoid (TT) expanded peripheral blood TT-specific Thmem cells as expected, but was accompanied by parallel increase of Thmem cells specific for two unrelated and non cross-reactive common recall antigens. Here, in a new cohort of healthy human subjects, we compare blood vaccine-specific and bystander Thmem cells in terms of differentiation stage, function, activation and proliferative status. Both responses peaked 1 week post-vaccination. Vaccine-specific cytokine-producing Thmem cells were predominantly effector memory, whereas bystander cells were mainly of central memory phenotype. Importantly, TT-specific Thmem cells were activated (CD38High HLA-DR+), cycling or recently divided (Ki-67+), and apparently vulnerable to death (IL-7RαLow and Bcl-2 Low). In contrast, bystander Thmem cells were resting (CD38Low HLA-DR- Ki-67-) with high expression of IL-7Rα and Bcl-2. These findings allow a clear distinction between vaccine-specific and bystander Thmem cells, suggesting the latter do not derive from recent proliferation but from cells mobilized from as yet undefined reservoirs. Furthermore, they reveal the interdependent dynamics of specific and bystander T-cell responses which will inform assessments of responses to vaccines. PMID:26332995

  18. Field-effect transistor memories based on ferroelectric polymers

    Science.gov (United States)

    Zhang, Yujia; Wang, Haiyang; Zhang, Lei; Chen, Xiaomeng; Guo, Yu; Sun, Huabin; Li, Yun

    2017-11-01

    Field-effect transistors based on ferroelectrics have attracted intensive interests, because of their non-volatile data retention, rewritability, and non-destructive read-out. In particular, polymeric materials that possess ferroelectric properties are promising for the fabrications of memory devices with high performance, low cost, and large-area manufacturing, by virtue of their good solubility, low-temperature processability, and good chemical stability. In this review, we discuss the material characteristics of ferroelectric polymers, providing an update on the current development of ferroelectric field-effect transistors (Fe-FETs) in non-volatile memory applications. Program supported partially by the NSFC (Nos. 61574074, 61774080), NSFJS (No. BK20170075), and the Open Partnership Joint Projects of NSFC-JSPS Bilateral Joint Research Projects (No. 61511140098).

  19. Silicon photonic integrated circuits with electrically programmable non-volatile memory functions.

    Science.gov (United States)

    Song, J-F; Lim, A E-J; Luo, X-S; Fang, Q; Li, C; Jia, L X; Tu, X-G; Huang, Y; Zhou, H-F; Liow, T-Y; Lo, G-Q

    2016-09-19

    Conventional silicon photonic integrated circuits do not normally possess memory functions, which require on-chip power in order to maintain circuit states in tuned or field-configured switching routes. In this context, we present an electrically programmable add/drop microring resonator with a wavelength shift of 426 pm between the ON/OFF states. Electrical pulses are used to control the choice of the state. Our experimental results show a wavelength shift of 2.8 pm/ms and a light intensity variation of ~0.12 dB/ms for a fixed wavelength in the OFF state. Theoretically, our device can accommodate up to 65 states of multi-level memory functions. Such memory functions can be integrated into wavelength division mutiplexing (WDM) filters and applied to optical routers and computing architectures fulfilling large data downloading demands.

  20. BLACKCOMB2: Hardware-software co-design for non-volatile memory in exascale systems

    Energy Technology Data Exchange (ETDEWEB)

    Mudge, Trevor [Univ. of Michigan, Ann Arbor, MI (United States)

    2017-12-15

    This work was part of a larger project, Blackcomb2, centered at Oak Ridge National Labs (Jeff Vetter PI) to investigate the opportunities for replacing or supplementing DRAM main memory with nonvolatile memory (NVmemory) in Exascale memory systems. The goal was to reduce the energy consumed by in future supercomputer memory systems and to improve their resiliency. Building on the accomplishments of the original Blackcomb Project, funded in 2010, the goal for Blackcomb2 was to identify, evaluate, and optimize the most promising emerging memory technologies, architecture hardware and software technologies, which are essential to provide the necessary memory capacity, performance, resilience, and energy efficiency in Exascale systems. Capacity and energy are the key drivers.

  1. Decreased memory B cells and increased CD8 memory T cells in blood of breastfed children: the generation R study.

    Science.gov (United States)

    Jansen, Michelle A E; van den Heuvel, Diana; van Zelm, Menno C; Jaddoe, Vincent W V; Hofman, Albert; de Jongste, Johan C; Hooijkaas, Herbert; Moll, Henriette A

    2015-01-01

    Breastfeeding provides a protective effect against infectious diseases in infancy. Still, immunological evidence for enhanced adaptive immunity in breastfed children remains inconclusive. To determine whether breastfeeding affects B- and T-cell memory in the first years of life. We performed immunophenotypic analysis on blood samples within a population-based prospective cohort study. Participants included children at 6 months (n=258), 14 months (n=166), 25 months (n=112) and 6 years of age (n=332) with both data on breastfeeding and blood lymphocytes. Total B- and T-cell numbers and their memory subsets were determined with 6-color flow cytometry. Mothers completed questionnaires on breastfeeding when their children were aged 2, 6, and 12 months. Multiple linear regression models with adjustments for potential confounders were performed. Per month continuation of breastfeeding, a 3% (95% CI -6, -1) decrease in CD27+IgM+, a 2% (95 CI % -5, -1) decrease in CD27+IgA+ and a 2% (95% CI -4, -1) decrease in CD27-IgG+ memory B cell numbers were observed at 6 months of age. CD8 T-cell numbers at 6 months of age were 20% (95% CI 3, 37) higher in breastfed than in non-breastfed infants. This was mainly found for central memory CD8 T cells and associated with exposure to breast milk, rather than duration. The same trend was observed at 14 months, but associations disappeared at older ages. Longer breastfeeding is associated with increased CD8 T-cell memory, but not B-cell memory numbers in the first 6 months of life. This transient skewing towards T cell memory might contribute to the protective effect against infectious diseases in infancy.

  2. Decreased memory B cells and increased CD8 memory T cells in blood of breastfed children: the generation R study.

    Directory of Open Access Journals (Sweden)

    Michelle A E Jansen

    Full Text Available Breastfeeding provides a protective effect against infectious diseases in infancy. Still, immunological evidence for enhanced adaptive immunity in breastfed children remains inconclusive.To determine whether breastfeeding affects B- and T-cell memory in the first years of life.We performed immunophenotypic analysis on blood samples within a population-based prospective cohort study. Participants included children at 6 months (n=258, 14 months (n=166, 25 months (n=112 and 6 years of age (n=332 with both data on breastfeeding and blood lymphocytes. Total B- and T-cell numbers and their memory subsets were determined with 6-color flow cytometry. Mothers completed questionnaires on breastfeeding when their children were aged 2, 6, and 12 months. Multiple linear regression models with adjustments for potential confounders were performed.Per month continuation of breastfeeding, a 3% (95% CI -6, -1 decrease in CD27+IgM+, a 2% (95 CI % -5, -1 decrease in CD27+IgA+ and a 2% (95% CI -4, -1 decrease in CD27-IgG+ memory B cell numbers were observed at 6 months of age. CD8 T-cell numbers at 6 months of age were 20% (95% CI 3, 37 higher in breastfed than in non-breastfed infants. This was mainly found for central memory CD8 T cells and associated with exposure to breast milk, rather than duration. The same trend was observed at 14 months, but associations disappeared at older ages.Longer breastfeeding is associated with increased CD8 T-cell memory, but not B-cell memory numbers in the first 6 months of life. This transient skewing towards T cell memory might contribute to the protective effect against infectious diseases in infancy.

  3. Generalized Fractional Processes with Long Memory and Time Dependent Volatility Revisited

    Directory of Open Access Journals (Sweden)

    M. Shelton Peiris

    2016-09-01

    Full Text Available In recent years, fractionally-differenced processes have received a great deal of attention due to their flexibility in financial applications with long-memory. This paper revisits the class of generalized fractionally-differenced processes generated by Gegenbauer polynomials and the ARMA structure (GARMA with both the long-memory and time-dependent innovation variance. We establish the existence and uniqueness of second-order solutions. We also extend this family with innovations to follow GARCH and stochastic volatility (SV. Under certain regularity conditions, we give asymptotic results for the approximate maximum likelihood estimator for the GARMA-GARCH model. We discuss a Monte Carlo likelihood method for the GARMA-SV model and investigate finite sample properties via Monte Carlo experiments. Finally, we illustrate the usefulness of this approach using monthly inflation rates for France, Japan and the United States.

  4. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore.

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-09-02

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy.

  5. Non-Host Plant Volatiles Disrupt Sex Pheromone Communication in a Specialist Herbivore

    Science.gov (United States)

    Wang, Fumin; Deng, Jianyu; Schal, Coby; Lou, Yonggen; Zhou, Guoxin; Ye, Bingbing; Yin, Xiaohui; Xu, Zhihong; Shen, Lize

    2016-01-01

    The ecological effects of plant volatiles on herbivores are manifold. Little is known, however, about the impacts of non-host plant volatiles on intersexual pheromonal communication in specialist herbivores. We tested the effects of several prominent constitutive terpenoids released by conifers and Eucalyptus trees on electrophysiological and behavioral responses of an oligophagous species, Plutella xylostella, which feeds on Brassicaceae. The non-host plant volatile terpenoids adversely affected the calling behavior (pheromone emission) of adult females, and the orientation responses of adult males to sex pheromone were also significantly inhibited by these terpenoids in a wind tunnel and in the field. We suggest that disruption of both pheromone emission and orientation to sex pheromone may explain, at least in part, an observed reduction in herbivore attack in polyculture compared with monoculture plantings. We also propose that mating disruption of both male and female moths with non-host plant volatiles may be a promising alternative pest management strategy. PMID:27585907

  6. Progesterone impairs antigen-non-specific immune protection by CD8 T memory cells via interferon-γ gene hypermethylation.

    Science.gov (United States)

    Yao, Yushi; Li, Hui; Ding, Jie; Xia, Yixin; Wang, Lei

    2017-11-01

    Pregnant women and animals have increased susceptibility to a variety of intracellular pathogens including Listeria monocytogenes (LM), which has been associated with significantly increased level of sex hormones such as progesterone. CD8 T memory(Tm) cell-mediated antigen-non-specific IFN-γ responses are critically required in the host defense against LM. However, whether and how increased progesterone during pregnancy modulates CD8 Tm cell-mediated antigen-non-specific IFN-γ production and immune protection against LM remain poorly understood. Here we show in pregnant women that increased serum progesterone levels are associated with DNA hypermethylation of IFN-γ gene promoter region and decreased IFN-γ production in CD8 Tm cells upon antigen-non-specific stimulation ex vivo. Moreover, IFN-γ gene hypermethylation and significantly reduced IFN-γ production post LM infection in antigen-non-specific CD8 Tm cells are also observed in pregnant mice or progesterone treated non-pregnant female mice, which is a reversible phenotype following demethylation treatment. Importantly, antigen-non-specific CD8 Tm cells from progesterone treated mice have impaired anti-LM protection when adoptive transferred in either pregnant wild type mice or IFN-γ-deficient mice, and demethylation treatment rescues the adoptive protection of such CD8 Tm cells. These data demonstrate that increased progesterone impairs immune protective functions of antigen-non-specific CD8 Tm cells via inducing IFN-γ gene hypermethylation. Our findings thus provide insights into a new mechanism through which increased female sex hormone regulate CD8 Tm cell functions during pregnancy.

  7. Progesterone impairs antigen-non-specific immune protection by CD8 T memory cells via interferon-γ gene hypermethylation.

    Directory of Open Access Journals (Sweden)

    Yushi Yao

    2017-11-01

    Full Text Available Pregnant women and animals have increased susceptibility to a variety of intracellular pathogens including Listeria monocytogenes (LM, which has been associated with significantly increased level of sex hormones such as progesterone. CD8 T memory(Tm cell-mediated antigen-non-specific IFN-γ responses are critically required in the host defense against LM. However, whether and how increased progesterone during pregnancy modulates CD8 Tm cell-mediated antigen-non-specific IFN-γ production and immune protection against LM remain poorly understood. Here we show in pregnant women that increased serum progesterone levels are associated with DNA hypermethylation of IFN-γ gene promoter region and decreased IFN-γ production in CD8 Tm cells upon antigen-non-specific stimulation ex vivo. Moreover, IFN-γ gene hypermethylation and significantly reduced IFN-γ production post LM infection in antigen-non-specific CD8 Tm cells are also observed in pregnant mice or progesterone treated non-pregnant female mice, which is a reversible phenotype following demethylation treatment. Importantly, antigen-non-specific CD8 Tm cells from progesterone treated mice have impaired anti-LM protection when adoptive transferred in either pregnant wild type mice or IFN-γ-deficient mice, and demethylation treatment rescues the adoptive protection of such CD8 Tm cells. These data demonstrate that increased progesterone impairs immune protective functions of antigen-non-specific CD8 Tm cells via inducing IFN-γ gene hypermethylation. Our findings thus provide insights into a new mechanism through which increased female sex hormone regulate CD8 Tm cell functions during pregnancy.

  8. Multiscaling and clustering of volatility

    Science.gov (United States)

    Pasquini, Michele; Serva, Maurizio

    1999-07-01

    The dynamics of prices in stock markets has been studied intensively both experimentally (data analysis) and theoretically (models). Nevertheless, while the distribution of returns of the most important indices is known to be a truncated Lévy, the behaviour of volatility correlations is still poorly understood. What is well known is that absolute returns have memory on a long time range, this phenomenon is known in financial literature as clustering of volatility. In this paper we show that volatility correlations are power laws with a non-unique scaling exponent. This kind of multiscale phenomenology is known to be relevant in fully developed turbulence and in disordered systems and it is pointed out here for the first time for a financial series. In our study we consider the New York Stock Exchange (NYSE) daily index, from January 1966 to June 1998, for a total of 8180 working days.

  9. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  10. Peripheral tissue homing receptor control of naïve, effector, and memory CD8 T cell localization in lymphoid and non-lymphoid tissues.

    Science.gov (United States)

    Brinkman, C Colin; Peske, J David; Engelhard, Victor Henry

    2013-01-01

    T cell activation induces homing receptors that bind ligands on peripheral tissue vasculature, programing movement to sites of infection and injury. There are three major types of CD8 effector T cells based on homing receptor expression, which arise in distinct lymphoid organs. Recent publications indicate that naïve, effector, and memory T cell migration is more complex than once thought; while many effectors enter peripheral tissues, some re-enter lymph nodes (LN), and contain central memory precursors. LN re-entry can depend on CD62L or peripheral tissue homing receptors. Memory T cells in LN tend to express the same homing receptors as their forebears, but often are CD62Lneg. Homing receptors also control CD8 T cell tumor entry. Tumor vasculature has low levels of many peripheral tissue homing receptor ligands, but portions of it resemble high endothelial venules (HEV), enabling naïve T cell entry, activation, and subsequent effector activity. This vasculature is associated with positive prognoses in humans, suggesting it may sustain ongoing anti-tumor responses. These findings reveal new roles for homing receptors expressed by naïve, effector, and memory CD8 T cells in controlling entry into lymphoid and non-lymphoid tissues.

  11. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    Science.gov (United States)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  12. Measurements of non-volatile aerosols with a VTDMA and their correlations with carbonaceous aerosols in Guangzhou, China

    Directory of Open Access Journals (Sweden)

    H. H. Y. Cheung

    2016-07-01

    Full Text Available Simultaneous measurements of aerosol volatility and carbonaceous matters were conducted at a suburban site in Guangzhou, China, in February and March 2014 using a volatility tandem differential mobility analyzer (VTDMA and an organic carbon/elemental carbon (OC ∕ EC analyzer. Low volatility (LV particles, with a volatility shrink factor (VSF at 300 °C exceeding 0.9, contributed 5 % of number concentrations of the 40 nm particles and 11–15 % of the 80–300 nm particles. They were composed of non-volatile material externally mixed with volatile material, and therefore did not evaporate significantly at 300 °C. Non-volatile material mixed internally with the volatile material was referred to as medium volatility (MV, 0.4  <  VSF  <  0.9 and high volatility (HV, VSF  <  0.4 particles. The MV and HV particles contributed 57–71 % of number concentration for the particles between 40 and 300 nm in size. The average EC and OC concentrations measured by the OC ∕ EC analyzer were 3.4 ± 3.0 and 9.0 ± 6.0 µg m−3, respectively. Non-volatile OC evaporating at 475 °C or above, together with EC, contributed 67 % of the total carbon mass. In spite of the daily maximum and minimum, the diurnal variations in the volume fractions of the volatile material, HV, MV and LV residuals were less than 15 % for the 80–300 nm particles. Back trajectory analysis also suggests that over 90 % of the air masses influencing the sampling site were well aged as they were transported at low altitudes (below 1500 m for over 40 h before arrival. Further comparison with the diurnal variations in the mass fractions of EC and the non-volatile OC in PM2.5 suggests that the non-volatile residuals may be related to both EC and non-volatile OC in the afternoon, during which the concentration of aged organics increased. A closure analysis of the total mass of LV and MV residuals and the mass of EC or the

  13. Memory CD8 T cell inflation vs tissue-resident memory T cells: Same patrollers, same controllers?

    Science.gov (United States)

    Welten, Suzanne P M; Sandu, Ioana; Baumann, Nicolas S; Oxenius, Annette

    2018-05-01

    The induction of long-lived populations of memory T cells residing in peripheral tissues is of considerable interest for T cell-based vaccines, as they can execute immediate effector functions and thus provide protection in case of pathogen encounter at mucosal and barrier sites. Cytomegalovirus (CMV)-based vaccines support the induction and accumulation of a large population of effector memory CD8 T cells in peripheral tissues, in a process called memory inflation. Tissue-resident memory (T RM ) T cells, induced by various infections and vaccination regimens, constitute another subset of memory cells that take long-term residence in peripheral tissues. Both memory T cell subsets have evoked substantial interest in exploitation for vaccine purposes. However, a direct comparison between these two peripheral tissue-localizing memory T cell subsets with respect to their short- and long-term ability to provide protection against heterologous challenge is pending. Here, we discuss communalities and differences between T RM and inflationary CD8 T cells with respect to their development, maintenance, function, and protective capacity. In addition, we discuss differences and similarities between the transcriptional profiles of T RM and inflationary T cells, supporting the notion that they are distinct memory T cell populations. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  14. Occurence and dietary exposure of volatile and non-volatile N-Nitrosamines in processed meat products

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Granby, Kit

    Nitrite and nitrate have for many decades been used for preservation of meat. However, nitrite can react with secondary amines in meat to form N-Nitrosamines (NAs), many of which have been shown to be genotoxic1 . The use of nitrite therefore ought to be limited as much as possible. To maintain...... a high level of consumer protection Denmark obtains National low limits of the nitrite use in meat products. An estimation of the dietary exposure to volatile NAs (VNA) and non-volatile NAs (NVNA) is necessary when performing a risk assessment of the use of nitrite and nitrate for meat preservation....

  15. The information content of implied volatilities of options on eurodeposit futures traded on the LIFFE: is there long memory?

    OpenAIRE

    Cifarelli, giulio

    2002-01-01

    Under rather general conditions Black - Scholes implied volatilities from at-the-money options appropriately quantify, in each period, the market expectations of the average volatility of the return of the underlying asset until contract expiration. The efficiency of these expectation estimates is investigated here, for options on two major short term interest rate futures contracts traded at the LIFFE, using a long memory framework. Over the 1993 – 1997 time interval the performance of im...

  16. Scaling Techniques for Massive Scale-Free Graphs in Distributed (External) Memory

    KAUST Repository

    Pearce, Roger; Gokhale, Maya; Amato, Nancy M.

    2013-01-01

    We present techniques to process large scale-free graphs in distributed memory. Our aim is to scale to trillions of edges, and our research is targeted at leadership class supercomputers and clusters with local non-volatile memory, e.g., NAND Flash

  17. Memory phenotype CD4 T cells undergoing rapid, nonburst-like, cytokine-driven proliferation can be distinguished from antigen-experienced memory cells.

    Directory of Open Access Journals (Sweden)

    Souheil-Antoine Younes

    2011-10-01

    Full Text Available Memory phenotype (CD44(bright, CD25(negative CD4 spleen and lymph node T cells (MP cells proliferate rapidly in normal or germ-free donors, with BrdU uptake rates of 6% to 10% per day and Ki-67 positivity of 18% to 35%. The rapid proliferation of MP cells stands in contrast to the much slower proliferation of lymphocytic choriomeningitis virus (LCMV-specific memory cells that divide at rates ranging from <1% to 2% per day over the period from 15 to 60 days after LCMV infection. Anti-MHC class II antibodies fail to inhibit the in situ proliferation of MP cells, implying a non-T-cell receptor (TCR-driven proliferation. Such proliferation is partially inhibited by anti-IL-7Rα antibody. The sequence diversity of TCRβ CDR3 gene segments is comparable among the proliferating and quiescent MP cells from conventional and germ-free mice, implying that the majority of proliferating MP cells have not recently derived from a small cohort of cells that expand through multiple continuous rounds of cell division. We propose that MP cells constitute a diverse cell population, containing a subpopulation of slowly dividing authentic antigen-primed memory cells and a majority population of rapidly proliferating cells that did not arise from naïve cells through conventional antigen-driven clonal expansion.

  18. Non-pharmacological intervention for memory decline

    Directory of Open Access Journals (Sweden)

    Maria eCotelli

    2012-03-01

    Full Text Available Non-pharmacological treatment of memory difficulties in healthy older adults, as well as those with brain damage and neurodegenerative disorders, has gained much attention in recent years (Ball et al., 2002, Willis et al., 2006, Acevedo and Loewenstein, 2007. The two main reasons that explain this growing interest in memory rehabilitation are the limited efficacy of current drug therapies and the plasticity of the human central nervous system (Cotelli et al., 2011c and the discovery that during aging, the connections in the brain are not fixed but retain the capacity to change with learning.Moreover, several studies have reported enhanced cognitive performance in patients with neurological disease, following non-invasive brain stimulation (i.e., repetitive transcranial magnetic stimulation (rTMS and transcranial direct current stimulation (tDCS to specific cortical areas. The present review provides an overview of memory rehabilitation in individuals with Mild Cognitive Impairment (MCI and in patients with Alzheimer’s Disease (AD with particular regard to cognitive rehabilitation interventions focused on memory and non-invasive brain stimulation. Reviewed data suggest that in patients with memory deficits, memory intervention therapy could lead to performance improvements in memory, nevertheless further studies need to be conducted in order to establish the real value of this approach.

  19. Dissociating markers of senescence and protective ability in memory T cells.

    Directory of Open Access Journals (Sweden)

    Martin Prlic

    Full Text Available No unique transcription factor or biomarker has been identified to reliably distinguish effector from memory T cells. Instead a set of surface markers including IL-7Rα and KLRG1 is commonly used to predict the potential of CD8 effector T cells to differentiate into memory cells. Similarly, these surface markers together with the tumor necrosis factor family member CD27 are frequently used to predict a memory T cell's ability to mount a recall response. Expression of these markers changes every time a memory cell is stimulated and repeated stimulation can lead to T cell senescence and loss of memory T cell responsiveness. This is a concern for prime-boost vaccine strategies which repeatedly stimulate T cells with the aim of increasing memory T cell frequency. The molecular cues that cause senescence are still unknown, but cell division history is likely to play a major role. We sought to dissect the roles of inflammation and cell division history in developing T cell senescence and their impact on the expression pattern of commonly used markers of senescence. We developed a system that allows priming of CD8 T cells with minimal inflammation and without acquisition of maximal effector function, such as granzyme expression, but a cell division history similar to priming with systemic inflammation. Memory cells derived from minimal effector T cells are fully functional upon rechallenge, have full access to non-lymphoid tissue and appear to be less senescent by phenotype upon rechallenge. However, we report here that these currently used biomarkers to measure senescence do not predict proliferative potential or protective ability, but merely reflect initial priming conditions.

  20. In search of the next memory inside the circuitry from the oldest to the emerging non-volatile memories

    CERN Document Server

    Campardo, Giovanni

    2017-01-01

    This book provides students and practicing chip designers with an easy-to-follow yet thorough, introductory treatment of the most promising emerging memories under development in the industry. Focusing on the chip designer rather than the end user, this book offers expanded, up-to-date coverage of emerging memories circuit design. After an introduction on the old solid-state memories and the fundamental limitations soon to be encountered, the working principle and main technology issues of each of the considered technologies (PCRAM, MRAM, FeRAM, ReRAM) are reviewed and a range of topics related to design is explored: the array organization, sensing and writing circuitry, programming algorithms and error correction techniques are reviewed comparing the approach followed and the constraints for each of the technologies considered. Finally the issue of radiation effects on memory devices has been briefly treated. Additionally some considerations are entertained about how emerging memories can find a place in the...

  1. miR-150 Regulates Memory CD8 T Cell Differentiation via c-Myb

    Directory of Open Access Journals (Sweden)

    Zeyu Chen

    2017-09-01

    Full Text Available MicroRNAs play an important role in T cell responses. However, how microRNAs regulate CD8 T cell memory remains poorly defined. Here, we found that miR-150 negatively regulates CD8 T cell memory in vivo. Genetic deletion of miR-150 disrupted the balance between memory precursor and terminal effector CD8 T cells following acute viral infection. Moreover, miR-150-deficient memory CD8 T cells were more protective upon rechallenge. A key circuit whereby miR-150 repressed memory CD8 T cell development through the transcription factor c-Myb was identified. Without miR-150, c-Myb was upregulated and anti-apoptotic targets of c-Myb, such as Bcl-2 and Bcl-xL, were also increased, suggesting a miR-150-c-Myb survival circuit during memory CD8 T cell development. Indeed, overexpression of non-repressible c-Myb rescued the memory CD8 T cell defects caused by overexpression of miR-150. Overall, these results identify a key role for miR-150 in memory CD8 T cells through a c-Myb-controlled enhanced survival circuit.

  2. Effects of annealing temperature in a metal alloy nano-dot memory

    International Nuclear Information System (INIS)

    Lee, Jung Min; Lee, Gae Hun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Tetsu

    2011-01-01

    The annealing temperature dependence of the capacitance-voltage (C-V) characteristic has been studied in a metal-oxide semiconductor structure containing FePt nano-dots. Several in-situ annealing temperatures from 400 to ∼700 .deg. C in a high vacuum ambience (under 1 x 10 -5 Pa) were evaluated in view of the cell's characteristics and its reliability. Here, we demonstrate that the annealing temperature is significant for memory performance in an alloy metal nano-dot structure. A higher in-situ temperature provides better retention and a more reliable memory window. In the sample with an in-situ annealing condition of 700 .deg. C for 30 min, a memory window of 9.2 V at the initial stage was obtained, and a memory window of 6.2 V after 10 years was estimated, which is reliable for a non-volatile memory. From these results, the annealing condition for an alloy metal nano-dot memory is one of the critical parameters for the memory characteristics, and should be optimized for better memory performance.

  3. Enhanced non-volatile and updatable holography using a polymer composite system.

    Science.gov (United States)

    Wu, Pengfei; Sun, Sam Q; Baig, Sarfaraz; Wang, Michael R

    2012-03-12

    Updatable holography is considered as the ultimate technique for true 3D information recording and display. However, there is no practical solution to preserve the required features of both non-volatility and reversibility which conflict with each other when the reading has the same wavelength as the recording. We demonstrate a non-volatile and updatable holographic approach by exploiting new features of molecular transformations in a polymer recording system. In addition, by using a new composite recording film containing photo-reconfigurable liquid-crystal (LC) polymer, the holographic recording is enhanced due to the collective reorientation of LC molecules around the reconfigured polymer chains.

  4. Memory T Cell Migration

    OpenAIRE

    Qianqian eZhang; Qianqian eZhang; Fadi G. Lakkis

    2015-01-01

    Immunological memory is a key feature of adaptive immunity. It provides the organism with long-lived and robust protection against infection. In organ transplantation, memory T cells pose a significant threat by causing allograft rejection that is generally resistant to immunosuppressive therapy. Therefore, a more thorough understanding of memory T cell biology is needed to improve the survival of transplanted organs without compromising the host’s ability to fight infections. This review...

  5. Level Shifts in Volatility and the Implied-Realized Volatility Relation

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; de Magistris, Paolo Santucci

    We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization to the mult......We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization...... to the multivariate case of the univariate level shift technique by Lu and Perron (2008). An application to the S&P500 index and a simulation experiment show that the recently documented empirical properties of strong persistence in volatility and forecastability of future realized volatility from current implied...... volatility, which have been interpreted as long memory (or fractional integration) in volatility and fractional cointegration between implied and realized volatility, are accounted for by occasional common level shifts....

  6. Stochastic volatility of volatility in continuous time

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Veraart, Almut

    This paper introduces the concept of stochastic volatility of volatility in continuous time and, hence, extends standard stochastic volatility (SV) models to allow for an additional source of randomness associated with greater variability in the data. We discuss how stochastic volatility...... of volatility can be defined both non-parametrically, where we link it to the quadratic variation of the stochastic variance process, and parametrically, where we propose two new SV models which allow for stochastic volatility of volatility. In addition, we show that volatility of volatility can be estimated...

  7. Radiation hard memory cell and array thereof

    International Nuclear Information System (INIS)

    Gunckel, T.L. II; Rovell, A.; Nielsen, R.L.

    1978-01-01

    A memory cell configuration that is implemented to be relatively hard to the adverse effects of a nuclear event is discussed. The presently disclosed memory cell can be interconnected with other like memory cells to form a high speed radiation hard register file. Information is selectively written into and read out of a memory cell comprising the register file, which memory cell preserves previously stored data without alteration in the event of exposure to high levels of nuclear radiation

  8. Alternans by non-monotonic conduction velocity restitution, bistability and memory

    International Nuclear Information System (INIS)

    Kim, Tae Yun; Hong, Jin Hee; Heo, Ryoun; Lee, Kyoung J

    2013-01-01

    Conduction velocity (CV) restitution is a key property that characterizes any medium supporting traveling waves. It reflects not only the dynamics of the individual constituents but also the coupling mechanism that mediates their interaction. Recent studies have suggested that cardiac tissues, which have a non-monotonic CV-restitution property, can support alternans, a period-2 oscillatory response of periodically paced cardiac tissue. This study finds that single-hump, non-monotonic, CV-restitution curves are a common feature of in vitro cultures of rat cardiac cells. We also find that the Fenton–Karma model, one of the well-established mathematical models of cardiac tissue, supports a very similar non-monotonic CV restitution in a physiologically relevant parameter regime. Surprisingly, the mathematical model as well as the cell cultures support bistability and show cardiac memory that tends to work against the generation of an alternans. Bistability was realized by adopting two different stimulation protocols, ‘S1S2’, which produces a period-1 wave train, and ‘alternans-pacing’, which favors a concordant alternans. Thus, we conclude that the single-hump non-monotonicity in the CV-restitution curve is not sufficient to guarantee a cardiac alternans, since cardiac memory interferes and the way the system is paced matters. (paper)

  9. Next generation spin torque memories

    CERN Document Server

    Kaushik, Brajesh Kumar; Kulkarni, Anant Aravind; Prajapati, Sanjay

    2017-01-01

    This book offers detailed insights into spin transfer torque (STT) based devices, circuits and memories. Starting with the basic concepts and device physics, it then addresses advanced STT applications and discusses the outlook for this cutting-edge technology. It also describes the architectures, performance parameters, fabrication, and the prospects of STT based devices. Further, moving from the device to the system perspective it presents a non-volatile computing architecture composed of STT based magneto-resistive and all-spin logic devices and demonstrates that efficient STT based magneto-resistive and all-spin logic devices can turn the dream of instant on/off non-volatile computing into reality.

  10. Magnetic vortex racetrack memory

    Science.gov (United States)

    Geng, Liwei D.; Jin, Yongmei M.

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications.

  11. Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory

    Directory of Open Access Journals (Sweden)

    Luís Vitório Cargnini

    2014-08-01

    Full Text Available Static random access memory (SRAM is the most commonly employed semiconductor in the design of on-chip processor memory. However, it is unlikely that the SRAM technology will have a cell size that will continue to scale below 45 nm, due to the leakage current that is caused by the quantum tunneling effect. Magnetic random access memory (MRAM is a candidate technology to replace SRAM, assuming appropriate dimensioning given an operating threshold voltage. The write current of spin transfer torque (STT-MRAM is a known limitation; however, this has been recently mitigated by leveraging perpendicular magnetic tunneling junctions. In this article, we present a comprehensive comparison of spin transfer torque-MRAM (STT-MRAM and SRAM cache set banks. The non-volatility of STT-MRAM allows the definition of new instant on/off policies and leakage current optimizations. Through our experiments, we demonstrate that STT-MRAM is a candidate for the memory hierarchy of embedded systems, due to the higher densities and reduced leakage of MRAM.We demonstrate that adopting STT-MRAM in L1 and L2 caches mitigates the impact of higher write latencies and increased current draw due to the use of MRAM. With the correct system-on-chip (SoC design, we believe that STT-MRAM is a viable alternative to SRAM, which minimizes leakage current and the total power consumed by the SoC.

  12. A Non-Targeted Approach Unravels the Volatile Network in Peach Fruit

    Science.gov (United States)

    Sánchez, Gerardo; Besada, Cristina; Badenes, María Luisa; Monforte, Antonio José; Granell, Antonio

    2012-01-01

    Volatile compounds represent an important part of the plant metabolome and are of particular agronomic and biological interest due to their contribution to fruit aroma and flavor and therefore to fruit quality. By using a non-targeted approach based on HS-SPME-GC-MS, the volatile-compound complement of peach fruit was described. A total of 110 volatile compounds (including alcohols, ketones, aldehydes, esters, lactones, carboxylic acids, phenolics and terpenoids) were identified and quantified in peach fruit samples from different genetic backgrounds, locations, maturity stages and physiological responses. By using a combination of hierarchical cluster analysis and metabolomic correlation network analysis we found that previously known peach fruit volatiles are clustered according to their chemical nature or known biosynthetic pathways. Moreover, novel volatiles that had not yet been described in peach were identified and assigned to co-regulated groups. In addition, our analyses showed that most of the co-regulated groups showed good intergroup correlations that are therefore consistent with the existence of a higher level of regulation orchestrating volatile production under different conditions and/or developmental stages. In addition, this volatile network of interactions provides the ground information for future biochemical studies as well as a useful route map for breeding or biotechnological purposes. PMID:22761719

  13. Dietary exposure to volatile and non-volatile N-nitrosamines from processed meat products in Denmark

    DEFF Research Database (Denmark)

    Herrmann, Susan Strange; Duedahl-Olesen, Lene; Christensen, Tue

    2015-01-01

    the carcinogenicity for the majority of the non-volatile NA (NVNA) remains to be elucidated. Danish adults (15–75 years) and children (4–6 years) consume 20 g and 16 g of processed meat per day (95th percentile), respectively. The consumption is primarily accounted for by sausages, salami, pork flank (spiced...

  14. Memory vs memory-like: The different facets of CD8+ T-cell memory in HCV infection.

    Science.gov (United States)

    Hofmann, Maike; Wieland, Dominik; Pircher, Hanspeter; Thimme, Robert

    2018-05-01

    Memory CD8 + T cells are essential in orchestrating protection from re-infection. Hallmarks of virus-specific memory CD8 + T cells are the capacity to mount recall responses with rapid induction of effector cell function and antigen-independent survival. Growing evidence reveals that even chronic infection does not preclude virus-specific CD8 + T-cell memory formation. However, whether this kind of CD8 + T-cell memory that is established during chronic infection is indeed functional and provides protection from re-infection is still unclear. Human chronic hepatitis C virus infection represents a unique model system to study virus-specific CD8 + T-cell memory formation during and after cessation of persisting antigen stimulation. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  15. The properties of realized volatility and realized correlation: Evidence from the Indian stock market

    Science.gov (United States)

    Gkillas (Gillas), Konstantinos; Vortelinos, Dimitrios I.; Saha, Shrabani

    2018-02-01

    This paper investigates the properties of realized volatility and correlation series in the Indian stock market by employing daily data converting to monthly frequency of five different stock indices from January 2, 2006 to November 30, 2014. Using non-parametric estimation technique the properties examined include normality, long-memory, asymmetries, jumps, and heterogeneity. The realized volatility is a useful technique which provides a relatively accurate measure of volatility based on the actual variance which is beneficial for asset management in particular for non-speculative funds. The results show that realized volatility and correlation series are not normally distributed, with some evidence of persistence. Asymmetries are also evident in both volatilities and correlations. Both jumps and heterogeneity properties are significant; whereas, the former is more significant than the latter. The findings show that properties of volatilities and correlations in Indian stock market have similarities as that show in the stock markets in developed countries such as the stock market in the United States which is more prevalent for speculative business traders.

  16. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    Science.gov (United States)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  17. Decreased numbers of CD4+ naive and effector memory T cells, and CD8+ naïve T cells, are associated with trichloroethylene exposure

    Directory of Open Access Journals (Sweden)

    H Dean eHosgood

    2012-01-01

    Full Text Available Trichloroethylene (TCE is a volatile chlorinated organic compound that is commonly used as a solvent for lipophilic compounds. Although recognized as an animal carcinogen, TCE’s carcinogenic potential in humans is still uncertain. We have carried out a cross-sectional study of 80 workers exposed to TCE and 96 unexposed controls matched on age and sex in Guangdong, China to study TCE’s early biologic effects. We previously reported that the total lymphocyte count and each of the major lymphocyte subsets (i.e., CD4+ T cells, CD8+ T cells, natural killer (NK cells, and B cells were decreased in TCE-exposed workers compared to controls, suggesting a selective effect on lymphoid progenitors and/or lymphocyte survival. To explore which T lymphocyte subsets are affected, we investigated the effect of TCE exposure on the numbers of CD4+ naïve and memory T cells, CD8+ naïve and memory T cells, and regulatory T cells by FACS analysis. Linear regression of each subset was used to test for differences between exposed workers and controls adjusting for potential confounders. We observed that CD4+ and CD8+ naïve T cell counts were about 8% (p = 0.056 and 17% (p = 0.0002 lower, respectively, among exposed workers. CD4+ effector memory T cell counts were decreased by about 20% among TCE exposed workers compared to controls (p = 0.001. The selective targeting of TCE on CD8+ naïve and possibly CD4+ naive T cells, and CD4+ effector memory T cells, provide further insights into the immunosuppression-related response of human immune cells upon TCE exposure.

  18. New memory devices based on the proton transfer process

    Science.gov (United States)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices.

  19. Impact of time and space evolution of ion tracks in nonvolatile memory cells approaching nanoscale

    International Nuclear Information System (INIS)

    Cellere, G.; Paccagnella, A.; Murat, M.; Barak, J.; Akkerman, A.; Harboe-Sorensen, R.; Virtanen, A.; Visconti, A.; Bonanomi, M.

    2010-01-01

    Swift heavy ions impacting on matter lose energy through the creation of dense tracks of charges. The study of the space and time evolution of energy exchange allows understanding the single event effects behavior in advanced microelectronic devices. In particular, the shrinking of minimum feature size of most advanced memory devices makes them very interesting test vehicles to study these effects since the device and the track dimensions are comparable; hence, measured effects are directly correlated with the time and space evolution of the energy release. In this work we are studying the time and space evolution of ion tracks by using advanced non volatile memories and Monte Carlo simulations. Experimental results are very well explained by the theoretical calculations.

  20. Low-cost fabrication of ternary CuInSe{sub 2} nanocrystals by colloidal route using a novel combination of volatile and non-volatile capping agents

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Parul; Narain Sharma, Shailesh, E-mail: shailesh@nplindia.org; Singh, Son

    2014-11-15

    Wet-route synthesis of CuInSe{sub 2} (CISe) nanocrystals has been envisaged with the utilization of the unique combination of coordinating ligand and non coordinating solvent. Our work demonstrates the formation of a single-phase, nearly stoichiometric and monodispersive, stable and well-passivated colloidal ternary CISe nanocrystals (band gap (E{sub g})∼1.16 eV) using a novel combination of ligands; viz. volatile arylamine aniline and non-volatile solvent 1-octadecene. The synthesis and growth conditions have been manoeuvred using the colligative properties of the mixture and thus higher growth temperature (∼250 °C) could be attained that promoted larger grain growth. The beneficial influence of the capping agents (aniline and 1-octadecene) on the properties of chalcopyrite nanocrystals has enabled us to pictorally model the structural, morphological and optoelectronic aspects of CISe nanoparticles. - Graphical abstract: Without resorting to any post-selenization process and using the colligative properties of the mixture comprising of volatile aniline and non-volatile 1-octadecene to manoeuvre the growth conditions to promote Ostwald ripening, a single phase, monodispersive and nearly stoichiometric ternary CISe nanocrystals are formed by wet-synthesis route. - Highlights: • Wet-route synthesis of CISe nanocrystals reported without post-selenization process. • Single-phase, stable and well-passivated colloidal ternary CISe nanocrystals formed. • Novel combination of capping agents: volatile aniline and non-volatile 1-octadecene. • Higher growth temperature attained using the colligative properties of the mixture. • Metallic salts presence explains exp. and theoretical boiling point difference.

  1. Fractional Black–Scholes option pricing, volatility calibration and implied Hurst exponents in South African context

    Directory of Open Access Journals (Sweden)

    Emlyn Flint

    2017-03-01

    Full Text Available Background: Contingent claims on underlying assets are typically priced under a framework that assumes, inter alia, that the log returns of the underlying asset are normally distributed. However, many researchers have shown that this assumption is violated in practice. Such violations include the statistical properties of heavy tails, volatility clustering, leptokurtosis and long memory. This paper considers the pricing of contingent claims when the underlying is assumed to display long memory, an issue that has heretofore not received much attention. Aim: We address several theoretical and practical issues in option pricing and implied volatility calibration in a fractional Black–Scholes market. We introduce a novel eight-parameter fractional Black–Scholes-inspired (FBSI model for the implied volatility surface, and consider in depth the issue of calibration. One of the main benefits of such a model is that it allows one to decompose implied volatility into an independent long-memory component – captured by an implied Hurst exponent – and a conditional implied volatility component. Such a decomposition has useful applications in the areas of derivatives trading, risk management, delta hedging and dynamic asset allocation. Setting: The proposed FBSI volatility model is calibrated to South African equity index options data as well as South African Rand/American Dollar currency options data. However, given the focus on the theoretical development of the model, the results in this paper are applicable across all financial markets. Methods: The FBSI model essentially combines a deterministic function form of the 1-year implied volatility skew with a separate deterministic function for the implied Hurst exponent, thus allowing one to model both observed implied volatility surfaces as well as decompose them into independent volatility and long-memory components respectively. Calibration of the model makes use of a quasi-explicit weighted

  2. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  3. EqualChance: Addressing Intra-set Write Variation to Increase Lifetime of Non-volatile Caches

    Energy Technology Data Exchange (ETDEWEB)

    Mittal, Sparsh [ORNL; Vetter, Jeffrey S [ORNL

    2014-01-01

    To address the limitations of SRAM such as high-leakage and low-density, researchers have explored use of non-volatile memory (NVM) devices, such as ReRAM (resistive RAM) and STT-RAM (spin transfer torque RAM) for designing on-chip caches. A crucial limitation of NVMs, however, is that their write endurance is low and the large intra-set write variation introduced by existing cache management policies may further exacerbate this problem, thereby reducing the cache lifetime significantly. We present EqualChance, a technique to increase cache lifetime by reducing intra-set write variation. EqualChance works by periodically changing the physical cache-block location of a write-intensive data item within a set to achieve wear-leveling. Simulations using workloads from SPEC CPU2006 suite and HPC (high-performance computing) field show that EqualChance improves the cache lifetime by 4.29X. Also, its implementation overhead is small, and it incurs very small performance and energy loss.

  4. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Science.gov (United States)

    Zheng, Zeyu; Qiao, Zhi; Takaishi, Tetsuya; Stanley, H Eugene; Li, Baowen

    2014-01-01

    Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  5. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Directory of Open Access Journals (Sweden)

    Zeyu Zheng

    Full Text Available Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  6. Ultra-Low Power Memory Design in Scaled Technology Nodes

    DEFF Research Database (Denmark)

    Zeinali, Behzad

    that the proposed SRAM reduces access time and leakage current by 40% and 20%, respectively, compared to the standard 8T-SRAM cell without any degradation in read and write margins. The second solution is an asymmetric Schottky barrier device, which can mitigate the read–write conflict of the 6T-SRAM cell in scaled...... technology nodes i.e. sub-50 nm. The 6T-SRAM designed based on the proposed device shows 18% leakage reduction and 54%, 6.6% and 3.1X improvement in read margin, write margin and write time, respectively, compared to the conventional 6T-SRAM cell. To address the standby power issue of SRAMs in scaled...... technology nodes, this thesis also investigates emerging non-volatile spintronics memories. In this respect, STT-MRAMs and SOT-MRAMs are studied and their design challenges are explored. To improve the read performance of STT-MRAMs, a novel non-destructive self-reference sensing scheme is proposed enabling...

  7. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  8. On the shape memory of red blood cells

    Science.gov (United States)

    Cordasco, Daniel; Bagchi, Prosenjit

    2017-04-01

    , followed by a slow recovery to the biconcave shape combined with membrane rotation, and a final rotational return of the membrane elements back to their original locations. A fast time scale on the order of a few hundred milliseconds characterizes the initial compression phase while a slow time scale on the order of tens of seconds is associated with the rotational phase. We observe that the response is strongly dependent on the stress-free state of the cells, that is, the relaxation time decreases significantly and the mode of recovery changes from rotation-driven to deformation-driven as the stress-free state becomes more non-spherical. We show that while membrane shear elasticity and non-spherical stress-free shape are necessary and sufficient for the membrane elements to return to their original locations, bending rigidity is needed for the "global" recovery of the biconcave shape. We also perform a novel relaxation simulation in which the cell axis of revolution is not aligned with the shear plane and show that the shape memory is exhibited even when the membrane elements are displaced normal to the imposed flow direction. The results presented here could motivate new experiments to determine the exact stress-free state of the RBC and also to clearly identify different tank-treading modes.

  9. Forecasting volatility of crude oil markets

    International Nuclear Information System (INIS)

    Kang, Sang Hoon; Kang, Sang-Mok; Yoon, Seong-Min

    2009-01-01

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  10. Forecasting volatility of crude oil markets

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Sang Hoon [Department of Business Administration, Gyeongsang National University, Jinju, 660-701 (Korea); Kang, Sang-Mok; Yoon, Seong-Min [Department of Economics, Pusan National University, Busan, 609-735 (Korea)

    2009-01-15

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  11. Nonvolatile Memory Technology for Space Applications

    Science.gov (United States)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  12. Asymptomatic memory CD8+ T cells

    Science.gov (United States)

    Khan, Arif Azam; Srivastava, Ruchi; Lopes, Patricia Prado; Wang, Christine; Pham, Thanh T; Cochrane, Justin; Thai, Nhi Thi Uyen; Gutierrez, Lucas; BenMohamed, Lbachir

    2014-01-01

    Generation and maintenance of high quantity and quality memory CD8+ T cells determine the level of protection from viral, bacterial, and parasitic re-infections, and hence constitutes a primary goal for T cell epitope-based human vaccines and immunotherapeutics. Phenotypically and functionally characterizing memory CD8+ T cells that provide protection against herpes simplex virus type 1 and type 2 (HSV-1 and HSV-2) infections, which cause blinding ocular herpes, genital herpes, and oro-facial herpes, is critical for better vaccine design. We have recently categorized 2 new major sub-populations of memory symptomatic and asymptomatic CD8+ T cells based on their phenotype, protective vs. pathogenic function, and anatomical locations. In this report we are discussing a new direction in developing T cell-based human herpes vaccines and immunotherapeutics based on the emerging new concept of “symptomatic and asymptomatic memory CD8+ T cells.” PMID:24499824

  13. CD4 T-Cell Memory Generation and Maintenance

    Science.gov (United States)

    Gasper, David J.; Tejera, Melba Marie; Suresh, M.

    2014-01-01

    Immunologic memory is the adaptive immune system's powerful ability to remember a previous antigen encounter and react with accelerated vigor upon antigen re-exposure. It provides durable protection against reinfection with pathogens and is the foundation for vaccine-induced immunity. Unlike the relatively restricted immunologic purview of memory B cells and CD8 T cells, the field of CD4 T-cell memory must account for multiple distinct lineages with diverse effector functions, the issue of lineage commitment and plasticity, and the variable distribution of memory cells within each lineage. Here, we discuss the evidence for lineage-specific CD4 T-cell memory and summarize the known factors contributing to memory-cell generation, plasticity, and long-term maintenance. PMID:24940912

  14. New memory devices based on the proton transfer process

    International Nuclear Information System (INIS)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing  information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices. (paper)

  15. A Josephson ternary associative memory cell

    International Nuclear Information System (INIS)

    Morisue, M.; Suzuki, K.

    1989-01-01

    This paper describes a three-valued content addressable memory cell using a Josephson complementary ternary logic circuit named as JCTL. The memory cell proposed here can perform three operations of searching, writing and reading in ternary logic system. The principle of the memory circuit is illustrated in detail by using the threshold-characteristics of the JCTL. In order to investigate how a high performance operation can be achieved, computer simulations have been made. Simulation results show that the cycle time of memory operation is 120psec, power consumption is about 0.5 μW/cell and tolerances of writing and reading operation are +-15% and +-24%, respectively

  16. Working memory for sequences of temporal durations reveals a volatile single-item store

    Directory of Open Access Journals (Sweden)

    Sanjay G Manohar

    2016-10-01

    remembered better when more items were expected, but worse when irrelevant features were present. This suggests that the privileged state of one item in memory is particularly volatile and susceptible to interference.

  17. Organic ferroelectric/semiconducting nanowire hybrid layer for memory storage

    NARCIS (Netherlands)

    Cai, R.; Kassa, H.G.; Haouari, R.; Marrani, A.; Geerts, Y.H.; Ruzié, C.; Breemen, A.J.J.M. van; Gelinck, G.H.; Nysten, B.; Hu, Z.; Jonas, A.M.

    2016-01-01

    Ferroelectric materials are important components of sensors, actuators and non-volatile memories. However, possible device configurations are limited due to the need to provide screening charges to ferroelectric interfaces to avoid depolarization. Here we show that, by alternating ferroelectric and

  18. Long memory of abnormal investor attention and the cross-correlations between abnormal investor attention and trading volume, volatility respectively

    Science.gov (United States)

    Fan, Xiaoqian; Yuan, Ying; Zhuang, Xintian; Jin, Xiu

    2017-03-01

    Taking Baidu Index as a proxy for abnormal investor attention (AIA), the long memory property in the AIA of Shanghai Stock Exchange (SSE) 50 Index component stocks was empirically investigated using detrended fluctuation analysis (DFA) method. The results show that abnormal investor attention is power-law correlated with Hurst exponents between 0.64 and 0.98. Furthermore, the cross-correlations between abnormal investor attention and trading volume, volatility respectively are studied using detrended cross-correlation analysis (DCCA) and the DCCA cross-correlation coefficient (ρDCCA). The results suggest that there are positive correlations between AIA and trading volume, volatility respectively. In addition, the correlations for trading volume are in general higher than the ones for volatility. By carrying on rescaled range analysis (R/S) and rolling windows analysis, we find that the results mentioned above are effective and significant.

  19. Shape memory of human red blood cells.

    Science.gov (United States)

    Fischer, Thomas M

    2004-05-01

    The human red cell can be deformed by external forces but returns to the biconcave resting shape after removal of the forces. If after such shape excursions the rim is always formed by the same part of the membrane, the cell is said to have a memory of its biconcave shape. If the rim can form anywhere on the membrane, the cell would have no shape memory. The shape memory was probed by an experiment called go-and-stop. Locations on the membrane were marked by spontaneously adhering latex spheres. Shape excursions were induced by shear flow. In virtually all red cells, a shape memory was found. After stop of flow and during the return of the latex spheres to the original location, the red cell shape was biconcave. The return occurred by a tank-tread motion of the membrane. The memory could not be eliminated by deforming the red cells in shear flow up to 4 h at room temperature as well as at 37 degrees C. It is suggested that 1). the characteristic time of stress relaxation is >80 min and 2). red cells in vivo also have a shape memory.

  20. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  1. Differential effects of non-REM and REM sleep on memory consolidation?

    Science.gov (United States)

    Ackermann, Sandra; Rasch, Björn

    2014-02-01

    Sleep benefits memory consolidation. Previous theoretical accounts have proposed a differential role of slow-wave sleep (SWS), rapid-eye-movement (REM) sleep, and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories, whereas REM sleep is important for consolidation of non-declarative, procedural and emotional memories. In fact, numerous recent studies do provide further support for the crucial role of SWS (or non-REM sleep) in declarative memory consolidation. However, recent evidence for the benefit of REM sleep for non-declarative memories is rather scarce. In contrast, several recent studies have related consolidation of procedural memories (and some also emotional memories) to SWS (or non-REM sleep)-dependent consolidation processes. We will review this recent evidence, and propose future research questions to advance our understanding of the role of different sleep stages for memory consolidation.

  2. Magnetic vortex racetrack memory

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Liwei D.; Jin, Yongmei M., E-mail: ymjin@mtu.edu

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications. - Highlights: • Advance fundamental knowledge of current-driven magnetic vortex phenomena. • Report appealing new magnetic racetrack memory based on current-controlled magnetic vortices in nanowires. • Provide a novel approach to adjust current magnitude for data propagation. • Overcome the limitations of domain wall racetrack memory.

  3. Long memory volatility of gold price returns: How strong is the evidence from distinct economic cycles?

    Science.gov (United States)

    Bentes, Sonia R.

    2016-02-01

    This paper examines the long memory behavior in the volatility of gold returns using daily data for the period 1985-2009. We divided the whole sample into eight sub-samples in order to analyze the robustness and consistency of our results during different crisis periods. This constitutes our main contribution. We cover four major world crises, namely, (i) the US stock market crash of 1987; (ii) the Asian financial crisis of 1997; (iii) the World Trade Center terrorist attack of 2001 and finally, (iv) the sub-prime crisis of 2007, in order to investigate how the fractional integrated parameter of the FIGARCH(1, d,1) model evolves over time. Our findings are twofold: (i) there is evidence of long memory in the conditional variance over the whole sample period; (ii) when we consider the sub-sample analysis, the results show mixed evidence. Thus, for the 1985-2003 period the long memory parameter is positive and statistically significant in the pre-crisis sub-samples, and there is no evidence of long memory in the crisis sub-sample periods; however the reverse pattern occurs for the 2005-2009 period. This highlights the unique characteristics of the 2007 sub-prime crisis.

  4. Identification and Quantification of Oxidoselina-1,3,7(11)-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Science.gov (United States)

    Josino Soares, Denise; Pignitter, Marc; Ehrnhöfer-Ressler, Miriam Margit; Walker, Jessica; Montenegro Brasil, Isabella; Somoza, Veronika

    2015-01-01

    The pulp of pitanga (Eugenia uniflora L.) is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11)-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS), reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  5. Memory window engineering of Ta2O5-x oxide-based resistive switches via incorporation of various insulating frames

    Science.gov (United States)

    Lee, Ah Rahm; Baek, Gwang Ho; Kim, Tae Yoon; Ko, Won Bae; Yang, Seung Mo; Kim, Jongmin; Im, Hyun Sik; Hong, Jin Pyo

    2016-07-01

    Three-dimensional (3D) stackable memory frames, including nano-scaled crossbar arrays, are one of the most reliable building blocks to meet the demand of high-density non-volatile memory electronics. However, their utilization has the disadvantage of introducing issues related to sneak paths, which can negatively impact device performance. We address the enhancement of complementary resistive switching (CRS) features via the incorporation of insulating frames as a generic approach to extend their use; here, a Pt/Ta2O5-x/Ta/Ta2O5-x/Pt frame is chosen as the basic CRS cell. The incorporation of Ta/Ta2O5-x/Ta or Pt/amorphous TaN/Pt insulting frames into the basic CRS cell ensures the appreciably advanced memory features of CRS cells including higher on/off ratios, improved read margins, and increased selectivity without reliability degradation. Experimental observations identified that a suitable insulating frame is crucial for adjusting the abrupt reset events of the switching element, thereby facilitating the enhanced electrical characteristics of CRS cells that are suitable for practical applications.

  6. Size distributions of non-volatile particle residuals (Dp<800 nm at a rural site in Germany and relation to air mass origin

    Directory of Open Access Journals (Sweden)

    T. Tuch

    2007-11-01

    Full Text Available Atmospheric aerosol particle size distributions at a continental background site in Eastern Germany were examined for a one-year period. Particles were classified using a twin differential mobility particle sizer in a size range between 3 and 800 nm. As a novelty, every second measurement of this experiment involved the removal of volatile chemical compounds in a thermodenuder at 300°C. This concept allowed to quantify the number size distribution of non-volatile particle cores – primarily associated with elemental carbon, and to compare this to the original non-conditioned size distribution. As a byproduct of the volatility analysis, new particles originating from nucleation inside the thermodenuder can be observed, however, overwhelmingly at diameters below 6 nm. Within the measurement uncertainty, every particle down to particle sizes of 15 nm is concluded to contain a non-volatile core. The volume fraction of non-volatile particulate matter (non-conditioned diameter < 800 nm varied between 10 and 30% and was largely consistent with the experimentally determined mass fraction of elemental carbon. The average size of the non-volatile particle cores was estimated as a function of original non-conditioned size using a summation method, which showed that larger particles (>200 nm contained more non-volatile compounds than smaller particles (<50 nm, thus indicating a significantly different chemical composition. Two alternative air mass classification schemes based on either, synoptic chart analysis (Berliner Wetterkarte or back trajectories showed that the volume and number fraction of non-volatile cores depended less on air mass than the total particle number concentration. In all air masses, the non-volatile size distributions showed a more and a less volatile ("soot" mode, the latter being located at about 50 nm. During unstable conditions and in maritime air masses, smaller values were observed compared to stable or continental conditions

  7. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M

    2004-02-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications.

  8. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    International Nuclear Information System (INIS)

    Normand, P.; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M.

    2004-01-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications

  9. Memory T follicular helper CD4 T cells

    Directory of Open Access Journals (Sweden)

    J. Scott eHale

    2015-02-01

    Full Text Available T follicular helper (Tfh cells are the subset of CD4 T helper cells that are required for generation and maintenance of germinal center reactions and the generation of long-lived humoral immunity. This specialized T helper subset provides help to cognate B cells via their expression of CD40 ligand, IL-21, IL-4, and other molecules. Tfh cells are characterized by their expression of the chemokine receptor CXCR5, expression of the transcriptional repressor Bcl6, and their capacity to migrate to the follicle and promote germinal center B cell responses. Until recently, it remained unclear whether Tfh cells differentiated into memory cells and whether they maintain their Tfh commitment at the memory phase. This review will highlight several recent studies that support the idea of Tfh-committed CD4 T cells at the memory stage of the immune response. The implication of these findings is that memory Tfh cells retain their capacity to recall their Tfh-specific effector functions upon reactivation to provide help for B cell responses and play an important role in prime and boost vaccination or during recall responses to infection. The markers that are useful for distinguishing Tfh effector and memory cells, as well as the limitations of using these markers will be discussed. Tfh effector and memory generation, lineage maintenance, and plasticity relative to other T helper lineages (Th1, Th2, Th17, etc will also be discussed. Ongoing discoveries regarding the maintenance and lineage stability versus plasticity of memory Tfh cells will improve strategies that utilize CD4 T cell memory to modulate antibody responses during prime and boost vaccination.

  10. Tissue-resident memory T cells in tissue homeostasis, persistent infection, and cancer surveillance.

    Science.gov (United States)

    Gebhardt, Thomas; Palendira, Umaimainthan; Tscharke, David C; Bedoui, Sammy

    2018-05-01

    A large proportion of memory T cells disseminated throughout the body are non-recirculating cells whose maintenance and function is regulated by tissue-specific environmental cues. These sessile cells are referred to as tissue-resident memory T (T RM ) cells and similar populations of non-recirculating cells also exist among unconventional T cells and innate lymphocyte cells. The pool of T RM cells is highly diverse with respect to anatomical positioning, phenotype, molecular regulation and effector function. Nevertheless, certain transcriptional programs are shared and appear as important unifying features for the overall population of T RM cells and tissue-resident lymphocytes. It is now widely appreciated that T RM cells are a critical component of our immune defense by acting as peripheral sentinels capable of rapidly mobilizing protective tissue immunity upon pathogen recognition. This function is of particular importance in anatomical sites that are not effectively surveilled by blood-borne memory T cells in absence of inflammation, such as neuronal tissues or epithelial compartments in skin and mucosae. Focusing on the well-characterized subtype of CD8 +  CD69 +  CD103 + T RM cells, we will review current concepts on the generation, persistence and function of T RM cells and will summarize commonly used tools to study these cells. Furthermore, we will discuss accumulating data that emphasize localized T RM responses as an important determinant of tissue homeostasis and immune defense in the context of microbiota-immune interactions, persistent infections and cancer surveillance. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  11. A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots

    OpenAIRE

    Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.

    2001-01-01

    Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...

  12. Lower Bounds in the Asymmetric External Memory Model

    DEFF Research Database (Denmark)

    Jacob, Riko; Sitchinava, Nodari

    2017-01-01

    Motivated by the asymmetric read and write costs of emerging non-volatile memory technologies, we study lower bounds for the problems of sorting, permuting and multiplying a sparse matrix by a dense vector in the asymmetric external memory model (AEM). Given an AEM with internal (symmetric) memory...... of size M, transfers between symmetric and asymmetric memory in blocks of size B and the ratio ω between write and read costs, we show Ω(min (N, ωN/B logω M/B N/B) lower bound for the cost of permuting N input elements. This lower bound also applies to the problem of sorting N elements. This proves...

  13. Identification and Quantification of Oxidoselina-1,3,7(11-Trien-8-One and Cyanidin-3-Glucoside as One of the Major Volatile and Non-Volatile Low-Molecular-Weight Constituents in Pitanga Pulp.

    Directory of Open Access Journals (Sweden)

    Denise Josino Soares

    Full Text Available The pulp of pitanga (Eugenia uniflora L. is used to prepare pitanga juice. However, there are no reports on the identification and quantification of the main constituents in pitanga pulp. The aim of this study was to identify and quantify the major volatile and non-volatile low-molecular-weight constituents of the pulp. Isolation of volatile compounds was performed by solvent-assisted flavor evaporation technique. Characterization of the main volatile and non-volatile constituents was performed by GC-MS, LC-MS and NMR spectroscopy. For quantitative measurements, the main volatile compound needed to be isolated from pitanga pulp to obtain a commercially not available reference standard. Cyanidin-3-glucoside was determined as one of the most abundant non-volatile pulp compound yielding 53.8% of the sum of the intensities of all ions detected by LC-MS. Quantification of cyanidin-3-glucoside in pitanga pulp resulted in a concentration of 344 ± 66.4 μg/mL corresponding to 688 ± 133 μg/g dried pulp and 530 ± 102 μg/g fruit. For the volatile fraction, oxidoselina-1,3,7(11-trien-8-one was identified as the main volatile pulp constituent (27.7% of the sum of the intensities of all ions detected by GC-MS, reaching a concentration of 89.0 ± 16.9 μg/mL corresponding to 1.34 ± 0.25 μg/g fresh pulp and 1.03 ± 0.19 μg/g fruit. The results provide quantitative evidence for the occurrence of an anthocyanin and an oxygenated sesquiterpene as one of the major volatile and non-volatile low-molecular-weight compounds in pitanga pulp.

  14. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Directory of Open Access Journals (Sweden)

    Antoine Gobert

    2017-11-01

    Full Text Available Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available. We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for

  15. Non-Saccharomyces Yeasts Nitrogen Source Preferences: Impact on Sequential Fermentation and Wine Volatile Compounds Profile

    Science.gov (United States)

    Gobert, Antoine; Tourdot-Maréchal, Raphaëlle; Morge, Christophe; Sparrow, Céline; Liu, Youzhong; Quintanilla-Casas, Beatriz; Vichi, Stefania; Alexandre, Hervé

    2017-01-01

    Nitrogen sources in the must are important for yeast metabolism, growth, and performance, and wine volatile compounds profile. Yeast assimilable nitrogen (YAN) deficiencies in grape must are one of the main causes of stuck and sluggish fermentation. The nitrogen requirement of Saccharomyces cerevisiae metabolism has been described in detail. However, the YAN preferences of non-Saccharomyces yeasts remain unknown despite their increasingly widespread use in winemaking. Furthermore, the impact of nitrogen consumption by non-Saccharomyces yeasts on YAN availability, alcoholic performance and volatile compounds production by S. cerevisiae in sequential fermentation has been little studied. With a view to improving the use of non-Saccharomyces yeasts in winemaking, we studied the use of amino acids and ammonium by three strains of non-Saccharomyces yeasts (Starmerella bacillaris, Metschnikowia pulcherrima, and Pichia membranifaciens) in grape juice. We first determined which nitrogen sources were preferentially used by these yeasts in pure cultures at 28 and 20°C (because few data are available). We then carried out sequential fermentations at 20°C with S. cerevisiae, to assess the impact of the non-Saccharomyces yeasts on the availability of assimilable nitrogen for S. cerevisiae. Finally, 22 volatile compounds were quantified in sequential fermentation and their levels compared with those in pure cultures of S. cerevisiae. We report here, for the first time, that non-Saccharomyces yeasts have specific amino-acid consumption profiles. Histidine, methionine, threonine, and tyrosine were not consumed by S. bacillaris, aspartic acid was assimilated very slowly by M. pulcherrima, and glutamine was not assimilated by P. membranifaciens. By contrast, cysteine appeared to be a preferred nitrogen source for all non-Saccharomyces yeasts. In sequential fermentation, these specific profiles of amino-acid consumption by non-Saccharomyces yeasts may account for some of the

  16. Secondary immunization generates clonally related antigen-specific plasma cells and memory B cells.

    Science.gov (United States)

    Frölich, Daniela; Giesecke, Claudia; Mei, Henrik E; Reiter, Karin; Daridon, Capucine; Lipsky, Peter E; Dörner, Thomas

    2010-09-01

    Rechallenge with T cell-dependent Ags induces memory B cells to re-enter germinal centers (GCs) and undergo further expansion and differentiation into plasma cells (PCs) and secondary memory B cells. It is currently not known whether the expanded population of memory B cells and PCs generated in secondary GCs are clonally related, nor has the extent of proliferation and somatic hypermutation of their precursors been delineated. In this study, after secondary tetanus toxoid (TT) immunization, TT-specific PCs increased 17- to 80-fold on days 6-7, whereas TT-specific memory B cells peaked (delayed) on day 14 with a 2- to 22-fold increase. Molecular analyses of V(H)DJ(H) rearrangements of individual cells revealed no major differences of gene usage and CDR3 length between TT-specific PCs and memory B cells, and both contained extensive evidence of somatic hypermutation with a pattern consistent with GC reactions. This analysis identified clonally related TT-specific memory B cells and PCs. Within clusters of clonally related cells, sequences shared a number of mutations but also could contain additional base pair changes. The data indicate that although following secondary immunization PCs can derive from memory B cells without further somatic hypermutation, in some circumstances, likely within GC reactions, asymmetric mutation can occur. These results suggest that after the fate decision to differentiate into secondary memory B cells or PCs, some committed precursors continue to proliferate and mutate their V(H) genes.

  17. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    International Nuclear Information System (INIS)

    Kothapalli, A.; Sadler, G.

    2003-01-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 deg. C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.htmlref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.htmlpage1

  18. Determination of non-volatile radiolytic compounds in ethylene co-vinyl alcohol

    Science.gov (United States)

    Kothapalli, A.; Sadler, G.

    2003-08-01

    The use of ionizing radiation on food contact polymers is increasing due to the critical role of the package in holding or containing the irradiated foods [Food Add. Contam. 18(6) (2001) 475]. Irradiation benefits the food if properly applied and the food is pre-packaged prior to irradiation to protect it from subsequent recontamination. The United States Food and Drug Administration (USFDA) has approved the use of ionizing radiation within the dosage range of 0-60 kGy on limited films since the 1960s [USFDA 21CFR 179.45]. The obstacle in the way of approval of additional polymers is that FDA fears that these materials may undergo changes during irradiation producing toxic radiolytic fragments. Ethylene co-vinyl alcohol (EVOH), which is often used in food applications, is not approved by the FDA for pre-packaged irradiated foods. The present work examines the non-volatile radiolytic compounds, which may be formed due to exposure to gamma irradiation at the dosage levels of 3 and 10 kGy versus a non-radiated control. Irradiated EVOH is subjected to extraction with 95:5 ethanol and water (by volume) as the food simulating solvent (FSS) for a period of 10 days at 40 °C, which models the amount of radiolytic compound a food would extract in 1 year [USFDA Chemistry Requirement for Food Contact Notification]. The FSS is then analyzed for the presence of non-volatile compounds using advanced liquid chromatographic techniques. The chromatograms obtained from different dosages show that non-volatile radiolytic compounds are not formed in EVOH and it would, therefore be in compliance with safety demands of USFDA [Available at: http://www.cfsan.fda.gov/~dms/opa-guid.html#ref and http://www.access.gpo.gov/nara/cfr/cfr-table-search.html#page1].

  19. Return-Volatility Relationship: Insights from Linear and Non-Linear Quantile Regression

    NARCIS (Netherlands)

    D.E. Allen (David); A.K. Singh (Abhay); R.J. Powell (Robert); M.J. McAleer (Michael); J. Taylor (James); L. Thomas (Lyn)

    2013-01-01

    textabstractThe purpose of this paper is to examine the asymmetric relationship between price and implied volatility and the associated extreme quantile dependence using linear and non linear quantile regression approach. Our goal in this paper is to demonstrate that the relationship between the

  20. Generation of memory B cells and their reactivation.

    Science.gov (United States)

    Inoue, Takeshi; Moran, Imogen; Shinnakasu, Ryo; Phan, Tri Giang; Kurosaki, Tomohiro

    2018-05-01

    The successful establishment of humoral memory response depends on at least two layers of defense. Pre-existing protective antibodies secreted by long-lived plasma cells act as a first line of defense against reinfection ("constitutive humoral memory"). Previously, a second line of defense in which pathogen-experienced memory B cells are rapidly reactivated to produce antibodies ("reactive humoral memory"), was considered as simply a back-up system for the first line (particularly for re-infection with homologous viruses). However, in the case of re-infection with similar but different strains of viruses, or in response to viral escape mutants, the reactive humoral memory plays a crucial role. Here, we review recent progress in our understanding of how memory B cells are generated in the pre-GC stage and during the GC reaction, and how these memory B cells are robustly reactivated with the help of memory Tfh cells to generate the secondary antibody response. In addition, we discuss how these advances may be relevant to the quest for a vaccine that can induce broadly reactive antibodies against influenza and HIV. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  1. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    Science.gov (United States)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  2. Analysis of antigen-specific B-cell memory directly ex vivo.

    Science.gov (United States)

    McHeyzer-Williams, Louise J; McHeyzer-Williams, Michael G

    2004-01-01

    Helper T-cell-regulated B-cell memory develops in response to initial antigen priming as a cellular product of the germinal center (GC) reaction. On antigen recall, memory response precursors expand rapidly with exaggerated differentiation into plasma cells to produce the high-titer, high-affinity antibody(Ab) that typifies the memory B-cell response in vivo. We have devised a high-resolution flow cytometric strategy to quantify the emergence and maintenance of antigen-specific memory B cells directly ex vivo. Extended cell surface phenotype establishes a level of cellular diversity not previously appreciated for the memory B-cell compartment. Using an "exclusion transfer" strategy, we ascertain the capacity of two distinct memory B-cell populations to transfer antigen-specific memory into naive adoptive hosts. Finally, we sequence expressed messenger ribonucleic acid (mRNA) from single cells within the population to estimate the level of somatic hypermutation as the best molecular indicator of B-cell memory. In this chapter, we describe the methods used in each of these four sections that serve to provide high-resolution quantification of antigen-specific B-cell memory responses directly ex vivo.

  3. Stochastic model of financial markets reproducing scaling and memory in volatility return intervals

    Science.gov (United States)

    Gontis, V.; Havlin, S.; Kononovicius, A.; Podobnik, B.; Stanley, H. E.

    2016-11-01

    We investigate the volatility return intervals in the NYSE and FOREX markets. We explain previous empirical findings using a model based on the interacting agent hypothesis instead of the widely-used efficient market hypothesis. We derive macroscopic equations based on the microscopic herding interactions of agents and find that they are able to reproduce various stylized facts of different markets and different assets with the same set of model parameters. We show that the power-law properties and the scaling of return intervals and other financial variables have a similar origin and could be a result of a general class of non-linear stochastic differential equations derived from a master equation of an agent system that is coupled by herding interactions. Specifically, we find that this approach enables us to recover the volatility return interval statistics as well as volatility probability and spectral densities for the NYSE and FOREX markets, for different assets, and for different time-scales. We find also that the historical S&P500 monthly series exhibits the same volatility return interval properties recovered by our proposed model. Our statistical results suggest that human herding is so strong that it persists even when other evolving fluctuations perturbate the financial system.

  4. Memory NK cells: why do they reside in the liver?

    Science.gov (United States)

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-05-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may generate both the initiation and the recall phase of memory. We propose that the liver may have unique precursors for memory NK cells, which are developmentally distinct from NK cells derived from bone marrow.

  5. Volatilities, Traded Volumes, and Price Increments in Derivative Securities

    Science.gov (United States)

    Kim, Kyungsik; Lim, Gyuchang; Kim, Soo Yong; Scalas, Enrico

    2007-03-01

    We apply the detrended fluctuation analysis (DFA) to the statistics of the Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. For our case, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of long-memory property. To analyze and calculate whether the volatility clustering is due to the inherent higher-order correlation not detected by applying directly the DFA to logarithmic increments of the KTB futures, it is of importance to shuffle the original tick data of futures prices and to generate the geometric Brownian random walk with the same mean and standard deviation. It is really shown from comparing the three tick data that the higher-order correlation inherent in logarithmic increments makes the volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes may be supported the hypothesis of price changes.

  6. Inkjet-printing of non-volatile organic resistive devices and crossbar array structures

    Science.gov (United States)

    Sax, Stefan; Nau, Sebastian; Popovic, Karl; Bluemel, Alexander; Klug, Andreas; List-Kratochvil, Emil J. W.

    2015-09-01

    Due to the increasing demand for storage capacity in various electronic gadgets like mobile phones or tablets, new types of non-volatile memory devices have gained a lot of attention over the last few years. Especially multilevel conductance switching elements based on organic semiconductors are of great interest due to their relatively simple device architecture and their small feature size. Since organic semiconductors combine the electronic properties of inorganic materials with the mechanical characteristics of polymers, this class of materials is suitable for solution based large area device preparation techniques. Consequently, inkjet based deposition techniques are highly capable of facing preparation related challenges. By gradually replacing the evaporated electrodes with inkjet printed silver, the preparation related influence onto device performance parameters such as the ON/OFF ratio was investigated with IV measurements and high resolution transmission electron microscopy. Due to the electrode surface roughness the solvent load during the printing of the top electrode as well as organic layer inhomogeneity's the utilization in array applications is hampered. As a prototypical example a 1diode-1resistor element and a 2×2 subarray from 5×5 array matrix were fully characterized demonstrating the versatility of inkjet printing for device preparation.

  7. Messier: A Detailed NVM-Based DIMM Model for the SST Simulation Framework.

    Energy Technology Data Exchange (ETDEWEB)

    Awad, Amro [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Voskuilen, Gwendolyn Renae [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Rodrigues, Arun F. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hammond, Simon David [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hoekstra, Robert J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hughes, Clayton [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-02-01

    DRAM technology is the main building block of main memory, however, DRAM scaling is becoming very challenging. The main issues for DRAM scaling are the increasing error rates with each new generation, the geometric and physical constraints of scaling the capacitor part of the DRAM cells, and the high power consumption caused by the continuous need for refreshing cell values. At the same time, emerging Non- Volatile Memory (NVM) technologies, such as Phase-Change Memory (PCM), are emerging as promising replacements for DRAM. NVMs, when compared to current technologies e.g., NAND-based ash, have latencies comparable to DRAM. Additionally, NVMs are non-volatile, which eliminates the need for refresh power and enables persistent memory applications. Finally, NVMs have promising densities and the potential for multi-level cell (MLC) storage.

  8. CD49b-dependent establishment of T helper cell memory.

    Science.gov (United States)

    Hanazawa, Asami; Hayashizaki, Koji; Shinoda, Kenta; Yagita, Hideo; Okumura, Ko; Löhning, Max; Hara, Takahiro; Tani-ichi, Shizue; Ikuta, Koichi; Eckes, Beate; Radbruch, Andreas; Tokoyoda, Koji; Nakayama, Toshinori

    2013-09-01

    CD4 T cells play a key role in immunological memory. We have demonstrated that professional memory CD4 T cells reside and rest in the bone marrow (BM). However, the molecular mechanisms of their establishment in the BM and their maintenance remain unclear. We here show that memory CD4 T cells express high levels of CD49b and that CD49b-deficient or -blocked memory CD4 T-cell precursors fail to migrate from blood into the marrow of the bone, and they especially fail to transmigrate through sinusoidal endothelial cells of the BM. In the marrow, memory CD4 T cells and the precursors contact stromal cells expressing collagen II that are specific ligands for CD49b. Interestingly, memory CD4 T cells on day 117 of an immune response also dock on IL-7(+)/collagen XI(+) stromal cells, whereas memory precursors on day 12 do not. These results indicate that the collagen receptor CD49b is required for the migration of memory CD4 T-cell precursors into their survival niches of the bone marrow.

  9. Fault-tolerant NAND-flash memory module for next-generation scientific instruments

    Science.gov (United States)

    Lange, Tobias; Michel, Holger; Fiethe, Björn; Michalik, Harald; Walter, Dietmar

    2015-10-01

    Remote sensing instruments on today's space missions deliver a high amount of data which is typically evaluated on ground. Especially for deep space missions the telemetry downlink is very limited which creates the need for the scientific evaluation and thereby a reduction of data volume already on-board the spacecraft. A demanding example is the Polarimetric and Helioseismic Imager (PHI) instrument on Solar Orbiter. To enable on-board offline processing for data reduction, the instrument has to be equipped with a high capacity memory module. The module is based on non-volatile NAND-Flash technology, which requires more advanced operation than volatile DRAM. Unlike classical mass memories, the module is integrated into the instrument and allows readback of data for processing. The architecture and safe operation of such kind of memory module is described in the following paper.

  10. Measurements of a vortex transitional ndro Josephson memory cell

    International Nuclear Information System (INIS)

    Tahara, S.; Ishida, I.; Hidaka, M.; Nagasawa, S.; Ajisawa, Y.; Wada, Y.

    1988-01-01

    A novel vortex transitional NDRO Jospehson memory cell has been successfully fabricated and tested. The memory cell consists of two superconducting loops and a two-junction interferometer gate as a sense gate. The superconducting loop contains one Josephson junction and inductances, and stores single flux quantum. The memory cell employs vortex transitions in the superconducting loops for writing and reading data. The memory cell chips have been fabricated using niobium planarization process. The +-21 percent address signal current margin and the +-33 percent sense gate current margin have been obtained experimentally. The memory operation of the cell driven by the two-junction interferometer gates has been accurately demonstrated

  11. Niches for the Long-Term Maintenance of Tissue-Resident Memory T Cells

    Science.gov (United States)

    Takamura, Shiki

    2018-01-01

    Tissue-resident memory T cells (TRM cells) are a population of immune cells that reside in the lymphoid and non-lymphoid organs without recirculation through the blood. These important cells occupy and utilize unique anatomical and physiological niches that are distinct from those for other memory T cell populations, such as central memory T cells in the secondary lymphoid organs and effector memory T cells that circulate through the tissues. CD8+ TRM cells typically localize in the epithelial layers of barrier tissues where they are optimally positioned to act as sentinels to trigger antigen-specific protection against reinfection. CD4+ TRM cells typically localize below the epithelial layers, such as below the basement membrane, and cluster in lymphoid structures designed to optimize interactions with antigen-presenting cells upon reinfection. A key feature of TRM populations is their ability to be maintained in barrier tissues for prolonged periods of time. For example, skin CD8+ TRM cells displace epidermal niches originally occupied by γδ T cells, thereby enabling their stable persistence for years. It is also clear that the long-term maintenance of TRM cells in different microenvironments is dependent on multiple tissue-specific survival cues, although the specific details are poorly understood. However, not all TRM persist over the long term. Recently, we identified a new spatial niche for the maintenance of CD8+ TRM cells in the lung, which is created at the site of tissue regeneration after injury [termed repair-associated memory depots (RAMD)]. The short-lived nature of RAMD potentially explains the short lifespans of CD8+ TRM cells in this particular tissue. Clearly, a better understanding of the niche-dependent maintenance of TRM cells will be important for the development of vaccines designed to promote barrier immunity. In this review, we discuss recent advances in our understanding of the properties and nature of tissue-specific niches that

  12. A study on volatile organic compounds emitted by in-vitro lung cancer cultured cells using gas sensor array and SPME-GCMS.

    Science.gov (United States)

    Thriumani, Reena; Zakaria, Ammar; Hashim, Yumi Zuhanis Has-Yun; Jeffree, Amanina Iymia; Helmy, Khaled Mohamed; Kamarudin, Latifah Munirah; Omar, Mohammad Iqbal; Shakaff, Ali Yeon Md; Adom, Abdul Hamid; Persaud, Krishna C

    2018-04-02

    Volatile organic compounds (VOCs) emitted from exhaled breath from human bodies have been proven to be a useful source of information for early lung cancer diagnosis. To date, there are still arguable information on the production and origin of significant VOCs of cancer cells. Thus, this study aims to conduct in-vitro experiments involving related cell lines to verify the capability of VOCs in providing information of the cells. The performances of e-nose technology with different statistical methods to determine the best classifier were conducted and discussed. The gas sensor study has been complemented using solid phase micro-extraction-gas chromatography mass spectrometry. For this purpose, the lung cancer cells (A549 and Calu-3) and control cell lines, breast cancer cell (MCF7) and non-cancerous lung cell (WI38VA13) were cultured in growth medium. This study successfully provided a list of possible volatile organic compounds that can be specific biomarkers for lung cancer, even at the 24th hour of cell growth. Also, the Linear Discriminant Analysis-based One versus All-Support Vector Machine classifier, is able to produce high performance in distinguishing lung cancer from breast cancer cells and normal lung cells. The findings in this work conclude that the specific VOC released from the cancer cells can act as the odour signature and potentially to be used as non-invasive screening of lung cancer using gas array sensor devices.

  13. Schizophrenia patients demonstrate a dissociation on declarative and non-declarative memory tests.

    Science.gov (United States)

    Perry, W; Light, G A; Davis, H; Braff, D L

    2000-12-15

    Declarative memory refers to the recall and recognition of factual information. In contrast, non-declarative memory entails a facilitation of memory based on prior exposure and is typically assessed with priming and perceptual-motor sequencing tasks. In this study, schizophrenia patients were compared to normal comparison subjects on two computerized memory tasks: the Word-stem Priming Test (n=30) and the Pattern Sequence Learning Test (n=20). Word-stem Priming includes recall, recognition (declarative) and priming (non-declarative) components of memory. The schizophrenia patients demonstrated an impaired performance on recall of words with relative improvement during the recognition portion of the test. Furthermore, they performed normally on the priming portion of the test. Thus, on tests of declarative memory, the patients had retrieval deficits with intact performance on the non-declarative memory component. The Pattern Sequence Learning Test utilizes a serial reaction time paradigm to assess non-declarative memory. The schizophrenia patients' serial reaction time was significantly slower than that of comparison subjects. However, the patients' rate of acquisition was not different from the normal comparison group. The data suggest that patients with schizophrenia process more slowly than normal, but have an intact non-declarative memory. The schizophrenia patients' dissociation on declarative vs. non-declarative memory tests is discussed in terms of possible underlying structural impairment.

  14. Disruptive effect of Dzyaloshinskii-Moriya interaction on the magnetic memory cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Sampaio, J.; Cubukcu, M.; Cros, V.; Reyren, N., E-mail: nicolas.reyren@thalesgroup.com [Unité Mixte de Physique, CNRS, Thales, Univ. Paris-Sud, Université Paris-Saclay, 91767, Palaiseau (France); Khvalkovskiy, A. V. [Samsung Electronics, Semiconductor R& D Center (Grandis), San Jose, California 95134 (United States); Moscow Institute of Physics and Technology, State University, Moscow 141700 (Russian Federation); Kuteifan, M.; Lomakin, V. [Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, California 92093-0407 (United States); Apalkov, D. [Samsung Electronics, Semiconductor R& D Center (Grandis), San Jose, California 95134 (United States)

    2016-03-14

    In order to increase the thermal stability of a magnetic random access memory cell, materials with high spin-orbit interaction are often introduced in the storage layer. As a side effect, a strong Dzyaloshinskii-Moriya interaction (DMI) may arise in such systems. Here, we investigate the impact of DMI on the magnetic cell performance, using micromagnetic simulations. We find that DMI strongly promotes non-uniform magnetization states and non-uniform switching modes of the magnetic layer. It appears to be detrimental for both the thermal stability of the cell and its switching current, leading to considerable deterioration of the cell performance even for a moderate DMI amplitude.

  15. A vertically integrated capacitorless memory cell

    International Nuclear Information System (INIS)

    Tong Xiaodong; Wu Hao; Zhao Lichuan; Wang Ming; Zhong Huicai

    2013-01-01

    A two-port capacitorless PNPN device with high density, high speed and low power memory fabricated using standard CMOS technology is presented. Experiments and calibrated simulations were conducted which prove that this new memory cell has a high operation speed (ns level), large read current margin (read current ratio of 10 4 ×), low process variation, good thermal reliability and available retention time (190 ms). Furthermore, the new memory cell is free of the cyclic endurance/reliability problems induced by hot-carrier injection due to the gateless structure. (semiconductor devices)

  16. On the non-causal link between volatility and growth

    DEFF Research Database (Denmark)

    Posch, Olaf; Wälde, Klaus

    A model highlighting the endogeneity of both volatility and growth is presented. Volatility and growth are therefore correlated but there is no causal link from volatility to growth. This joint endogeneity is illustrated by working out the effects through which economies with different tax levels...... di er both in their volatility and growth. Using a continuous-time DSGE model with plausible parametric restrictions, we obtain closedform measures of macro volatility based on cyclical components and output growth rates. Given our results, empirical volatility-growth analysis should include controls...

  17. Shape Memory of Human Red Blood Cells

    OpenAIRE

    Fischer, Thomas M.

    2004-01-01

    The human red cell can be deformed by external forces but returns to the biconcave resting shape after removal of the forces. If after such shape excursions the rim is always formed by the same part of the membrane, the cell is said to have a memory of its biconcave shape. If the rim can form anywhere on the membrane, the cell would have no shape memory. The shape memory was probed by an experiment called go-and-stop. Locations on the membrane were marked by spontaneously adhering latex spher...

  18. Three-terminal resistive switching memory in a transparent vertical-configuration device

    International Nuclear Information System (INIS)

    Ungureanu, Mariana; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E.

    2014-01-01

    The resistive switching phenomenon has attracted much attention recently for memory applications. It describes the reversible change in the resistance of a dielectric between two non-volatile states by the application of electrical pulses. Typical resistive switching memories are two-terminal devices formed by an oxide layer placed between two metal electrodes. Here, we report on the fabrication and operation of a three-terminal resistive switching memory that works as a reconfigurable logic component and offers an increased logic density on chip. The three-terminal memory device we present is transparent and could be further incorporated in transparent computing electronic technologies

  19. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections.

    Science.gov (United States)

    O'Bryan, Joel M; Woda, Marcia; Co, Mary; Mathew, Anuja; Rothman, Alan L

    2013-08-26

    Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory.

  20. Release of volatile organic compounds (VOCs from the lung cancer cell line CALU-1 in vitro

    Directory of Open Access Journals (Sweden)

    Schubert Jochen

    2008-11-01

    Full Text Available Abstract Background The aim of this work was to confirm the existence of volatile organic compounds (VOCs specifically released or consumed by lung cancer cells. Methods 50 million cells of the human non-small cell lung cancer (NSCLC cell line CALU-1 were incubated in a sealed fermenter for 4 h or over night (18 hours. Then air samples from the headspace of the culture vessel were collected and preconcentrated by adsorption on solid sorbents with subsequent thermodesorption and analysis by means of gas chromatography mass spectrometry (GC-MS. Identification of altogether 60 compounds in GCMS measurement was done not only by spectral library match, but also by determination of retention times established with calibration mixtures of the respective pure compounds. Results The results showed a significant increase in the concentrations of 2,3,3-trimethylpentane, 2,3,5-trimethylhexane, 2,4-dimethylheptane and 4-methyloctane in the headspace of CALU-1 cell culture as compared to medium controls after 18 h. Decreased concentrations after 18 h of incubation were found for acetaldehyde, 3-methylbutanal, butyl acetate, acetonitrile, acrolein, methacrolein, 2-methylpropanal, 2-butanone, 2-methoxy-2-methylpropane, 2-ethoxy-2-methylpropane, and hexanal. Conclusion Our findings demonstrate that certain volatile compounds can be cancer-cell derived and thus indicative of the presence of a tumor, whereas other compounds are not released but seem to be consumed by CALU-1 cells.

  1. Integration of ammonia-plasma-functionalized graphene nanodiscs as charge trapping centers for nonvolatile memory applications

    KAUST Repository

    Wang, Jer-Chyi

    2016-11-23

    Graphene nanodiscs (GNDs), functionalized using NH3 plasma, as charge trapping sites (CTSs) for non-volatile memory applications have been investigated in this study. The fabrication process relies on the patterning of Au nanoparticles (Au-NPs), whose thicknesses are tuned to adjust the GND density and size upon etching. A GND density as high as 8 × 1011 cm−2 and a diameter of approximately 20 nm are achieved. The functionalization of GNDs by NH3 plasma creates Nsingle bondH+ functional groups that act as CTSs, as observed by Raman and Fourier transform infrared spectroscopy. This inherently enhances the density of CTSs in the GNDs, as a result, the memory window becomes more than 2.4 V and remains stable after 104 operating cycles. The charge loss is less than 10% for a 10-year data retention testing, making this low-temperature process suitable for low-cost non-volatile memory applications on flexible substrates.

  2. The microstructure investigation of GeTi thin film used for non-volatile memory

    International Nuclear Information System (INIS)

    Shen Jie; Liu Bo; Song Zhitang; Xu Cheng; Liang Shuang; Feng Songlin; Chen Bomy

    2008-01-01

    GeTi thin film has been found to have the reversible resistance switching property in our previous work. In this paper, the microstructure of this material with a given composition was investigated. The film was synthesized by magnetron sputtering and treated by the rapid temperature process. The results indicate a coexist status of amorphous and polycrystalline states in the as-deposited GeTi film, and the grains in the film are extremely fine. Furthermore, not until the film annealed at 600 deg. C, can the polycrystalline state be detected by X-ray diffraction. Based on the morphological analysis, the sputtered GeTi has the column growth tendency, and the column structure vanishes with the temperature increasing. The microstructure and thermal property analysis indicate that GeTi does not undergo evident phase change process during the annealing process, which makes the switching mechanism of GeTi different from that of chalcogenide memory material, the most widely used phase change memory material

  3. Field-induced strain memory with non-180 .deg. domain-reorientation control

    International Nuclear Information System (INIS)

    Kadota, Yoichi; Hosaka, Hiroshi; Morita, Takeshi

    2010-01-01

    Using non-180 .deg. domain-reorientation control, we propose the strain memory effect in ferroelectric ceramics. Electric fields with asymmetric amplitudes were applied to soft-type lead zirconate titanate (PZT) ceramics, and the strain hysteresis and the polarization loop were measured. The butterfly curve became asymmetric under an electric field with a particular asymmetric amplitude. The asymmetric butterfly curve had two stable strain states at zero electric field. Thus, the strain memory effect was realized as the difference between the two stable strain states. An XRD analysis was carried out to verify the contribution of the non-180 .deg. domain reorientation to the strain memory effect. The non-180 .deg. domain reorientation was determined as the intensity ratio of the (002) to the (200) peak. The strain memory determined from macroscopic strain measurements had a linear relationship to the non-180 .deg. domain volume fraction. This result indicated the origin of the strain memory to be the non-180 .deg. domain reorientation.

  4. Biotransformation of volatile fatty acids by oleaginous and non-oleaginous yeast species

    Czech Academy of Sciences Publication Activity Database

    Kolouchová, I.; Schreiberová, O.; Sigler, Karel; Masák, J.; Řezanka, Tomáš

    2015-01-01

    Roč. 15, č. 7 (2015) ISSN 1567-1356 R&D Projects: GA ČR GA14-00227S Institutional support: RVO:61388971 Keywords : oleaginous yeasts * non-oleaginous yeasts * volatile fatty acids Subject RIV: EE - Microbiology, Virology Impact factor: 2.479, year: 2015

  5. The multivariate supOU stochastic volatility model

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Stelzer, Robert

    Using positive semidefinite supOU (superposition of Ornstein-Uhlenbeck type) processes to describe the volatility, we introduce a multivariate stochastic volatility model for financial data which is capable of modelling long range dependence effects. The finiteness of moments and the second order...... structure of the volatility, the log returns, as well as their "squares" are discussed in detail. Moreover, we give several examples in which long memory effects occur and study how the model as well as the simple Ornstein-Uhlenbeck type stochastic volatility model behave under linear transformations....... In particular, the models are shown to be preserved under invertible linear transformations. Finally, we discuss how (sup)OU stochastic volatility models can be combined with a factor modelling approach....

  6. Tissue-specific B-cell dysfunction and generalized memory B-cell loss during acute SIV infection.

    Directory of Open Access Journals (Sweden)

    Sandrine Peruchon

    Full Text Available BACKGROUND: Primary HIV-infected patients display severe and irreversible damage to different blood B-cell subsets which is not restored by highly efficient anti-retroviral therapy (HAART. Because longitudinal investigations of primary HIV-infection is limited by the availability of lymphoid organs, we studied the tissue-specific B-cell dysfunctions in acutely simian immunodeficiency virus (SIV mac251-infected Cynomolgus macaques. METHODS AND FINDINGS: Experiments were performed on three groups of macaques infected for 14, 21 or 28 days and on three groups of animals treated with HAART for two-weeks either initiated at 4 h, 7 or 14 days post-infection (p.i.. We have simultaneously compared changes in B-cell phenotypes and functions and tissue organization of B-cell areas in various lymphoid organs. We showed that SIV induced a steady decline in SIgG-expressing memory (SIgD(-CD27(+ B-cells in spleen and lymph nodes during the first 4 weeks of infection, concomitant to selective homing/sequestration of B-cells to the small intestine and spleen. SIV non-specific Ig production was transiently increased before D14p.i., whereas SIV-specific Ig production was only detectable after D14p.i., coinciding with the presence of CD8(+ T-cells and IgG-expressing plasma cells within germinal centres. Transient B-cell apoptosis on D14p.i. and commitment to terminal differentiation contributed to memory B-cell loss. HAART abrogated B-cell apoptosis, homing to the small intestine and SIV-specific Ig production but had minimal effect on early Ig production, increased B-cell proportions in spleen and loss of memory B-cells. Therefore, virus-B-cell interactions and SIV-induced inflammatory cytokines may differently contribute to early B-cell dysfunction and impaired SIV/HIV-specific antibody response. CONCLUSIONS: These data establish tissue-specific impairments in B-cell trafficking and functions and a generalized and steady memory B-cell loss in secondary lymphoid

  7. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  8. Memory NK cells: why do they reside in the liver?

    OpenAIRE

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-01-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may ge...

  9. Switching speed in resistive random access memories (RRAMS) based on plastic semiconductor

    NARCIS (Netherlands)

    Rocha, P.R.F.; Gomes, H.L.; Kiazadeh, A.; Chen, Qian; Leeuw, de D.M.; Meskers, S.C.J.

    2011-01-01

    This work addresses non-volatile memories based on metal-oxide polymer diodes. We make a thorough investigation into the static and dynamic behavior. Current-voltage characteristics with varying voltage ramp speed demonstrate that the internal capacitive double-layer structure inhibits the switching

  10. Soluble dendrimers europium(III) β-diketonate complex for organic memory devices

    International Nuclear Information System (INIS)

    Wang Binbin; Fang Junfeng; Li Bin; You Han; Ma Dongge; Hong Ziruo; Li Wenlian; Su Zhongmin

    2008-01-01

    We report the synthesis of a soluble dendrimers europium(III) complex, tris(dibenzoylmethanato)(1,3,5-tris[2-(2'-pyridyl) benzimidazoly]methylbenzene)-europium(III), and its application in organic electrical bistable memory device. Excellent stability that ensured more than 10 6 write-read-erase-reread cycles has been performed in ambient conditions without current-induced degradation. High-density, low-cost memory, good film-firming property, fascinating thermal and morphological stability allow the application of the dendrimers europium(III) complex as an active medium in non-volatile memory devices

  11. Interregional synaptic maps among engram cells underlie memory formation.

    Science.gov (United States)

    Choi, Jun-Hyeok; Sim, Su-Eon; Kim, Ji-Il; Choi, Dong Il; Oh, Jihae; Ye, Sanghyun; Lee, Jaehyun; Kim, TaeHyun; Ko, Hyoung-Gon; Lim, Chae-Seok; Kaang, Bong-Kiun

    2018-04-27

    Memory resides in engram cells distributed across the brain. However, the site-specific substrate within these engram cells remains theoretical, even though it is generally accepted that synaptic plasticity encodes memories. We developed the dual-eGRASP (green fluorescent protein reconstitution across synaptic partners) technique to examine synapses between engram cells to identify the specific neuronal site for memory storage. We found an increased number and size of spines on CA1 engram cells receiving input from CA3 engram cells. In contextual fear conditioning, this enhanced connectivity between engram cells encoded memory strength. CA3 engram to CA1 engram projections strongly occluded long-term potentiation. These results indicate that enhanced structural and functional connectivity between engram cells across two directly connected brain regions forms the synaptic correlate for memory formation. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  12. Selected microRNAs define cell fate determination of murine central memory CD8 T cells.

    Directory of Open Access Journals (Sweden)

    Gonzalo Almanza

    2010-06-01

    Full Text Available During an immune response T cells enter memory fate determination, a program that divides them into two main populations: effector memory and central memory T cells. Since in many systems protection appears to be preferentially mediated by T cells of the central memory it is important to understand when and how fate determination takes place. To date, cell intrinsic molecular events that determine their differentiation remains unclear. MicroRNAs are a class of small, evolutionarily conserved RNA molecules that negatively regulate gene expression, causing translational repression and/or messenger RNA degradation. Here, using an in vitro system where activated CD8 T cells driven by IL-2 or IL-15 become either effector memory or central memory cells, we assessed the role of microRNAs in memory T cell fate determination. We found that fate determination to central memory T cells is under the balancing effects of a discrete number of microRNAs including miR-150, miR-155 and the let-7 family. Based on miR-150 a new target, KChIP.1 (K (+ channel interacting protein 1, was uncovered, which is specifically upregulated in developing central memory CD8 T cells. Our studies indicate that cell fate determination such as surface phenotype and self-renewal may be decided at the pre-effector stage on the basis of the balancing effects of a discrete number of microRNAs. These results may have implications for the development of T cell vaccines and T cell-based adoptive therapies.

  13. High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array.

    Science.gov (United States)

    Wang, Gunuk; Lauchner, Adam C; Lin, Jian; Natelson, Douglas; Palem, Krishna V; Tour, James M

    2013-09-14

    An entire 1-kilobit crossbar device based upon SiOx resistive memories with integrated diodes has been made. The SiOx -based one diode-one resistor device system has promise to satisfy the prerequisite conditions for next generation non-volatile memory applications. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Fluctuation behaviors of financial return volatility duration

    Science.gov (United States)

    Niu, Hongli; Wang, Jun; Lu, Yunfan

    2016-04-01

    It is of significantly crucial to understand the return volatility of financial markets because it helps to quantify the investment risk, optimize the portfolio, and provide a key input of option pricing models. The characteristics of isolated high volatility events above certain threshold in price fluctuations and the distributions of return intervals between these events arouse great interest in financial research. In the present work, we introduce a new concept of daily return volatility duration, which is defined as the shortest passage time when the future volatility intensity is above or below the current volatility intensity (without predefining a threshold). The statistical properties of the daily return volatility durations for seven representative stock indices from the world financial markets are investigated. Some useful and interesting empirical results of these volatility duration series about the probability distributions, memory effects and multifractal properties are obtained. These results also show that the proposed stock volatility series analysis is a meaningful and beneficial trial.

  15. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder.

    Science.gov (United States)

    Csábi, Eszter; Benedek, Pálma; Janacsek, Karolina; Zavecz, Zsófia; Katona, Gábor; Nemeth, Dezso

    2015-01-01

    Healthy sleep is essential in children's cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB) which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction time (ASRT) task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12 h offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline) and give us insight into how sleep disturbances affects developing brain.

  16. Non-volatile polarization switch of magnetic domain wall velocity

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.; Stolichnov, I.; Setter, N. [Ceramics Laboratory, EPFL-Swiss Federal Institute of Technology, Lausanne 1015 (Switzerland); Bernand-Mantel, A.; Schott, Marine; Pizzini, S.; Ranno, L. [University of Grenoble Alpes, Institut Néel, F-38042 Grenoble (France); CNRS, Institut Néel, F-38042 Grenoble (France); Auffret, S.; Gaudin, G. [SPINTEC, UMR-8191, CEA/CNRS/UJF/GINP, INAC, F-38054 Grenoble (France)

    2015-12-21

    Controlled propagation speed of individual magnetic domains in metal channels at the room temperature is obtained via the non-volatile field effect associated with the switchable polarization of P(VDF-TrFE) (polyvinylidene fluoride-trifluoroethylene) ferroelectric polymer. Polarization domains directly written using conducting atomic force microscope probe locally accelerate/decelerate the magnetic domains in the 0.6 nm thick Co film. The change of the magnetic domain wall velocity is consistent with the magnetic anisotropy energy modulation through the polarization upward/downward orientation. Excellent retention is observed. The demonstrated local non-destructive and reversible change of magnetic properties via rewritable patterning of ferroelectric domains could be attractive for exploring the ultimate limit of miniaturization in devices based on ferromagnetic/ferroelectric bilayers.

  17. Long memory and the relation between implied and realized volatility

    OpenAIRE

    Federico Bandi; Benoit Perron

    2003-01-01

    We argue that the conventional predictive regression between implied volatility (regressor) and realized volatility over the remaining life of the option (regressand) is likely to be a fractional cointegrating relation. Since cointegration is associated with long-run comovements, this finding modifies the usual interpretation of such regression as a study towards assessing option market efficiency (given a certain option pricing model) and/or short-term unbiasedness of implied volatility as a...

  18. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry.

    Science.gov (United States)

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at -80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV(+) B cells from immune, but not naïve donors secreted antibodies that bound DENV after in vitro stimulation. Overall, Alexa Fluor dye-labeled DENVs are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Expression of MEP Pathway Genes and Non-volatile Sequestration Are Associated with Circadian Rhythm of Dominant Terpenoids Emission in Osmanthus fragrans Lour. Flowers

    Directory of Open Access Journals (Sweden)

    Riru Zheng

    2017-10-01

    Full Text Available Osmanthus fragrans Lour. is one of the top 10 traditional ornamental flowers in China famous for its unique fragrance. Preliminary study proved that the terpenoids including ionone, linalool, and ocimene and their derivatives are the dominant aroma-active compounds that contribute greatly to the scent bouquet. Pollination observation implies the emission of aromatic terpenoids may follow a circadian rhythm. In this study, we investigated the variation of volatile terpenoids and its potential regulators. The results showed that both volatile and non-volatile terpenoids presented circadian oscillation with high emission or accumulation during the day and low emission or accumulation during the night. The volatile terpenoids always increased to reach their maximum values at 12:00 h, while free and glycosylated compounds continued increasing throughout the day. The depletion of non-volatile pool might provide the substrates for volatile emission at 0:00–6:00, suggesting the sequestration of non-volatile compounds acted like a buffer regulating emission of terpenoids. Further detection of MEP pathway genes demonstrated that their expressions increased significantly in parallel with the evident increase of both volatile and non-volatile terpenoids during the day, indicating that the gene expressions were also closely associated with terpenoid formation. Thus, the expression of MEP pathway genes and internal sequestration both played crucial roles in modulating circadian rhythm of terpenoid emission in O. fragrans.

  20. A Survey of Phase Change Memory Systems

    Institute of Scientific and Technical Information of China (English)

    夏飞; 蒋德钧; 熊劲; 孙凝晖

    2015-01-01

    As the scaling of applications increases, the demand of main memory capacity increases in order to serve large working set. It is difficult for DRAM (dynamic random access memory) based memory system to satisfy the memory capacity requirement due to its limited scalability and high energy consumption. Compared to DRAM, PCM (phase change memory) has better scalability, lower energy leakage, and non-volatility. PCM memory systems have become a hot topic of academic and industrial research. However, PCM technology has the following three drawbacks: long write latency, limited write endurance, and high write energy, which raises challenges to its adoption in practice. This paper surveys architectural research work to optimize PCM memory systems. First, this paper introduces the background of PCM. Then, it surveys research efforts on PCM memory systems in performance optimization, lifetime improving, and energy saving in detail, respectively. This paper also compares and summarizes these techniques from multiple dimensions. Finally, it concludes these optimization techniques and discusses possible research directions of PCM memory systems in future.

  1. Declarative and non-declarative memory consolidation in children with sleep disorder

    Directory of Open Access Journals (Sweden)

    Eszter eCsabi

    2016-01-01

    Full Text Available Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-declarative memory consolidation by testing children with sleep-disordered breathing (SDB which is characterized by disrupted sleep structure. We used a story recall task to measure declarative memory and Alternating Serial Reaction Time (ASRT task to assess non-declarative memory. This task enables us to measure two aspects of non-declarative memory, namely general motor skill learning and sequence-specific learning. There were two sessions: a learning phase and a testing phase, separated by a 12-hour offline period with sleep. Our data showed that children with SDB exhibited a generally lower declarative memory performance both in the learning and testing phase; however, both the SDB and control groups exhibited retention of the previously recalled items after the offline period. Here we showed intact non-declarative consolidation in SDB group in both sequence-specific and general motor skill. These findings suggest that sleep disorders in childhood have a differential effect on different memory processes (online vs. offline and give us insight into how sleep disturbances affects developing brain.

  2. A hybrid ferroelectric-flash memory cells

    Science.gov (United States)

    Park, Jae Hyo; Byun, Chang Woo; Seok, Ki Hwan; Kim, Hyung Yoon; Chae, Hee Jae; Lee, Sol Kyu; Son, Se Wan; Ahn, Donghwan; Joo, Seung Ki

    2014-09-01

    A ferroelectric-flash (F-flash) memory cells having a metal-ferroelectric-nitride-oxynitride-silicon structure are demonstrated, and the ferroelectric materials were perovskite-dominated Pb(Zr,Ti)O3 (PZT) crystallized by Pt gate electrode. The PZT thin-film as a blocking layer improves electrical and memorial performance where programming and erasing mechanism are different from the metal-ferroelectric-insulator-semiconductor device or the conventional silicon-oxide-nitride-oxide-silicon device. F-flash cells exhibit not only the excellent electrical transistor performance, having 442.7 cm2 V-1 s-1 of field-effect mobility, 190 mV dec-1 of substhreshold slope, and 8 × 105 on/off drain current ratio, but also a high reliable memory characteristics, having a large memory window (6.5 V), low-operating voltage (0 to -5 V), faster P/E switching speed (50/500 μs), long retention time (>10 years), and excellent fatigue P/E cycle (>105) due to the boosting effect, amplification effect, and energy band distortion of nitride from the large polarization. All these characteristics correspond to the best performances among conventional flash cells reported so far.

  3. The Vast Universe of T Cell Diversity: Subsets of Memory Cells and Their Differentiation.

    Science.gov (United States)

    Jandus, Camilla; Usatorre, Amaia Martínez; Viganò, Selena; Zhang, Lianjun; Romero, Pedro

    2017-01-01

    The T cell receptor confers specificity for antigen recognition to T cells. By the first encounter with the cognate antigen, reactive T cells initiate a program of expansion and differentiation that will define not only the ultimate quantity of specific cells that will be generated, but more importantly their quality and functional heterogeneity. Recent achievements using mouse model infection systems have helped to shed light into the complex network of factors that dictate and sustain memory T cell differentiation, ranging from antigen load, TCR signal strength, metabolic fitness, transcriptional programs, and proliferative potential. The different models of memory T cell differentiation are discussed in this chapter, and key phenotypic and functional attributes of memory T cell subsets are presented, both for mouse and human cells. Therapeutic manipulation of memory T cell generation is expected to provide novel unique ways to optimize current immunotherapies, both in infection and cancer.

  4. Identifying Non-Volatile Data Storage Areas: Unique Notebook Identification Information as Digital Evidence

    Directory of Open Access Journals (Sweden)

    Nikica Budimir

    2007-03-01

    Full Text Available The research reported in this paper introduces new techniques to aid in the identification of recovered notebook computers so they may be returned to the rightful owner. We identify non-volatile data storage areas as a means of facilitating the safe storing of computer identification information. A forensic proof of concept tool has been designed to test the feasibility of several storage locations identified within this work to hold the data needed to uniquely identify a computer. The tool was used to perform the creation and extraction of created information in order to allow the analysis of the non-volatile storage locations as valid storage areas capable of holding and preserving the data created within them.  While the format of the information used to identify the machine itself is important, this research only discusses the insertion, storage and ability to retain such information.

  5. CD4 T cell autophagy is integral to memory maintenance.

    Science.gov (United States)

    Murera, Diane; Arbogast, Florent; Arnold, Johan; Bouis, Delphine; Muller, Sylviane; Gros, Frédéric

    2018-04-13

    Studies of mice deficient for autophagy in T cells since thymic development, concluded that autophagy is integral to mature T cell homeostasis. Basal survival and functional impairments in vivo, limited the use of these models to delineate the role of autophagy during the immune response. We generated Atg5 f/f distal Lck (dLck)-cre mice, with deletion of autophagy only at a mature stage. In this model, autophagy deficiency impacts CD8 + T cell survival but has no influence on CD4 + T cell number and short-term activation. Moreover, autophagy in T cells is dispensable during early humoral response but critical for long-term antibody production. Autophagy in CD4 + T cells is required to transfer humoral memory as shown by injection of antigen-experienced cells in naive mice. We also observed a selection of autophagy-competent cells in the CD4 + T cell memory compartment. We performed in vitro differentiation of memory CD4 + T cells, to better characterize autophagy-deficient memory cells. We identified mitochondrial and lipid load defects in differentiated memory CD4 + T cells, together with a compromised survival, without any collapse of energy production. We then propose that memory CD4 + T cells rely on autophagy for their survival to regulate toxic effects of mitochondrial activity and lipid overload.

  6. Comparison of volatile and non-volatile metabolites in rice wine fermented by Koji inoculated with Saccharomycopsis fibuligera and Aspergillus oryzae.

    Science.gov (United States)

    Son, Eun Yeong; Lee, Sang Mi; Kim, Minjoo; Seo, Jeong-Ah; Kim, Young-Suk

    2018-07-01

    This study investigated volatile and nonvolatile metabolite profiles of makgeolli (a traditional rice wine in Korea) fermented by koji inoculated with Saccharomycopsis fibuligera and/or Aspergillus oryzae. The enzyme activities in koji were also examined to determine their effects on the formation of metabolites. The contents of all 18 amino acids detected were the highest in makgeolli fermented by S. fibuligera CN2601-09, and increased after combining with A. oryzae CN1102-08, unlike the contents of most fatty acids. On the other hand, major volatile metabolites were fusel alcohols, acetate esters, and ethyl esters. The contents of most fusel alcohols and acetate esters were the highest in makgeolli fermented by S. fibuligera CN2601-09, for which the protease activity was the highest, leading to the largest amounts of amino acods. The makgeolli samples fermented only by koji inoculated with S. fibuligera could be discriminated on PCA plots from the makgeolli samples fermented in combination with A. oryzae. In the case of nonvolatile metabolites, all amino acids and some metabolites such as xylose, 2-methylbenzoic acid, and oxalic acid contributed mainly to the characteristics of makgeolli fermented by koji inoculated with S. fibuligera and A. oryzae. These results showed that the formations of volatile and nonvolatile metabolites in makgeolli can be significantly affected by microbial strains with different enzyme activities in koji. To our knowledge, this study is the first report on the effects of S. fibuligera strains on the formation of volatile and non-volatile metabolites in rice wine, facilitating their use in brewing rice wine. Copyright © 2018. Published by Elsevier Ltd.

  7. Increased numbers of preexisting memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells.

    Science.gov (United States)

    Joshi, Nikhil S; Cui, Weiguo; Dominguez, Claudia X; Chen, Jonathan H; Hand, Timothy W; Kaech, Susan M

    2011-10-15

    Memory CD8 T cells acquire effector memory cell properties after reinfection and may reach terminally differentiated, senescent states ("Hayflick limit") after multiple infections. The signals controlling this process are not well understood, but we found that the degree of secondary effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and preexisting memory CD8 T cell number (i.e., primary memory CD8 T cell precursor frequency) present during secondary infection. Compared with naive cells, memory CD8 T cells were predisposed toward terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of Ag. TE cell formation after secondary (2°) or tertiary infections was dependent on increased T-bet expression because T-bet(+/-) cells were resistant to these phenotypic changes. Larger numbers of preexisting memory CD8 T cells limited the duration of 2° infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2° TE CD8 T cells that formed. Together, these data show that over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with Ag or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by preexisting memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies.

  8. Overgeneral autobiographical memory bias in clinical and non-clinical voice hearers.

    Science.gov (United States)

    Jacobsen, Pamela; Peters, Emmanuelle; Ward, Thomas; Garety, Philippa A; Jackson, Mike; Chadwick, Paul

    2018-03-14

    Hearing voices can be a distressing and disabling experience for some, whilst it is a valued experience for others, so-called 'healthy voice-hearers'. Cognitive models of psychosis highlight the role of memory, appraisal and cognitive biases in determining emotional and behavioural responses to voices. A memory bias potentially associated with distressing voices is the overgeneral memory bias (OGM), namely the tendency to recall a summary of events rather than specific occasions. It may limit access to autobiographical information that could be helpful in re-appraising distressing experiences, including voices. We investigated the possible links between OGM and distressing voices in psychosis by comparing three groups: (1) clinical voice-hearers (N = 39), (2) non-clinical voice-hearers (N = 35) and (3) controls without voices (N = 77) on a standard version of the autobiographical memory test (AMT). Clinical and non-clinical voice-hearers also completed a newly adapted version of the task, designed to assess voices-related memories (vAMT). As hypothesised, the clinical group displayed an OGM bias by retrieving fewer specific autobiographical memories on the AMT compared with both the non-clinical and control groups, who did not differ from each other. The clinical group also showed an OGM bias in recall of voice-related memories on the vAMT, compared with the non-clinical group. Clinical voice-hearers display an OGM bias when compared with non-clinical voice-hearers on both general and voices-specific recall tasks. These findings have implications for the refinement and targeting of psychological interventions for psychosis.

  9. The Effect of Shape Memory on Red Blood Cell Motions

    Science.gov (United States)

    Niu, Xiting; Shi, Lingling; Pan, Tsorng-Whay; Glowinski, Roland

    2013-11-01

    An elastic spring model is applied to study the effect of the shape memory on the motion of red blood cell in flows. In shear flow, shape memory also plays an important role to obtain all three motions: tumbling, swinging, and tank-treading. In Poiseuille flow, cell has an equilibrium shape as a slipper or parachute depending on capillary number. To ensure the tank-treading motion while in slippery shape, a modified model is proposed by introducing a shape memory coefficient which describes the degree of shape memory in cells. The effect of the coefficient on the cell motion of red blood cell will be presented.

  10. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  11. Associative memory cells and their working principle in the brain

    Science.gov (United States)

    Wang, Jin-Hui; Cui, Shan

    2018-01-01

    The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors. PMID:29487741

  12. In-chip optical CD measurements for non-volatile memory devices

    Science.gov (United States)

    Vasconi, Mauro; Kremer, Stephanie; Polli, M.; Severgnini, Ermes; Trovati, Silvia S.

    2006-03-01

    A potential limitation to a wider usage of the scatterometry technique for CD evaluation comes from its requirement of dedicated regular measurement gratings, located in wafer scribe lanes. In fact, the simplification of the original chip layout that is often requested to design these gratings may impact on their printed dimension and shape. Etched gratings might also suffer from micro-loading effects other than in the circuit. For all these reasons, measurements collected therein may not represent the real behavior of the device. On the other hand, memory devices come with large sectors that usually possess the characteristics required for a proper scatterometry evaluation. In particular, for a leading edge flash process this approach is in principle feasible for the most critical process steps. The impact of potential drawbacks, mainly lack of pattern regularity within the tool probe area, is investigated. More, a very large sampling plan on features with equal nominal CD and density spread over the same exposure shot becomes feasible, thus yielding a deeper insight of the overall lithographic process window and a quantitative method to evaluate process equipment performance along time by comparison to acceptance data and/or last preventive maintenance. All the results gathered in the device main array are compared to those collected in standard scatterometry targets, tailored to the characteristics of the considered layers in terms of designed CD, pitch, stack and orientation.

  13. Volatilities, traded volumes, and the hypothesis of price increments in derivative securities

    Science.gov (United States)

    Lim, Gyuchang; Kim, SooYong; Scalas, Enrico; Kim, Kyungsik

    2007-08-01

    A detrended fluctuation analysis (DFA) is applied to the statistics of Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. In this study, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of the long-memory property. To analyze and calculate whether the volatility clustering is due to a inherent higher-order correlation not detected by with the direct application of the DFA to logarithmic increments of KTB futures, it is of importance to shuffle the original tick data of future prices and to generate a geometric Brownian random walk with the same mean and standard deviation. It was found from a comparison of the three tick data that the higher-order correlation inherent in logarithmic increments leads to volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes can be supported by the hypothesis of price changes.

  14. Silicon spintronics: Progress and challenges

    Energy Technology Data Exchange (ETDEWEB)

    Sverdlov, Viktor; Selberherr, Siegfried, E-mail: Selberherr@TUWien.ac.at

    2015-07-14

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized.

  15. Silicon spintronics: Progress and challenges

    International Nuclear Information System (INIS)

    Sverdlov, Viktor; Selberherr, Siegfried

    2015-01-01

    Electron spin attracts much attention as an alternative to the electron charge degree of freedom for low-power reprogrammable logic and non-volatile memory applications. Silicon appears to be the perfect material for spin-driven applications. Recent progress and challenges regarding spin-based devices are reviewed. An order of magnitude enhancement of the electron spin lifetime in silicon thin films by shear strain is predicted and its impact on spin transport in SpinFETs is discussed. A relatively weak coupling between spin and effective electric field in silicon allows magnetoresistance modulation at room temperature, however, for long channel lengths. Due to tunneling magnetoresistance and spin transfer torque effects, a much stronger coupling between the spin (magnetization) orientation and charge current is achieved in magnetic tunnel junctions. Magnetic random access memory (MRAM) built on magnetic tunnel junctions is CMOS compatible and possesses all properties needed for future universal memory. Designs of spin-based non-volatile MRAM cells are presented. By means of micromagnetic simulations it is demonstrated that a substantial reduction of the switching time can be achieved. Finally, it is shown that any two arbitrary memory cells from an MRAM array can be used to perform a logic operation. Thus, an intrinsic non-volatile logic-in-memory architecture can be realized

  16. The role of cytokines in T-cell memory in health and disease.

    Science.gov (United States)

    Raeber, Miro E; Zurbuchen, Yves; Impellizzieri, Daniela; Boyman, Onur

    2018-05-01

    Upon stimulation with their cognate antigen, naive T cells undergo proliferation and differentiation into effector cells, followed by apoptosis or survival as precursors of long-lived memory cells. These phases of a T-cell response and the ensuing maintenance of memory T cells are shaped by cytokines, most notably interleukin-2 (IL-2), IL-7, and IL-15 that share the common γ chain (γ c ) cytokine receptor. Steady-state production of IL-7 and IL-15 is necessary for background proliferation and homeostatic survival of CD4 + and CD8 + memory T cells. During immune responses, augmented levels of IL-2, IL-15, IL-21, IL-12, IL-18, and type-I interferons determine the memory potential of antigen-specific effector CD8 + cells, while increased IL-2 and IL-15 cause bystander proliferation of heterologous CD4 + and CD8 + memory T cells. Limiting availability of γ c cytokines, reduction in regulatory T cells or IL-10, and persistence of inflammation or cognate antigen can result in memory T cells, which fail to become cytokine-dependent long-lived cells. Conversely, increased IL-7 and IL-15 can expand memory T cells, including pathogenic tissue-resident memory T cells, as seen in lymphopenia and certain chronic-inflammatory disorders and malignancies. These abovementioned factors impact immunotherapy and vaccines directed at memory T cells in cancer and chronic infection. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  17. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance

    Science.gov (United States)

    Zand, Ramtin; DeMara, Ronald F.

    2017-12-01

    In this paper, we have developed a radiation-hardened non-volatile lookup table (LUT) circuit utilizing spin Hall effect (SHE)-magnetic random access memory (MRAM) devices. The design is motivated by modeling the effect of radiation particles striking hybrid complementary metal oxide semiconductor/spin based circuits, and the resistive behavior of SHE-MRAM devices via established and precise physics equations. The models developed are leveraged in the SPICE circuit simulator to verify the functionality of the proposed design. The proposed hardening technique is based on using feedback transistors, as well as increasing the radiation capacity of the sensitive nodes. Simulation results show that our proposed LUT circuit can achieve multiple node upset (MNU) tolerance with more than 38% and 60% power-delay product improvement as well as 26% and 50% reduction in device count compared to the previous energy-efficient radiation-hardened LUT designs. Finally, we have performed a process variation analysis showing that the MNU immunity of our proposed circuit is realized at the cost of increased susceptibility to transistor and MRAM variations compared to an unprotected LUT design.

  18. Effect of Ag nanoparticles on resistive switching of polyfluorene-based organic non-volatile memory devices

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Oh, Seung-Hwan; Choi, Hye-Jung; Wang, Gun-Uk; Kim, Dong-Yu; Hwang, Hyun-Sang; Lee, Tak-Hee

    2010-01-01

    The effects of Ag nanoparticles on the switching behavior of polyfluorene-based organic nonvolatile memory devices were investigated. Polyfluorene-derivatives (WPF-oxy-F) with and without Ag nanoparticles were synthesized, and the presence of Ag nanoparticles in Ag-WPF-oxy-F was identified by transmission electron microscopy and X-ray photoelectron spectroscopy analyses. The Ag-nanoparticles did not significantly affect the basic switching performances, such as the current-voltage characteristics, the distribution of on/off resistance, and the retention. The pulse switching time of Ag-WPF-oxy-F was faster than that of WPF-oxy-F. Ag-WPF-oxy-F memory devices showed an area dependence in the high resistance state, implying that formation of a Ag metallic channel for current conduction.

  19. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing; Li, Kun; Raghavan, Nagarajan; Bosman, Michel; Wang, Qing-Xiao; Cha, Dong Kyu; Zhang, Xixiang; Pey, Kin-Leong

    2011-01-01

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through

  20. Effective Respiratory CD8 T-Cell Immunity to Influenza Virus Induced by Intranasal Carbomer-Lecithin-Adjuvanted Non-replicating Vaccines

    Science.gov (United States)

    Gasper, David J.; Neldner, Brandon; Plisch, Erin H.; Rustom, Hani; Imai, Hirotaka; Kawaoka, Yoshihiro; Suresh, M.

    2016-01-01

    CD8+ cytotoxic T lymphocytes (CTLs) are critical for clearing many viral infections, and protective CTL memory can be induced by vaccination with attenuated viruses and vectors. Non-replicating vaccines are typically potentiated by the addition of adjuvants that enhance humoral responses, however few are capable of generating CTL responses. Adjuplex is a carbomer-lecithin-based adjuvant demonstrated to elicit robust humoral immunity to non-replicating antigens. We report that mice immunized with non-replicating Adjuplex-adjuvanted vaccines generated robust antigen-specific CTL responses. Vaccination by the subcutaneous or the intranasal route stimulated systemic and mucosal CTL memory respectively. However, only CTL memory induced by intranasal vaccination was protective against influenza viral challenge, and correlated with an enhancement of memory CTLs in the airways and CD103+ CD69+ CXCR3+ resident memory-like CTLs in the lungs. Mechanistically, Myd88-deficient mice mounted primary CTL responses to Adjuplex vaccines that were similar in magnitude to wild-type mice, but exhibited altered differentiation of effector cell subsets. Immune potentiating effects of Adjuplex entailed alterations in the frequency of antigen-presenting-cell subsets in vaccine draining lymph nodes, and in the lungs and airways following intranasal vaccination. Further, Adjuplex enhanced the ability of dendritic cells to promote antigen-induced proliferation of naïve CD8 T cells by modulating antigen uptake, its intracellular localization, and rate of processing. Taken together, we have identified an adjuvant that elicits both systemic and mucosal CTL memory to non-replicating antigens, and engenders protective CTL-based heterosubtypic immunity to influenza A virus in the respiratory tract. Further, findings presented in this manuscript have provided key insights into the mechanisms and factors that govern the induction and programming of systemic and protective memory CTLs in the

  1. LOCAL IMMUNITY BY TISSUE-RESIDENT CD8+ MEMORY T CELLS

    Directory of Open Access Journals (Sweden)

    Thomas eGebhardt

    2012-11-01

    Full Text Available Microbial infection primes a CD8+ cytotoxic T cell response that gives rise to a long-lived population of circulating memory cells able to provide protection against systemic reinfection. Despite this, effective CD8+ T cell surveillance of barrier tissues such as skin and mucosa typically wanes with time, resulting in limited T cell-mediated protection in these peripheral tissues. However, recent evidence suggests that a specialized subset of CD103+ memory T cells can permanently lodge and persist in peripheral tissues, and that these cells can compensate for the loss of peripheral immune surveillance by circulating memory T cells. Here, we review evolving concepts regarding the generation and long-term persistence of these tissue-resident memory T cells (TRM in epithelial and neuronal tissues. We further discuss the role of TRM cells in local infection control and their contribution to localized immune phenomena, in both mice and humans.

  2. Incomplete effector/memory differentiation of antigen-primed CD8+ T cells in gene gun DNA-vaccinated mice

    DEFF Research Database (Denmark)

    Bartholdy, Christina; Stryhn, Anette; Hansen, Nils Jacob Vest

    2003-01-01

    DNA vaccination is an efficient way to induce CD8+ T cell memory, but it is still unclear to what extent such memory responses afford protection in vivo. To study this, we induced CD8+ memory responses directed towards defined viral epitopes, using DNA vaccines encoding immunodominant MHC class I......-restricted epitopes of lymphocytic choriomeningitis virus covalently linked to beta2-microglobulin. This vaccine construct primed for a stronger recall response than did a more conventional minigene construct. Despite this, vaccinated mice were only protected against systemic infection whereas protection against...... sites. Thus, our DNA vaccine induces a long-lived memory CD8+ T cell population that provides efficient protection against high-dose systemic infection. However, viral replication in solid non-lymphoid organs is not curtailed sufficiently fast to prevent significant virus-induced inflammation. Our...

  3. Hydrodistillation-adsorption method for the isolation of water-soluble, non-soluble and high volatile compounds from plant materials.

    Science.gov (United States)

    Mastelić, J; Jerković, I; Blazević, I; Radonić, A; Krstulović, L

    2008-08-15

    Proposed method of hydrodistillation-adsorption (HDA) on activated carbon and hydrodistillation (HD) with solvent trap were compared for the isolation of water-soluble, non-soluble and high volatile compounds, such as acids, monoterpenes, isothiocyanates and others from carob (Certonia siliqua L.), rosemary (Rosmarinus officinalis L.) and rocket (Eruca sativa L.). Isolated volatiles were analyzed by GC and GC/MS. The main advantages of HDA method over ubiquitous HD method were higher yields of volatile compounds and their simultaneous separation in three fractions that enabled more detail analyses. This method is particularly suitable for the isolation and analysis of the plant volatiles with high amounts of water-soluble compounds. In distinction from previously published adsorption of remaining volatile compounds from distillation water on activated carbon, this method offers simultaneous hydrodistillation and adsorption in the same apparatus.

  4. Out-of-Sequence Preventative Cell Dispatching for Multicast Input-Queued Space-Memory-Memory Clos-Network

    DEFF Research Database (Denmark)

    Yu, Hao; Ruepp, Sarah Renée; Berger, Michael Stübert

    2011-01-01

    This paper proposes two out-of-sequence (OOS) preventative cell dispatching algorithms for the multicast input-queued space-memory-memory (IQ-SMM) Clos-network switch architecture, i.e. the multicast flow-based DSRR (MF-DSRR) and the multicast flow-based round-robin (MFRR). Treating each cell...

  5. Modeling of SONOS Memory Cell Erase Cycle

    Science.gov (United States)

    Phillips, Thomas A.; MacLeod, Todd C.; Ho, Fat H.

    2011-01-01

    Utilization of Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) nonvolatile semiconductor memories as a flash memory has many advantages. These electrically erasable programmable read-only memories (EEPROMs) utilize low programming voltages, have a high erase/write cycle lifetime, are radiation hardened, and are compatible with high-density scaled CMOS for low power, portable electronics. In this paper, the SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. Comparisons were made between the model predictions and experimental data.

  6. Single-Cell Memory Regulates a Neural Circuit for Sensory Behavior.

    Science.gov (United States)

    Kobayashi, Kyogo; Nakano, Shunji; Amano, Mutsuki; Tsuboi, Daisuke; Nishioka, Tomoki; Ikeda, Shingo; Yokoyama, Genta; Kaibuchi, Kozo; Mori, Ikue

    2016-01-05

    Unveiling the molecular and cellular mechanisms underlying memory has been a challenge for the past few decades. Although synaptic plasticity is proven to be essential for memory formation, the significance of "single-cell memory" still remains elusive. Here, we exploited a primary culture system for the analysis of C. elegans neurons and show that a single thermosensory neuron has an ability to form, retain, and reset a temperature memory. Genetic and proteomic analyses found that the expression of the single-cell memory exhibits inter-individual variability, which is controlled by the evolutionarily conserved CaMKI/IV and Raf pathway. The variable responses of a sensory neuron influenced the neural activity of downstream interneurons, suggesting that modulation of the sensory neurons ultimately determines the behavioral output in C. elegans. Our results provide proof of single-cell memory and suggest that the individual differences in neural responses at the single-cell level can confer individuality. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  7. Aerosol volatility in a boreal forest environment

    Science.gov (United States)

    Häkkinen, S. A. K.; ńijälä, M.; Lehtipalo, K.; Junninen, H.; Virkkula, A.; Worsnop, D. R.; Kulmala, M.; Petäjä, T.; Riipinen, I.

    2012-04-01

    Climate and health effects of atmospheric aerosols are determined by their properties such as their chemical composition. Aerosol chemical composition can be studied indirectly by measuring volatility of aerosol particles. The volatility of submicron aerosol particles (20-500 nm) was studied in a boreal forest site at SMEAR II (Station for Measuring Ecosystem-Atmosphere Relations II) station (Vesala et al., 1998) in Hyytiälä, Finland, during 01/2008-05/2010. The instrument used for the measurements was VDMPS (Volatility Differential Mobility Particle Sizer), which consists of two separate instruments: DMPS (Differential Mobility Particle Sizer, Aalto et al., 2001) and TD (Thermodenuder, Wehner et al., 2002). Aerosol evaporation was examined by heating the aerosol and comparing the total aerosol mass before and after heating. In the VDMPS system ambient aerosol sample was heated up to temperatures ranging from 80 °C to 280 °C. The higher the heating temperature was the more aerosol material was evaporated. There was a non-volatile residual present in aerosol particles when heated up to 280 °C. This residual explained (20±8)% of the total aerosol mass. Aerosol non-volatile mass fraction was highest during winter and smallest during summer months. The role of black carbon in the observed non-volatile residual was determined. Black carbon explained 40 to 90% of the non-volatile mass. Especially during colder seasons noticeable amount of non-volatile material, something else than black carbon, was observed. According to Kalberer et al. (2004) some atmospheric organic species can form polymers that have high evaporation temperatures. Also low-volatile organic salts may contribute to the non-volatile aerosol (Smith et al., 2010). Aerosol mass composition measured directly with AMS (Aerosol Mass Spectrometer, Jayne et al., 2000) was analyzed in order to examine the properties of the non-volatile material (other than black carbon). The AMS measurements were performed

  8. A Memristor as Multi-Bit Memory: Feasibility Analysis

    Directory of Open Access Journals (Sweden)

    O. Bass

    2015-06-01

    Full Text Available The use of emerging memristor materials for advanced electrical devices such as multi-valued logic is expected to outperform today's binary logic digital technologies. We show here an example for such non-binary device with the design of a multi-bit memory. While conventional memory cells can store only 1 bit, memristors-based multi-bit cells can store more information within single device thus increasing the information storage density. Such devices can potentially utilize the non-linear resistance of memristor materials for efficient information storage. We analyze the performance of such memory devices based on their expected variations in order to determine the viability of memristor-based multi-bit memory. A design of read/write scheme and a simple model for this cell, lay grounds for full integration of memristor multi-bit memory cell.

  9. Persistent non-verbal memory impairment in remitted major depression - caused by encoding deficits?

    Science.gov (United States)

    Behnken, Andreas; Schöning, Sonja; Gerss, Joachim; Konrad, Carsten; de Jong-Meyer, Renate; Zwanzger, Peter; Arolt, Volker

    2010-04-01

    While neuropsychological impairments are well described in acute phases of major depressive disorders (MDD), little is known about the neuropsychological profile in remission. There is evidence for episodic memory impairments in both acute depressed and remitted patients with MDD. Learning and memory depend on individuals' ability to organize information during learning. This study investigates non-verbal memory functions in remitted MDD and whether nonverbal memory performance is mediated by organizational strategies whilst learning. 30 well-characterized fully remitted individuals with unipolar MDD and 30 healthy controls matching in age, sex and education were investigated. Non-verbal learning and memory were measured by the Rey-Osterrieth-Complex-Figure-Test (RCFT). The RCFT provides measures of planning, organizational skills, perceptual and non-verbal memory functions. For assessing the mediating effects of organizational strategies, we used the Savage Organizational Score. Compared to healthy controls, participants with remitted MDD showed more deficits in their non-verbal memory function. Moreover, participants with remitted MDD demonstrated difficulties in organizing non-verbal information appropriately during learning. In contrast, no impairments regarding visual-spatial functions in remitted MDD were observed. Except for one patient, all the others were taking psychopharmacological medication. The neuropsychological function was solely investigated in the remitted phase of MDD. Individuals with MDD in remission showed persistent non-verbal memory impairments, modulated by a deficient use of organizational strategies during encoding. Therefore, our results strongly argue for additional therapeutic interventions in order to improve these remaining deficits in cognitive function. Copyright 2009 Elsevier B.V. All rights reserved.

  10. Evaluation of peroxidative stress of cancer cells in vitro by real-time quantification of volatile aldehydes in culture headspace.

    Science.gov (United States)

    Shestivska, Violetta; Rutter, Abigail V; Sulé-Suso, Josep; Smith, David; Španěl, Patrik

    2017-08-30

    Peroxidation of lipids in cellular membranes results in the release of volatile organic compounds (VOCs), including saturated aldehydes. The real-time quantification of trace VOCs produced by cancer cells during peroxidative stress presents a new challenge to non-invasive clinical diagnostics, which as described here, we have met with some success. A combination of selected ion flow tube mass spectrometry (SIFT-MS), a technique that allows rapid, reliable quantification of VOCs in humid air and liquid headspace, and electrochemistry to generate reactive oxygen species (ROS) in vitro has been used. Thus, VOCs present in the headspace of CALU-1 cancer cell line cultures exposed to ROS have been monitored and quantified in real time using SIFT-MS. The CALU-1 lung cancer cells were cultured in 3D collagen to mimic in vivo tissue. Real-time SIFT-MS analyses focused on the volatile aldehydes: propanal, butanal, pentanal, hexanal, heptanal and malondialdehyde (propanedial), that are expected to be products of cellular membrane peroxidation. All six aldehydes were identified in the culture headspace, each reaching peak concentrations during the time of exposure to ROS and eventually reducing as the reactants were depleted in the culture. Pentanal and hexanal were the most abundant, reaching concentrations of a few hundred parts-per-billion by volume, ppbv, in the culture headspace. The results of these experiments demonstrate that peroxidation of cancer cells in vitro can be monitored and evaluated by direct real-time analysis of the volatile aldehydes produced. The combination of adopted methodology potentially has value for the study of other types of VOCs that may be produced by cellular damage. Copyright © 2017 John Wiley & Sons, Ltd.

  11. Every breath you take: the impact of environment on resident memory CD8 T cells in the lung.

    Science.gov (United States)

    Shane, Hillary L; Klonowski, Kimberly D

    2014-01-01

    Resident memory T cells (TRM) are broadly defined as a population of T cells, which persist in non-lymphoid sites long-term, do not re-enter the circulation, and are distinct from central memory T cells (TCM) and circulating effector memory T cells (TEM). Recent studies have described populations of TRM cells in the skin, gut, lungs, and nervous tissue. However, it is becoming increasingly clear that the specific environment in which the TRM reside can further refine their phenotypical and functional properties. Here, we focus on the TRM cells that develop following respiratory infection and reside in the lungs and the lung airways. Specifically, we will review recent studies that have described some of the requirements for establishment of TRM cells in these tissues, and the defining characteristics of TRM in the lungs and lung airways. With continual bombardment of the respiratory tract by both pathogenic and environmental antigens, dynamic fluctuations in the local milieu including homeostatic resources and niche restrictions can impact TRM longevity. Beyond a comprehensive characterization of lung TRM cells, special attention will be placed on studies, which have defined how the microenvironment of the lung influences memory T cell survival at this site. As memory T cell populations in the lung airways are requisite for protection yet wane numerically over time, developing a comprehensive picture of factors which may influence TRM development and persistence at these sites is important for improving T cell-based vaccine design.

  12. Every breath you take: The impact of environment on resident memory CD8 T cells in the lung

    Directory of Open Access Journals (Sweden)

    Hillary eShane

    2014-07-01

    Full Text Available Resident memory T cells (TRM are broadly defined as a population of T cells which persist in non-lymphoid sites long term, do not re-enter the circulation, and are distinct from central memory T cells (TCM and circulating effector memory T cells (TEM. Recent studies have described populations of TRM cells in the skin, gut, lungs and nervous tissue. However, it is becoming increasingly clear that the specific environment in which the TRM reside can further refine their phenotypical and functional properties. Here, we focus on the TRM cells that develop following respiratory infection and reside in the lungs and the lung airways. Specifically, we will review recent studies that have described some of the requirements for establishment of TRM cells in these tissues, and the defining characteristics of TRM in the lungs and lung airways. With continual bombardment of the respiratory tract by both pathogenic and environmental antigens, dynamic fluctuations in the local milieu including homeostatic resources and niche restrictions can impact TRM longevity. Beyond a comprehensive characterization of lung TRM cells, special attention will be placed on studies which have defined how the microenvironment of the lung influences memory T cell survival at this site. As memory T cell populations in the lung airways are requisite for protection yet wane numerically over time, developing a comprehensive picture of factors which may influence TRM development and persistence at these sites is important for improving T cell-based vaccine design.

  13. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-04-24

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational voltages to achieve coercive electric fields, reduces the sol-gel coating cycles required (i.e., more cost-effective), and, fabrication wise, is more suitable for further scaling of lateral dimensions to the nano-scale due to the larger feature size-to-depth aspect ratio (critical for ultra-high density non-volatile memory applications). Utilizing the inverse proportionality between substrate\\'s thickness and its flexibility, traditional PZT based FeRAM on silicon is transformed through a transfer-less manufacturable process into a flexible form that matches organic electronics\\' flexibility while preserving the superior performance of silicon CMOS electronics. Each memory cell in a FeRAM array consists of two main elements; a select/access transistor, and a storage ferroelectric capacitor. Flexible transistors on silicon have already been reported. In this work, we focus on the storage ferroelectric capacitors, and report, for the first time, its performance after transformation into a flexible version, and assess its key memory parameters while bent at 0.5 cm minimum bending radius.

  14. Modeling and Forecasting the Implied Volatility of the WIG20 Index

    OpenAIRE

    Buszkowska-Khemissi, Eliza; Płuciennik, Piotr

    2007-01-01

    The implied volatility is one of the most important notions in the financial market. It informs about the volatility forecasted by the participans of the market. In this paper we calculate the daily implied volatility from options on the WIG20 index. First we test the long memory property of the time series obtained in such a way, and then we model and forcast it as ARFIMA process

  15. CD4+ virtual memory: Antigen-inexperienced T cells reside in the naïve, regulatory, and memory T cell compartments at similar frequencies, implications for autoimmunity.

    Science.gov (United States)

    Marusina, Alina I; Ono, Yoko; Merleev, Alexander A; Shimoda, Michiko; Ogawa, Hiromi; Wang, Elizabeth A; Kondo, Kayo; Olney, Laura; Luxardi, Guillaume; Miyamura, Yoshinori; Yilma, Tilahun D; Villalobos, Itzel Bustos; Bergstrom, Jennifer W; Kronenberg, Daniel G; Soulika, Athena M; Adamopoulos, Iannis E; Maverakis, Emanual

    2017-02-01

    It is widely accepted that central and effector memory CD4 + T cells originate from naïve T cells after they have encountered their cognate antigen in the setting of appropriate co-stimulation. However, if this were true the diversity of T cell receptor (TCR) sequences within the naïve T cell compartment should be far greater than that of the memory T cell compartment, which is not supported by TCR sequencing data. Here we demonstrate that aged mice with far fewer naïve T cells, respond to the model antigen, hen eggwhite lysozyme (HEL), by utilizing the same TCR sequence as their younger counterparts. CD4 + T cell repertoire analysis of highly purified T cell populations from naive animals revealed that the HEL-specific clones displayed effector and central "memory" cell surface phenotypes even prior to having encountered their cognate antigen. Furthermore, HEL-inexperienced CD4 + T cells were found to reside within the naïve, regulatory, central memory, and effector memory T cell populations at similar frequencies and the majority of the CD4 + T cells within the regulatory and memory populations were unexpanded. These findings support a new paradigm for CD4 + T cell maturation in which a specific clone can undergo a differentiation process to exhibit a "memory" or regulatory phenotype without having undergone a clonal expansion event. It also demonstrates that a foreign-specific T cell is just as likely to reside within the regulatory T cell compartment as it would the naïve compartment, arguing against the specificity of the regulatory T cell compartment being skewed towards self-reactive T cell clones. Finally, we demonstrate that the same set of foreign and autoreactive CD4 + T cell clones are repetitively generated throughout adulthood. The latter observation argues against T cell-depleting strategies or autologous stem cell transplantation as therapies for autoimmunity-as the immune system has the ability to regenerate pathogenic clones. Published by

  16. Urinary volatile organic compounds as potential biomarkers for renal cell carcinoma

    Science.gov (United States)

    WANG, DONGCHUN; WANG, CHANGSONG; PI, XIN; GUO, LEI; WANG, YUE; LI, MINGJUAN; FENG, YUE; LIN, ZIWEI; HOU, WEI; LI, ENYOU

    2016-01-01

    Currently, there is no adequate, sensitive, reproducible, specific and noninvasive biomarker that can reliably be used to detect renal cell carcinoma (RCC). Previous studies have elucidated the urinary non-volatile metabolic profile of RCC. However, whether urinary volatile organic compound (VOC) profiles are able to identify RCC remains to be elucidated. In the present study, urine was collected from 22 patients with RCC and 25 healthy subjects. Principal component analysis and orthogonal partial least square discriminant analysis were used to compare the data of patients and healthy subjects, and preoperative and postoperative patients undergoing radical nephrectomy. In total, 11 VOC biomarkers were elevated in the RCC patients compared to the healthy subjects, which were phenol; decanal; 1,6-dioxacyclododecane-7,12-dione; 1-bromo-1-(3-methyl-1-pentenylidene)-2,2,3,3-tetramethyl-cyclopropane; nonanal; 3-ethyl-3-methylheptane; isolongifolene-5-ol; 2,5-cyclohexadiene-1,4-dione, 2,6-bis(1,1-dimethylethyl); tetradecane; aniline; and 2,6,10,14-tetramethyl-pentadecane. Three biomarkers were decreased in RCC patients: styrene, 4-heptanone and dimethylsilanediol. In preoperative patients, 2-ethyl-1-hexanol and cyclohexanone were elevated, while 6-t-butyl-2,2,9,9-tetramethyl-3,5-decadien-7-yne were decreased when compared to postoperative patients. Compared with the healthy subjects, RCC has a unique VOC profile, suggesting that VOC profiles may be a useful diagnostic assay for RCC. PMID:27347408

  17. Doped SbTe phase change material in memory cells

    NARCIS (Netherlands)

    in ‘t Zandt, M.A.A.; Jedema, F.J.; Gravesteijn, Dirk J; Gravesteijn, D.J.; Attenborough, K.; Wolters, Robertus A.M.

    2009-01-01

    Phase Change Random Access Memory (PCRAM) is investigated as replacement for Flash. The memory concept is based on switching a chalcogenide from the crystalline (low ohmic) to the amorphous (high ohmic) state and vice versa. Basically two memory cell concepts exist: the Ovonic Unified Memory (OUM)

  18. Cell-assembly coding in several memory processes.

    Science.gov (United States)

    Sakurai, Y

    1998-01-01

    The present paper discusses why the cell assembly, i.e., an ensemble population of neurons with flexible functional connections, is a tenable view of the basic code for information processes in the brain. The main properties indicating the reality of cell-assembly coding are neurons overlaps among different assemblies and connection dynamics within and among the assemblies. The former can be detected as multiple functions of individual neurons in processing different kinds of information. Individual neurons appear to be involved in multiple information processes. The latter can be detected as changes of functional synaptic connections in processing different kinds of information. Correlations of activity among some of the recorded neurons appear to change in multiple information processes. Recent experiments have compared several different memory processes (tasks) and detected these two main properties, indicating cell-assembly coding of memory in the working brain. The first experiment compared different types of processing of identical stimuli, i.e., working memory and reference memory of auditory stimuli. The second experiment compared identical processes of different types of stimuli, i.e., discriminations of simple auditory, simple visual, and configural auditory-visual stimuli. The third experiment compared identical processes of different types of stimuli with or without temporal processing of stimuli, i.e., discriminations of elemental auditory, configural auditory-visual, and sequential auditory-visual stimuli. Some possible features of the cell-assembly coding, especially "dual coding" by individual neurons and cell assemblies, are discussed for future experimental approaches. Copyright 1998 Academic Press.

  19. Requirement for CD4 T Cell Help in Generating Functional CD8 T Cell Memory

    Science.gov (United States)

    Shedlock, Devon J.; Shen, Hao

    2003-04-01

    Although primary CD8 responses to acute infections are independent of CD4 help, it is unknown whether a similar situation applies to secondary responses. We show that depletion of CD4 cells during the recall response has minimal effect, whereas depletion during the priming phase leads to reduced responses by memory CD8 cells to reinfection. Memory CD8 cells generated in CD4+/+ mice responded normally when transferred into CD4-/- hosts, whereas memory CD8 cells generated in CD4-/- mice mounted defective recall responses in CD4+/+ adoptive hosts. These results demonstrate a previously undescribed role for CD4 help in the development of functional CD8 memory.

  20. B Cell Intrinsic Mechanisms Constraining IgE Memory

    Directory of Open Access Journals (Sweden)

    Brice Laffleur

    2017-11-01

    Full Text Available Memory B cells and long-lived plasma cells are key elements of adaptive humoral immunity. Regardless of the immunoglobulin class produced, these cells can ensure long-lasting protection but also long-lasting immunopathology, thus requiring tight regulation of their generation and survival. Among all antibody classes, this is especially true for IgE, which stands as the most potent, and can trigger dramatic inflammatory reactions even when present in minute amounts. IgE responses and memory crucially protect against parasites and toxic components of venoms, conferring selective advantages and explaining their conservation in all mammalian species despite a parallel broad spectrum of IgE-mediated immunopathology. Long-term memory of sensitization and anaphylactic responses to allergens constitute the dark side of IgE responses, which can trigger multiple acute or chronic pathologic manifestations, some punctuated with life-threatening events. This Janus face of the IgE response and memory, both necessary and potentially dangerous, thus obviously deserves the most elaborated self-control schemes.

  1. Revising psychoanalytic interpretations of the past. An examination of declarative and non-declarative memory processes.

    Science.gov (United States)

    Davis, J T

    2001-06-01

    The author reviews a contemporary cognitive psychology perspective on memory that views memory as being composed of multiple separate systems. Most researchers draw a fundamental distinction between declarative/explicit and non-declarative/implicit forms of memory. Declarative memory is responsible for the conscious recollection of facts and events--what is typically meant by the everyday and the common psychoanalytic use of the word 'memory'. Non-declarative forms of memory, in contrast, are specialised processes that influence experience and behaviour without representing the past in terms of any consciously accessible content. They operate outside of an individual's awareness, but are not repressed or otherwise dynamically unconscious. Using this theoretical framework, the question of how childhood relationship experiences are carried forward from the past to influence the present is examined. It is argued that incorporating a conceptualisation of non-declarative memory processing into psychoanalytic theory is essential. Non-declarative memory processes are capable of forming complex and sophisticated representations of the interpersonal world. These non-declarative memory processes exert a major impact on interpersonal experience and behaviour that needs to be analysed on its own terms and not mistakenly viewed as a form of resistance.

  2. Simultaneous Microwave Extraction and Separation of Volatile and Non-Volatile Organic Compounds of Boldo Leaves. From Lab to Industrial Scale

    Directory of Open Access Journals (Sweden)

    Loïc Petigny

    2014-04-01

    Full Text Available Microwave extraction and separation has been used to increase the concentration of the extract compared to the conventional method with the same solid/liquid ratio, reducing extraction time and separate at the same time Volatile Organic Compounds (VOC from non-Volatile Organic Compounds (NVOC of boldo leaves. As preliminary study, a response surface method has been used to optimize the extraction of soluble material and the separation of VOC from the plant in laboratory scale. The results from the statistical analysis revealed that the optimized conditions were: microwave power 200 W, extraction time 56 min and solid liquid ratio of 7.5% of plants in water. Lab scale optimized microwave method is compared to conventional distillation, and requires a power/mass ratio of 0.4 W/g of water engaged. This power/mass ratio is kept in order to upscale from lab to pilot plant.

  3. CD4+CD62L+ Central Memory T Cells Can Be Converted to Foxp3+ T Cells

    Science.gov (United States)

    Zhang, Xiaolong; Chang Li, Xian; Xiao, Xiang; Sun, Rui; Tian, Zhigang; Wei, Haiming

    2013-01-01

    The peripheral Foxp3+ Treg pool consists of naturally arising Treg (nTreg) and adaptive Treg cells (iTreg). It is well known that naive CD4+ T cells can be readily converted to Foxp3+ iTreg in vitro, and memory CD4+ T cells are resistant to conversion. In this study, we investigated the induction of Foxp3+ T cells from various CD4+ T-cell subsets in human peripheral blood. Though naive CD4+ T cells were readily converted to Foxp3+ T cells with TGF-β and IL-2 treatment in vitro, such Foxp3+ T cells did not express the memory marker CD45RO as do Foxp3+ T cells induced in the peripheral blood of Hepatitis B Virus (HBV) patients. Interestingly, a subset of human memory CD4+ T cells, defined as CD62L+ central memory T cells, could be induced by TGF-β to differentiate into Foxp3+ T cells. It is well known that Foxp3+ T cells derived from human CD4+CD25- T cells in vitro are lack suppressive functions. Our data about the suppressive functions of CD4+CD62L+ central memory T cell-derived Foxp3+ T cells support this conception, and an epigenetic analysis of these cells showed a similar methylation pattern in the FOXP3 Treg-specific demethylated region as the naive CD4+ T cell-derived Foxp3+ T cells. But further research showed that mouse CD4+ central memory T cells also could be induced to differentiate into Foxp3+ T cells, such Foxp3+ T cells could suppress the proliferation of effector T cells. Thus, our study identified CD4+CD62L+ central memory T cells as a novel potential source of iTreg. PMID:24155942

  4. Testing for long memory in potentially nonstationary perturbed fractional processes

    DEFF Research Database (Denmark)

    Nielsen, Frank; Frederiksen, Per S.

    ¤er simulation results that show good size properties of the tests, with power against spurious long memory. An empirical study of daily log-squared returns series of exchange rates and DJIA30 stocks shows that indeed there is long memory in exchange rate volatility and stock return volatility....

  5. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation.

    Science.gov (United States)

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D; Weninger, Wolfgang

    2015-02-24

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8(+) T cells. During influenza virus infection in vivo, naive T cells enter a CD62L(intermediate) state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62L(hi) central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62L(hi) memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways.

  6. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  7. PD-1 Blockade Expands Intratumoral Memory T Cells

    DEFF Research Database (Denmark)

    Ribas, Antoni; Shin, Daniel Sanghoon; Zaretsky, Jesse

    2016-01-01

    by multicolor flow cytometry using two computational approaches to resolve the leukocyte phenotypes at the single-cell level. There was a statistically significant increase in the frequency of T cells in patients who responded to therapy. The frequency of intratumoral B cells and monocytic myeloid......-derived suppressor cells significantly increased in patients' biopsies taken on treatment. The percentage of cells with a regulatory T-cell phenotype, monocytes, and natural killer cells did not change while on PD-1 blockade therapy. CD8+ memory T cells were the most prominent phenotype that expanded intratumorally...... on therapy. However, the frequency of CD4+ effector memory T cells significantly decreased on treatment, whereas CD4+ effector T cells significantly increased in nonresponding tumors on therapy. In peripheral blood, an unusual population of blood cells expressing CD56 was detected in two patients...

  8. Characteristics of a non-volatile liquid propellant in liquid-fed ablative pulsed plasma thrusters

    Science.gov (United States)

    Ling, William Yeong Liang; Schönherr, Tony; Koizumi, Hiroyuki

    2017-02-01

    In the past several decades, the use of electric propulsion in spacecraft has experienced tremendous growth. With the increasing adoption of small satellites in the kilogram range, suitable propulsion systems will be necessary in the near future. Pulsed plasma thrusters (PPTs) were the first form of electric propulsion to be deployed in orbit, and are highly suitable for small satellites due to their inherent simplicity. However, their lifetime is limited by disadvantages such as carbon deposition leading to thruster failure, and complicated feeding systems required due to the conventional use of solid propellants (usually polytetrafluoroethylene (PTFE)). A promising alternative to solid propellants has recently emerged in the form of non-volatile liquids that are stable in vacuum. This study presents a broad comparison of the non-volatile liquid perfluoropolyether (PFPE) and solid PTFE as propellants on a PPT with a common design base. We show that liquid PFPE can be successfully used as a propellant, and exhibits similar plasma discharge properties to conventional solid PTFE, but with a mass bit that is an order of magnitude higher for an identical ablation area. We also demonstrate that the liquid PFPE propellant has exceptional resistance to carbon deposition, completely negating one of the major causes of thruster failure, while solid PTFE exhibited considerable carbon build-up. Energy dispersive X-ray spectroscopy was used to examine the elemental compositions of the surface deposition on the electrodes and the ablation area of the propellant (or PFPE encapsulator). The results show that based on its physical characteristics and behavior, non-volatile liquid PFPE is an extremely promising propellant for use in PPTs, with an extensive scope available for future research and development.

  9. Scaling Non-Regular Shared-Memory Codes by Reusing Custom Loop Schedules

    Directory of Open Access Journals (Sweden)

    Dimitrios S. Nikolopoulos

    2003-01-01

    Full Text Available In this paper we explore the idea of customizing and reusing loop schedules to improve the scalability of non-regular numerical codes in shared-memory architectures with non-uniform memory access latency. The main objective is to implicitly setup affinity links between threads and data, by devising loop schedules that achieve balanced work distribution within irregular data spaces and reusing them as much as possible along the execution of the program for better memory access locality. This transformation provides a great deal of flexibility in optimizing locality, without compromising the simplicity of the shared-memory programming paradigm. In particular, the programmer does not need to explicitly distribute data between processors. The paper presents practical examples from real applications and experiments showing the efficiency of the approach.

  10. Increased numbers of pre-existing memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells1

    Science.gov (United States)

    Joshi, Nikhil S.; Cui, Weiguo; Dominguez, Claudia; Chen, Jonathan H.; Hand, Timothy W.; Kaech, Susan M.

    2011-01-01

    Memory CD8 T cells acquire TEM properties following reinfection, and may reach terminally differentiated, senescent states (“Hayflick limit”) after multiple infections. The signals controlling this process are not well understood, but we found that the degree of 2o effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and pre-existing memory CD8 T cell number (i.e., 1o memory CD8 T cell precursor frequency) present during secondary infection. Compared to naïve cells, memory CD8 T cells were predisposed towards terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of antigen. TE cell formation following 2o or 3o infections was dependent on increased T-bet expression because T-bet+/− cells were resistant to these phenotypic changes. Larger numbers of pre-existing memory CD8 T cells limited the duration of 2o infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2o TE CD8 T cells that formed. Together, these data show that, over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with antigen or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by pre-existing memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies. PMID:21930973

  11. Notch controls the survival of memory CD4+ T cells by regulating glucose uptake.

    Science.gov (United States)

    Maekawa, Yoichi; Ishifune, Chieko; Tsukumo, Shin-ichi; Hozumi, Katsuto; Yagita, Hideo; Yasutomo, Koji

    2015-01-01

    CD4+ T cells differentiate into memory T cells that protect the host from subsequent infection. In contrast, autoreactive memory CD4+ T cells harm the body by persisting in the tissues. The underlying pathways controlling the maintenance of memory CD4+ T cells remain undefined. We show here that memory CD4+ T cell survival is impaired in the absence of the Notch signaling protein known as recombination signal binding protein for immunoglobulin κ J region (Rbpj). Treatment of mice with a Notch inhibitor reduced memory CD4+ T cell numbers and prevented the recurrent induction of experimental autoimmune encephalomyelitis. Rbpj-deficient CD4+ memory T cells exhibit reduced glucose uptake due to impaired AKT phosphorylation, resulting in low Glut1 expression. Treating mice with pyruvic acid, which bypasses glucose uptake and supplies the metabolite downstream of glucose uptake, inhibited the decrease of autoimmune memory CD4+ T cells in the absence of Notch signaling, suggesting memory CD4+ T cell survival relies on glucose metabolism. Together, these data define a central role for Notch signaling in maintaining memory CD4+ T cells through the regulation of glucose uptake.

  12. Writing to and reading from a nano-scale crossbar memory based on memristors

    International Nuclear Information System (INIS)

    Vontobel, Pascal O; Robinett, Warren; Kuekes, Philip J; Stewart, Duncan R; Straznicky, Joseph; Stanley Williams, R

    2009-01-01

    We present a design study for a nano-scale crossbar memory system that uses memristors with symmetrical but highly nonlinear current-voltage characteristics as memory elements. The memory is non-volatile since the memristors retain their state when un-powered. In order to address the nano-wires that make up this nano-scale crossbar, we use two coded demultiplexers implemented using mixed-scale crossbars (in which CMOS-wires cross nano-wires and in which the crosspoint junctions have one-time configurable memristors). This memory system does not utilize the kind of devices (diodes or transistors) that are normally used to isolate the memory cell being written to and read from in conventional memories. Instead, special techniques are introduced to perform the writing and the reading operation reliably by taking advantage of the nonlinearity of the type of memristors used. After discussing both writing and reading strategies for our memory system in general, we focus on a 64 x 64 memory array and present simulation results that show the feasibility of these writing and reading procedures. Besides simulating the case where all device parameters assume exactly their nominal value, we also simulate the much more realistic case where the device parameters stray around their nominal value: we observe a degradation in margins, but writing and reading is still feasible. These simulation results are based on a device model for memristors derived from measurements of fabricated devices in nano-scale crossbars using Pt and Ti nano-wires and using oxygen-depleted TiO 2 as the switching material.

  13. Human skin is protected by four functionally and phenotypically discrete populations of resident and recirculating memory T cells

    Science.gov (United States)

    Watanabe, Rei; Gehad, Ahmed; Yang, Chao; Campbell, Laura; Teague, Jessica E.; Schlapbach, Christoph; Elco, Christopher; Huang, Victor; Matos, Tiago R.; Kupper, Thomas S.; Clark, Rachael A.

    2015-01-01

    The skin of an adult human contains approximately 20 billion memory T cells. Epithelial barrier tissues are infiltrated by a combination of resident and recirculating T cells in mice but the relative proportions and functional activities of resident versus recirculating T cells have not been evaluated in human skin. We discriminated resident from recirculating T cells in human engrafted mice and lymphoma patients using alemtuzumab, a medication that depletes recirculating T cells from skin, and then analyzed these T cell populations in healthy human skin. All non-recirculating resident memory T cells (TRM) expressed CD69, but the majority were CD4+, CD103− and located in the dermis, in contrast to studies in mice. Both CD4+ and CD8+ CD103+ TRM were enriched in the epidermis, had potent effector functions and had a limited proliferative capacity compared to CD103− TRM. TRM of both types had more potent effector functions than recirculating T cells. Induction of CD103 on human T cells was enhanced by keratinocyte contact, depended on TGFβ and was independent of T cell keratinocyte adhesive interactions. We observed two distinct populations of recirculating T cells, CCR7+/L-selectin+ central memory T cells (TCM) and CCR7+/L-selectin− T cells, which we term migratory memory T cells (TMM). Circulating skin-tropic TMM were intermediate in cytokine production between TCM and effector memory T cells. In patients with cutaneous T cell lymphoma, malignant TCM and TMM induced distinct inflammatory skin lesions and TMM were depleted more slowly from skin after alemtuzumab, suggesting TMM may recirculate more slowly. In summary, human skin is protected by four functionally distinct populations of T cells, two resident and two recirculating, with differing territories of migration and distinct functional activities. PMID:25787765

  14. Detection of Volatile Compounds Emitted from Nasal Secretions and Serum: Towards Non-Invasive Identification of Diseased Cattle Biomarkers

    Directory of Open Access Journals (Sweden)

    Devin L. Maurer

    2018-03-01

    Full Text Available Non-invasive diagnostics and finding biomarkers of disease in humans have been a very active research area. Some of the analytical technologies used for finding biomarkers of human disease are finding their use in livestock. Non-invasive sample collection from diseased cattle using breath and headspace of fecal samples have been reported. In this work, we explore the use of volatile organic compounds (VOCs emitted from bovine nasal secretions and serum for finding biomarkers for bovine respiratory disease (BRD. One hundred nasal swabs and 100 serum samples (n = 50 for both ‘sick’ and ‘healthy’ were collected at the time of treatment for suspected BRD. Solid-phase microextraction (SPME was used to collect headspace samples that were analyzed using gas chromatography-mass spectrometry (GC-MS. It was possible to separate sick cattle using non-invasive analyses of nasal swabs and also serum samples by analyzing and comparing volatiles emitted from each group of samples. Four volatile compounds were found to be statistically significantly different between ‘sick’ and ‘normal’ cattle nasal swabs samples. Five volatile compounds were found to be significantly different between ‘sick’ and ‘normal’ cattle serum samples, with phenol being the common marker. Future studies are warranted to improve the extraction efficiency targeting VOCs preliminarily identified in this study. These findings bring us closer to the long-term goal of real-time, animal-side detection and separation of sick cattle.

  15. Memory control by the B cell antigen receptor.

    Science.gov (United States)

    Engels, Niklas; Wienands, Jürgen

    2018-05-01

    The generation of memory B cells (MBCs) that have undergone immunoglobulin class switching from IgM, which dominates primary antibody responses, to other immunoglobulin isoforms is a hallmark of immune memory. Hence, humoral immunological memory is characterized by the presence of serum immunoglobulins of IgG subtypes known as the γ-globulin fraction of blood plasma proteins. These antibodies reflect the antigen experience of B lymphocytes and their repeated triggering. In fact, efficient protection against a previously encountered pathogen is critically linked to the production of pathogen-specific IgG molecules even in those cases where the primary immune response required cellular immunity, for example, T cell-mediated clearance of intracellular pathogens such as viruses. Besides IgG, also IgA and IgE can provide humoral immunity depending on the microbe's nature and infection route. The molecular mechanisms underlying the preponderance of switched immunoglobulin isotypes during memory antibody responses are a matter of active and controversial debate. Here, we summarize the phenotypic characteristics of distinct MBC subpopulations and discuss the decisive roles of different B cell antigen receptor isotypes for the functional traits of class-switched B cell populations. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  16. Non-declarative memory in the rehabilitation of amnesia.

    Science.gov (United States)

    Cavaco, S; Malec, J F; Bergquist, T

    2005-09-01

    The ability of amnesic patients to learn and retain non-declarative information has been consistently demonstrated in the literature. This knowledge provided by basic cognitive neuroscience studies has been widely neglected in neuropsychological rehabilitation of memory impaired patients. This study reports the case of a 43 year old man with severe amnesia following an anterior communicating artery (ACoA) aneurysm rupture. The patient integrated a comprehensive (holistic) day treatment programme for rehabilitation of brain injury. The programme explored the advantages of using preserved non-declarative memory capacities, in the context of commonly used rehabilitation approaches (i.e. compensation for lost function and domain-specific learning). The patient's ability to learn and retain new cognitive and perceptual-motor skills was found to be critical for the patient's improved independence and successful return to work.

  17. Decoupling the short- and long-term behavior of stochastic volatility

    DEFF Research Database (Denmark)

    Bennedsen, Mikkel; Lunde, Asger; Pakkanen, Mikko

    behavior) from long memory and persistence (long-term behavior) in a simple and parsimonious way, which allows us to successfully model volatility at all intraday time scales. Our prime model is based on the so-called Brownian semistationary process and we derive a number of theoretical properties...... measures of close to two thousand individual US equities, we find that both roughness and persistence appear to be universal properties of volatility. Inspired by the empirical findings, we introduce a new class of continuous-time stochastic volatility models, capable of decoupling roughness (short-term...

  18. Ferroelectric tunneling element and memory applications which utilize the tunneling element

    Science.gov (United States)

    Kalinin, Sergei V [Knoxville, TN; Christen, Hans M [Knoxville, TN; Baddorf, Arthur P [Knoxville, TN; Meunier, Vincent [Knoxville, TN; Lee, Ho Nyung [Oak Ridge, TN

    2010-07-20

    A tunneling element includes a thin film layer of ferroelectric material and a pair of dissimilar electrically-conductive layers disposed on opposite sides of the ferroelectric layer. Because of the dissimilarity in composition or construction between the electrically-conductive layers, the electron transport behavior of the electrically-conductive layers is polarization dependent when the tunneling element is below the Curie temperature of the layer of ferroelectric material. The element can be used as a basis of compact 1R type non-volatile random access memory (RAM). The advantages include extremely simple architecture, ultimate scalability and fast access times generic for all ferroelectric memories.

  19. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    Science.gov (United States)

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cycle state and responded to stimulation like naive T cells, as assessed by proliferation, dependence upon costimulation, and interferon-γ production, without losing cell surface markers associated with memory. The memory state was maintained by signaling via members of the tumor necrosis factor receptor superfamily, CD27 and 4-1BB. Foxo1, a transcription factor involved in T-cell quiescence, was reduced in memory cells, and stimulation of naive CD8 cells via CD27 caused Foxo1 to be phosphorylated and emigrate from the nucleus in a phosphatidylinositol-3 kinase–dependent manner. Consistent with these results, maintenance of G1 in vivo was compromised in antigen-specific memory T cells in vesicular stomatitis virus-infected CD27-deficient mice. Therefore, sustaining the functional phenotype of T memory cells requires active signaling and maintenance. PMID:19617575

  20. Memory CD8+ T Cells: Orchestrators and Key Players of Innate Immunity?

    Directory of Open Access Journals (Sweden)

    Grégoire Lauvau

    2016-09-01

    Full Text Available Over the past decades, the dichotomy between innate and adaptive immune responses has largely dominated our understanding of immunology. Upon primary encounter with microbial pathogens, differentiation of adaptive immune cells into functional effectors usually takes several days or even longer, making them contribute to host protection only late during primary infection. However, once generated, antigen-experienced T lymphocytes can persist in the organism and constitute a pool of memory cells that mediate fast and effective protection to a recall infection with the same microbial pathogen. Herein, we challenge this classical paradigm by highlighting the "innate nature" of memory CD8+ T cells. First, within the thymus or in the periphery, naïve CD8+ T cells may acquire phenotypic and functional characteristics of memory CD8+ T cells independently of challenge with foreign antigens. Second, both the "unconventional" and the "conventional" memory cells can rapidly express protective effector functions in response to sets of inflammatory cytokines and chemokines signals, independent of cognate antigen triggering. Third, memory CD8+ T cells can act by orchestrating the recruitment, activation, and licensing of innate cells, leading to broad antimicrobial states. Thus, collectively, memory CD8+ T cells may represent important actors of innate immune defenses.

  1. Strong homeostatic TCR signals induce formation of self-tolerant virtual memory CD8 T cells.

    Science.gov (United States)

    Drobek, Ales; Moudra, Alena; Mueller, Daniel; Huranova, Martina; Horkova, Veronika; Pribikova, Michaela; Ivanek, Robert; Oberle, Susanne; Zehn, Dietmar; McCoy, Kathy D; Draber, Peter; Stepanek, Ondrej

    2018-05-11

    Virtual memory T cells are foreign antigen-inexperienced T cells that have acquired memory-like phenotype and constitute 10-20% of all peripheral CD8 + T cells in mice. Their origin, biological roles, and relationship to naïve and foreign antigen-experienced memory T cells are incompletely understood. By analyzing T-cell receptor repertoires and using retrogenic monoclonal T-cell populations, we demonstrate that the virtual memory T-cell formation is a so far unappreciated cell fate decision checkpoint. We describe two molecular mechanisms driving the formation of virtual memory T cells. First, virtual memory T cells originate exclusively from strongly self-reactive T cells. Second, the stoichiometry of the CD8 interaction with Lck regulates the size of the virtual memory T-cell compartment via modulating the self-reactivity of individual T cells. Although virtual memory T cells descend from the highly self-reactive clones and acquire a partial memory program, they are not more potent in inducing experimental autoimmune diabetes than naïve T cells. These data underline the importance of the variable level of self-reactivity in polyclonal T cells for the generation of functional T-cell diversity. © 2018 The Authors. Published under the terms of the CC BY 4.0 license.

  2. Long memory persistence in the factor of Implied volatility dynamics

    OpenAIRE

    Härdle, Wolfgang Karl; Mungo, Julius

    2007-01-01

    The volatility implied by observed market prices as a function of the strike and time to maturity form an Implied Volatility Surface (IV S). Practical applications require reducing the dimension and characterize its dynamics through a small number of factors. Such dimension reduction is summarized by a Dynamic Semiparametric Factor Model (DSFM) that characterizes the IV S itself and their movements across time by a multivariate time series of factor loadings. This paper focuses on investigati...

  3. Tissue-Resident Memory CD8+ T Cells: From Phenotype to Function

    Directory of Open Access Journals (Sweden)

    David J. Topham

    2018-03-01

    Full Text Available Tissue-resident memory CD8+ T cells are an important first line of defense from infection in peripheral non-lymphoid tissues, such as the mucosal tissues of the respiratory, digestive, and urogenital tracts. This memory T cell subset is established late during resolution of primary infection of those tissues, has a distinct genetic signature, and is often defined by the cell surface expression of CD69, CD103, CD49a, and CD44 in both mouse and human studies. The stimuli that program or imprint the unique gene expression and cell surface phenotypes on TRM are beginning to be defined, but much work remains to be done. It is not clear, for example, when and where the TRM precursors receive these signals, and there is evidence that supports imprinting in both the lymph node and the peripheral tissue sites. In most studies, expression of CD49a, CD103, and CD69 on T cells in the tissues appears relatively late in the response, suggesting there are precise environmental cues that are not present at the height of the acute response. CD49a and CD103 are not merely biomarkers of TRM, they confer substrate specificities for cell adhesion to collagen and E-cadherin, respectively. Yet, little attention has been paid to how expression affects the positioning of TRM in the peripheral tissues. CD103 and CD49a are not mutually exclusive, and not always co-expressed, although whether they can compensate for one another is unknown. In fact, they may define different subsets of TRM in certain tissues. For instance, while CD49a+CD8+ memory T cells can be found in almost all peripheral tissues, CD103 appears to be more restricted. In this review, we discuss the evidence for how these hallmarks of TRM affect positioning of T cells in peripheral sites, how CD49a and CD103 differ in expression and function, and why they are important for immune protection conferred by TRM in mucosal tissues such as the respiratory tract.

  4. Mucosal immunization in macaques upregulates the innate APOBEC 3G anti-viral factor in CD4(+) memory T cells.

    Science.gov (United States)

    Wang, Yufei; Bergmeier, Lesley A; Stebbings, Richard; Seidl, Thomas; Whittall, Trevor; Singh, Mahavir; Berry, Neil; Almond, Neil; Lehner, Thomas

    2009-02-05

    APOBEC3G is an innate intracellular anti-viral factor which deaminates retroviral cytidine to uridine. In vivo studies of APOBEC3G (A3G) were carried out in rhesus macaques, following mucosal immunization with SIV antigens and CCR5 peptides, linked to the 70kDa heat shock protein. A progressive increase in A3G mRNA was elicited in PBMC after each immunization (p<0.0002 to p< or =0.02), which was maintained for at least 17 weeks. Analysis of memory T cells showed a significant increase in A3G mRNA and protein in CD4(+)CCR5(+) memory T cells in circulating (p=0.0001), splenic (p=0.0001), iliac lymph nodes (p=0.002) and rectal (p=0.01) cells of the immunized compared with unimmunized macaques. Mucosal challenge with SIVmac 251 showed a significant increase in A3G mRNA in the CD4(+)CCR5(+) circulating cells (p<0.01) and the draining iliac lymph node cells (p<0.05) in the immunized uninfected macaques, consistent with a protective effect exerted by A3G. The results suggest that mucosal immunization in a non-human primate can induce features of a memory response to an innate anti-viral factor in CCR5(+)CD4(+) memory and CD4(+)CD95(+)CCR7(-) effector memory T cells.

  5. Incomplete Memories: The Natural Suppression of Tissue-Resident Memory CD8 T Cells in the Lung

    Directory of Open Access Journals (Sweden)

    Katie L. Reagin

    2018-01-01

    Full Text Available The yearly, cyclic impact of viruses like influenza on human health and the economy is due to the high rates of mutation of traditional antibody targets, which negate any preexisting humoral immunity. However, the seasonality of influenza infections can equally be attributed to an absent or defective memory CD8 T cell response since the epitopes recognized by these cells are derived from essential virus proteins that mutate infrequently. Experiments in mouse models show that protection from heterologous influenza infection is temporally limited and conferred by a population of tissue-resident memory (TRM cells residing in the lung and lung airways. TRM are elicited by a diverse set of pathogens penetrating mucosal barriers and broadly identified by extravascular staining and expression of the activation and adhesion molecules CD69 and CD103. Interestingly, lung TRM fail to express these molecules, which could limit tissue retention, resulting in airway expulsion or death with concomitant loss of heterologous protection. Here, we make the case that respiratory infections uniquely evoke a form of natural immunosuppression whereby specific cytokines and cell–cell interactions negatively impact memory cell programming and differentiation. Respiratory memory is not only short-lived but most of the memory cells in the lung parenchyma may not be bona fide TRM. Given the quantity of microbes humans inhale over a lifetime, limiting cellular residence could be a mechanism employed by the respiratory tract to preserve organismal vitality. Therefore, successful efforts to improve respiratory immunity must carefully and selectively breach these inherent tissue barriers.

  6. Robust resistive memory devices using solution-processable metal-coordinated azo aromatics

    Science.gov (United States)

    Goswami, Sreetosh; Matula, Adam J.; Rath, Santi P.; Hedström, Svante; Saha, Surajit; Annamalai, Meenakshi; Sengupta, Debabrata; Patra, Abhijeet; Ghosh, Siddhartha; Jani, Hariom; Sarkar, Soumya; Motapothula, Mallikarjuna Rao; Nijhuis, Christian A.; Martin, Jens; Goswami, Sreebrata; Batista, Victor S.; Venkatesan, T.

    2017-12-01

    Non-volatile memories will play a decisive role in the next generation of digital technology. Flash memories are currently the key player in the field, yet they fail to meet the commercial demands of scalability and endurance. Resistive memory devices, and in particular memories based on low-cost, solution-processable and chemically tunable organic materials, are promising alternatives explored by the industry. However, to date, they have been lacking the performance and mechanistic understanding required for commercial translation. Here we report a resistive memory device based on a spin-coated active layer of a transition-metal complex, which shows high reproducibility (~350 devices), fast switching (106 s) and scalability (down to ~60 nm2). In situ Raman and ultraviolet-visible spectroscopy alongside spectroelectrochemistry and quantum chemical calculations demonstrate that the redox state of the ligands determines the switching states of the device whereas the counterions control the hysteresis. This insight may accelerate the technological deployment of organic resistive memories.

  7. Exposure to Anacardiaceae volatile oils and their constituents induces lipid peroxidation within food-borne bacteria cells.

    Science.gov (United States)

    Montanari, Ricardo M; Barbosa, Luiz C A; Demuner, Antonio J; Silva, Cleber J; Andrade, Nelio J; Ismail, Fyaz M D; Barbosa, Maria C A

    2012-08-14

    The chemical composition of the volatile oils from five Anacardiaceae species and their activities against Gram positive and negative bacteria were assessed. The peroxidative damage within bacterial cell membranes was determined through the breakdown product malondialdehyde (MDA). The major constituents in Anacardium humile leaves oil were (E)-caryophyllene (31.0%) and α-pinene (22.0%), and in Anacardium occidentale oil they were (E)-caryophyllene (15.4%) and germacrene-D (11.5%). Volatile oil from Astronium fraxinifolium leaves were dominated by (E)-β-ocimene (44.1%) and α-terpinolene (15.2%), whilst the oil from Myracrodruon urundeuva contained an abundance of δ-3-carene (78.8%). However, Schinus terebinthifolius leaves oil collected in March and July presented different chemical compositions. The oils from all species, except the one from A. occidentale, exhibited varying levels of antibacterial activity against Staphylococcus aureus, Bacillus cereus and Escherichia coli. Oil extracted in July from S. terebinthifolius was more active against all bacterial strains than the corresponding oil extracted in March. The high antibacterial activity of the M. urundeuva oil could be ascribed to its high δ-3-carene content. The amounts of MDA generated within bacterial cells indicate that the volatile oils induce lipid peroxidation. The results suggest that one putative mechanism of antibacterial action of these volatile oils is pro-oxidant damage within bacterial cell membrane explaining in part their preservative properties.

  8. Volatility of Mutator Phenotypes at Single Cell Resolution.

    Directory of Open Access Journals (Sweden)

    Scott R Kennedy

    2015-04-01

    Full Text Available Mutator phenotypes accelerate the evolutionary process of neoplastic transformation. Historically, the measurement of mutation rates has relied on scoring the occurrence of rare mutations in target genes in large populations of cells. Averaging mutation rates over large cell populations assumes that new mutations arise at a constant rate during each cell division. If the mutation rate is not constant, an expanding mutator population may contain subclones with widely divergent rates of evolution. Here, we report mutation rate measurements of individual cell divisions of mutator yeast deficient in DNA polymerase ε proofreading and base-base mismatch repair. Our data are best fit by a model in which cells can assume one of two distinct mutator states, with mutation rates that differ by an order of magnitude. In error-prone cell divisions, mutations occurred on the same chromosome more frequently than expected by chance, often in DNA with similar predicted replication timing, consistent with a spatiotemporal dimension to the hypermutator state. Mapping of mutations onto predicted replicons revealed that mutations were enriched in the first half of the replicon as well as near termination zones. Taken together, our findings show that individual genome replication events exhibit an unexpected volatility that may deepen our understanding of the evolution of mutator-driven malignancies.

  9. WORKSHOP REPORT - CONSIDERATIONS FOR DEVELOPING LEACHING TEST METHODS FOR SEMI- AND NON-VOLATILE ORGANIC COMPOUNDS

    Science.gov (United States)

    The report provides a summary of the information exchange at a workshop on the potential for release of semi- or non-volatile organic constituents at contaminated sites where sub-surface treatment has been used to control migration, and from waste that is disposed or re-used. The...

  10. Influence of mineral salts upon activity of Trichoderma harzianum non-volatile metabolites on Armillaria spp. rhizomorphs

    Directory of Open Access Journals (Sweden)

    Krystyna Przybył

    2011-01-01

    Full Text Available Effect of non-volatile metabolites of Trichoderma harzianum together with certain salts containing Mg++, Fe+++, Mn++, Cu++, Al+++, Ca++, K++, Na+, PO4--- and SO3--- on the production and length of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae was studied. In pure medium, T. harzianum exhibited stimulating effect on rhizomorphs of A. borealis (both number and length and A. ostoyae (only initiation. Cu++ salt totaly inhibited the initiation of rhizomorphs of Armillaria borealis, A. gallica and A. ostoyae. Effect of other compounds on the activity of T. harzianum depended on Armillaria species. The majority of chemical compounds tested supressed the activity of non-volatile metabolites of T. harzianum. Evident stimulating effect was observed under influence of sulphate salts consisting Al++ and Fe+++ on the rhizomorph number of A. borealis and A. gallica, respectively.

  11. Low power and reliable SRAM memory cell and array design

    CERN Document Server

    Ishibashi, Koichiro

    2011-01-01

    Success in the development of recent advanced semiconductor device technologies is due to the success of SRAM memory cells. This book addresses various issues for designing SRAM memory cells for advanced CMOS technology. To study LSI design, SRAM cell design is the best materials subject because issues about variability, leakage and reliability have to be taken into account for the design.

  12. Liver-primed memory T cells generated under noninflammatory conditions provide anti-infectious immunity.

    Science.gov (United States)

    Böttcher, Jan P; Schanz, Oliver; Wohlleber, Dirk; Abdullah, Zeinab; Debey-Pascher, Svenja; Staratschek-Jox, Andrea; Höchst, Bastian; Hegenbarth, Silke; Grell, Jessica; Limmer, Andreas; Atreya, Imke; Neurath, Markus F; Busch, Dirk H; Schmitt, Edgar; van Endert, Peter; Kolanus, Waldemar; Kurts, Christian; Schultze, Joachim L; Diehl, Linda; Knolle, Percy A

    2013-03-28

    Development of CD8(+) T cell (CTL) immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs) matured during inflammation generate effector/memory T cells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memory T cells that were spared from deletion by immature DCs. Similar to central memory T cells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1(+) memory population. Generation of liver-primed memory T cells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  13. Liver-Primed Memory T Cells Generated under Noninflammatory Conditions Provide Anti-infectious Immunity

    Directory of Open Access Journals (Sweden)

    Jan P. Böttcher

    2013-03-01

    Full Text Available Development of CD8+ T cell (CTL immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs matured during inflammation generate effector/memorycells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memorycells that were spared from deletion by immature DCs. Similar to central memorycells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1+ memory population. Generation of liver-primed memorycells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire.

  14. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application.

    Science.gov (United States)

    Rudner, Mary

    2018-01-01

    Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  15. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  16. Atmospheric fate of non volatile and ionizable compounds

    DEFF Research Database (Denmark)

    Franco, Antonio; Hauschild, Michael Zwicky; Jolliet, Olivier

    2011-01-01

    , and the parameters describing air–water partitioning (KAW and temperature) and ionization (pKa and pH) are the key parameters determining the potential for long range transport. Wet deposition is an important removal process, but its efficiency is limited, primarily by the duration of the dry period between...... simulations describing the uncertainty of substance and environmental input properties were run to evaluate the impact of atmospheric parameters, ionization and air–water (or air–ice) interface enrichment. The rate of degradation and the concentration of OH radicals, the duration of dry and wet periods...... precipitation events. Given the underlying model assumptions, the presence of clouds contributes to the higher persistence in the troposphere because of the capacity of cloud water to accumulate and transport non-volatile (e.g.2,4-D) and surface-active chemicals (e.g. PFOA). This limits the efficiency of wet...

  17. Human cerebrospinal fluid contains CD4+ memory T cells expressing gut- or skin-specific trafficking determinants: relevance for immunotherapy

    Directory of Open Access Journals (Sweden)

    Campbell James J

    2006-07-01

    Full Text Available Abstract Background Circulating memory T cells can be divided into tissue-specific subsets, which traffic through distinct tissue compartments during physiologic immune surveillance, based on their expression of adhesion molecules and chemokine receptors. We reasoned that a bias (either enrichment or depletion of CSF T cell expression of known organ-specific trafficking determinants might suggest that homing of T cells to the subarachnoid space could be governed by a CNS-specific adhesion molecule or chemokine receptor. Results The expression of cutaneous leukocyte antigen (CLA and CC-chemokine receptor 4 (CCR4; associated with skin-homing as well as the expression of integrin α4β7 and CCR9 (associated with gut-homing was analyzed on CD4+ memory T cells in CSF from individuals with non-inflammatory neurological diseases using flow cytometry. CSF contained similar proportions of CD4+ memory T cells expressing CLA, CCR4, integrin α4β7 and CCR9 as paired blood samples. Conclusion The results extend our previous findings that antigen-experienced CD4+ memory T cells traffic through the CSF in proportion to their abundance in the peripheral circulation. Furthermore, the ready access of skin- and gut-homing CD4+ memory T cells to the CNS compartment via CSF has implications for the mechanisms of action of immunotherapeutic strategies, such as oral tolerance or therapeutic immunization, where immunogens are administered using an oral or subcutaneous route.

  18. Memory CD8+ T cells protect dendritic cells from CTL killing

    NARCIS (Netherlands)

    Watchmaker, Payal B.; Urban, Julie A.; Berk, Erik; Nakamura, Yutaro; Mailliard, Robbie B.; Watkins, Simon C.; van Ham, S. Marieke; Kalinski, Pawel

    2008-01-01

    CD8(+) T cells have been shown to be capable of either suppressing or promoting immune responses. To reconcile these contrasting regulatory functions, we compared the ability of human effector and memory CD8(+) T cells to regulate survival and functions of dendritic cells (DC). We report that, in

  19. Low-resistivity C54-TiSi2 as a sidewall-confinement nanoscale electrode for three-dimensional vertical resistive memory

    KAUST Repository

    Duran Retamal, Jose Ramon; Kang, Chen-Fang; Yang, Po-Kang; Lee, Chuan-Pei; Lien, Der-Hsien; Ho, Chih-Hsiang; He, Jr-Hau

    2014-01-01

    A three-dimensional (3D) double-layer HfO2-based vertical-resistive random access memory (VRRAM) with low-resistivity C54-TiSi2 as horizontal electrodes is demonstrated using complementary metal-oxide semiconductor processing. The electrical measurements show bipolar resistive switching by using C54-TiSi2 as electrodes for resistive switching (RS) applications. The statistical analysis exhibits cycle-to-cycle and cell-to-cell stable non-volatile properties with robust endurance (100 cycles) and long term data retention (104s), suggesting that the ultrathin sidewall of C54-TiSi2 nanoscale electrodes serve to confine and stabilize the random nature of the conducting nanofilaments. The superior RS characteristics demonstrated here highlight the applicability of C54-TiSi2 sidewall-confinement nanoscale electrodes to VRRAM.

  20. Low-resistivity C54-TiSi2 as a sidewall-confinement nanoscale electrode for three-dimensional vertical resistive memory

    KAUST Repository

    Duran Retamal, Jose Ramon

    2014-11-03

    A three-dimensional (3D) double-layer HfO2-based vertical-resistive random access memory (VRRAM) with low-resistivity C54-TiSi2 as horizontal electrodes is demonstrated using complementary metal-oxide semiconductor processing. The electrical measurements show bipolar resistive switching by using C54-TiSi2 as electrodes for resistive switching (RS) applications. The statistical analysis exhibits cycle-to-cycle and cell-to-cell stable non-volatile properties with robust endurance (100 cycles) and long term data retention (104s), suggesting that the ultrathin sidewall of C54-TiSi2 nanoscale electrodes serve to confine and stabilize the random nature of the conducting nanofilaments. The superior RS characteristics demonstrated here highlight the applicability of C54-TiSi2 sidewall-confinement nanoscale electrodes to VRRAM.

  1. An electrically reprogrammable 1024 bits MNOS ROM using MNOS-SOS e/d technology

    International Nuclear Information System (INIS)

    Mackowiak, E.; Le Goascoz, V.

    1976-01-01

    A 1024 bits fully decoded electrically writable and erasable non volatile ROM is described. Memory cells and peripheral circuits are made using P channel silicon on sapphire enhancement depletion technology [fr

  2. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  3. Persistence of memory B-cell and T-cell responses to the quadrivalent HPV vaccine in HIV-infected children.

    Science.gov (United States)

    Weinberg, Adriana; Huang, Sharon; Moscicki, Anna-Barbara; Saah, Afred; Levin, Myron J

    2018-04-24

    To determine the magnitude and persistence of quadrivalent human papillomavirus (HPV)16 and HPV18 B-cell and T-cell memory after three or four doses of quadrivalent HPV vaccine (QHPV) in HIV-infected children. Seventy-four HIV-infected children immunized with four doses and 23 with three doses of QHPV had HPV16 and HPV18 IgG B-cell and IFNγ and IL2 T-cell ELISPOT performed at 2, 3.5 and 4-5 years after the last dose. HPV16 and HPV18 T-cell responses were similar in both treatment groups, with higher responses to HPV16 vs. HPV18. These HPV T-cell responses correlated with HIV disease characteristics at the study visits. Global T-cell function declined over time as measured by nonspecific mitogenic stimulation. B-cell memory was similar across treatment groups and HPV genotypes. There was a decline in HPV-specific B-cell memory over time that reached statistical significance for HPV16 in the four-dose group. B-cell and T-cell memory did not significantly differ after either three or four doses of QHPV in HIV-infected children. The clinical consequences of decreasing global T-cell function and HPV B-cell memory over time in HIV-infected children requires further investigation.

  4. The Emotional Response to Everyday Involuntary and Voluntary Memories in Dysphoria and Non-Dysphoria

    DEFF Research Database (Denmark)

    del Palacio Gonzalez, Adriana; Watson, Lynn; Berntsen, Dorthe

    Retrieving personal memories may cause emotional reactions and thus a need for emotion regulation. Past research indicates that involuntary memories have a greater effect on mood that the voluntary counterparts. However, different dimensions of the emotional response (i.e., intensity and regulation...... regulation strategies in response to both involuntary and voluntary memories. The between-group differences were not accounted for by the individuals’ mood preceding memory retrieval or the valence of the remembered events. The results suggest an important effect of retrieval mode in the emotion regulation......) upon retrieval of both involuntary and voluntary personal memories have not been thoroughly examined. We examined individuals’ emotional intensity and regulation of everyday involuntary and voluntary memories during dysphoria and non-depression. Twenty dysphoric individuals and 23 non...

  5. Stochastic volatility models and Kelvin waves

    Science.gov (United States)

    Lipton, Alex; Sepp, Artur

    2008-08-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  6. Stochastic volatility models and Kelvin waves

    International Nuclear Information System (INIS)

    Lipton, Alex; Sepp, Artur

    2008-01-01

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics

  7. Stochastic volatility models and Kelvin waves

    Energy Technology Data Exchange (ETDEWEB)

    Lipton, Alex [Merrill Lynch, Mlfc Main, 2 King Edward Street, London EC1A 1HQ (United Kingdom); Sepp, Artur [Merrill Lynch, 4 World Financial Center, New York, NY 10080 (United States)], E-mail: Alex_Lipton@ml.com, E-mail: Artur_Sepp@ml.com

    2008-08-29

    We use stochastic volatility models to describe the evolution of an asset price, its instantaneous volatility and its realized volatility. In particular, we concentrate on the Stein and Stein model (SSM) (1991) for the stochastic asset volatility and the Heston model (HM) (1993) for the stochastic asset variance. By construction, the volatility is not sign definite in SSM and is non-negative in HM. It is well known that both models produce closed-form expressions for the prices of vanilla option via the Lewis-Lipton formula. However, the numerical pricing of exotic options by means of the finite difference and Monte Carlo methods is much more complex for HM than for SSM. Until now, this complexity was considered to be an acceptable price to pay for ensuring that the asset volatility is non-negative. We argue that having negative stochastic volatility is a psychological rather than financial or mathematical problem, and advocate using SSM rather than HM in most applications. We extend SSM by adding volatility jumps and obtain a closed-form expression for the density of the asset price and its realized volatility. We also show that the current method of choice for solving pricing problems with stochastic volatility (via the affine ansatz for the Fourier-transformed density function) can be traced back to the Kelvin method designed in the 19th century for studying wave motion problems arising in fluid dynamics.

  8. Material Engineering for Phase Change Memory

    Science.gov (United States)

    Cabrera, David M.

    As semiconductor devices continue to scale downward, and portable consumer electronics become more prevalent there is a need to develop memory technology that will scale with devices and use less energy, while maintaining performance. One of the leading prototypical memories that is being investigated is phase change memory. Phase change memory (PCM) is a non-volatile memory composed of 1 transistor and 1 resistor. The resistive structure includes a memory material alloy which can change between amorphous and crystalline states repeatedly using current/voltage pulses of different lengths and magnitudes. The most widely studied PCM materials are chalcogenides - Germanium-Antimony-Tellerium (GST) with Ge2Sb2Te3 and Germanium-Tellerium (GeTe) being some of the most popular stochiometries. As these cells are scaled downward, the current/voltage needed to switch these materials becomes comparable to the voltage needed to sense the cell's state. The International Roadmap for Semiconductors aims to raise the threshold field of these devices from 66.6 V/mum to be at least 375 V/mum for the year 2024. These cells are also prone to resistance drift between states, leading to bit corruption and memory loss. Phase change material properties are known to influence PCM device performance such as crystallization temperature having an effect on data retention and litetime, while resistivity values in the amorphous and crystalline phases have an effect on the current/voltage needed to write/erase the cell. Addition of dopants is also known to modify the phase change material parameters. The materials G2S2T5, GeTe, with dopants - nitrogen, silicon, titanium, and aluminum oxide and undoped Gallium-Antimonide (GaSb) are studied for these desired characteristics. Thin films of these compositions are deposited via physical vapor deposition at IBM Watson Research Center. Crystallization temperatures are investigated using time resolved x-ray diffraction at Brookhaven National Laboratory

  9. Protein energy malnutrition impairs homeostatic proliferation of memory CD8 T cells.

    Science.gov (United States)

    Iyer, Smita S; Chatraw, Janel Hart; Tan, Wendy G; Wherry, E John; Becker, Todd C; Ahmed, Rafi; Kapasi, Zoher F

    2012-01-01

    Nutrition is a critical but poorly understood determinant of immunity. There is abundant epidemiological evidence linking protein malnutrition to impaired vaccine efficacy and increased susceptibility to infections; yet, the role of dietary protein in immune memory homeostasis remains poorly understood. In this study, we show that protein-energy malnutrition induced in mice by low-protein (LP) feeding has a detrimental impact on CD8 memory. Relative to adequate protein (AP)-fed controls, LP feeding in lymphocytic choriomeningitis virus (LCMV)-immune mice resulted in a 2-fold decrease in LCMV-specific CD8 memory T cells. Adoptive transfer of memory cells, labeled with a division tracking dye, from AP mice into naive LP or AP mice demonstrated that protein-energy malnutrition caused profound defects in homeostatic proliferation. Remarkably, this defect occurred despite the lymphopenic environment in LP hosts. Whereas Ag-specific memory cells in LP and AP hosts were phenotypically similar, memory cells in LP hosts were markedly less responsive to polyinosinic-polycytidylic acid-induced acute proliferative signals. Furthermore, upon recall, memory cells in LP hosts displayed reduced proliferation and protection from challenge with LCMV-clone 13, resulting in impaired viral clearance in the liver. The findings show a metabolic requirement of dietary protein in sustaining functional CD8 memory and suggest that interventions to optimize dietary protein intake may improve vaccine efficacy in malnourished individuals.

  10. Asymptotic Theory for the QMLE in GARCH-X Models with Stationary and Non-Stationary Covariates

    DEFF Research Database (Denmark)

    Han, Heejoon; Kristensen, Dennis

    as captured by its long-memory parameter dx; in particular, we allow for both stationary and non-stationary covariates. We show that the QMLE'’s of the regression coefficients entering the volatility equation are consistent and normally distributed in large samples independently of the degree of persistence....... This implies that standard inferential tools, such as t-statistics, do not have to be adjusted to the level of persistence. On the other hand, the intercept in the volatility equation is not identifi…ed when the covariate is non-stationary which is akin to the results of Jensen and Rahbek (2004, Econometric...

  11. Differential effects of non-REM and REM sleep on memory consolidation?

    OpenAIRE

    Ackermann Sandra; Rasch  Bjoern

    2013-01-01

    Sleep benefitsmemory consolidation. Previous theoretical accounts have proposed a differential role of slowwave sleep (SWS) rapid eye movement (REM) sleep and stage N2 sleep for different types of memories. For example the dual process hypothesis proposes that SWS is beneficial for declarative memories whereas REMsleep is important for consolidation of non declarative procedural and emotional memories. In fact numerous recent studies do provide further support for the crucial role of SWS (or ...

  12. Human Infant Memory B Cell and CD4+ T Cell Responses to HibMenCY-TT Glyco-Conjugate Vaccine.

    Directory of Open Access Journals (Sweden)

    Angela Fuery

    Full Text Available Carrier-specific T cell and polysaccharide-specific B cell memory responses are not well characterised in infants following glyco-conjugate vaccination. We aimed to determine if the number of Meningococcal (Men C- and Y- specific memory B cells and; number and quality of Tetanus Toxoid (TT carrier-specific memory CD4+ T cells are associated with polysaccharide-specific IgG post HibMenCY-TT vaccination. Healthy infants received HibMenCY-TT vaccine at 2, 4 and 6 months with a booster at 12 months. Peripheral blood mononuclear cells were isolated and polysaccharide-specific memory B cells enumerated using ELISpot. TT-specific memory CD4+ T cells were detected and phenotyped based on CD154 expression and intracellular TNF-α, IL-2 and IFN-γ expression following stimulation. Functional polysaccharide-specific IgG titres were measured using the serum bactericidal activity (SBA assay. Polysaccharide-specific Men C- but not Men Y- specific memory B cell frequencies pre-boost (12 months were significantly associated with post-boost (13 months SBA titres. Regression analysis showed no association between memory B cell frequencies post-priming (at 6 or 7 months and SBA at 12 months or 13 months. TT-specific CD4+ T cells were detected at frequencies between 0.001 and 0.112 as a percentage of CD3+ T cells, but their numbers were not associated with SBA titres. There were significant negative associations between SBA titres at M13 and cytokine expression at M7 and M12.Induction of persistent polysaccharide-specific memory B cells prior to boosting is an important determinant of secondary IgG responses in infants. However, polysaccharide-specific functional IgG responses appear to be independent of the number and quality of circulating carrier-specific CD4+ T cells after priming.

  13. B-cell activating factor detected on both naïve and memory B cells in bullous pemphigoid.

    Science.gov (United States)

    Qian, Hua; Kusuhara, Masahiro; Li, Xiaoguang; Tsuruta, Daisuke; Tsuchisaka, Atsunari; Ishii, Norito; Koga, Hiroshi; Hayakawa, Taihei; Ohara, Koji; Karashima, Tadashi; Ohyama, Bungo; Ohata, Chika; Furumura, Minao; Hashimoto, Takashi

    2014-08-01

    B-cell activating factor (BAFF), an important immune regulatory cytokine, is involved in development of autoimmune diseases. Although BAFF is expressed in various cells, including dendritic cells (DCs) and monocytes, BAFF expression on B cells has not been well documented. In the present study, BAFF molecules on DCs and naïve and memory B cells in autoimmune bullous diseases, including pemphigus vulgaris, pemphigus foliaceus and bullous pemphigoid (BP), were analysed by flow cytometry. Compared with healthy controls (HC), BAFF expression on naïve and memory B cells increased significantly in BP. No difference in BAFF receptor expression in naïve and memory B cells was shown among all study groups. Furthermore, BAFF expression in both naïve and memory B cells of BP, but not HC, was detected by confocal microscopic analysis. These results implied that BAFF expressed by B cells may play a pathogenic role in autoimmune bullous diseases, particularly BP. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  14. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    OpenAIRE

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.; Ashwell, Jonathan D.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cy...

  15. Working Memory for Linguistic and Non-linguistic Manual Gestures: Evidence, Theory, and Application

    Directory of Open Access Journals (Sweden)

    Mary Rudner

    2018-05-01

    Full Text Available Linguistic manual gestures are the basis of sign languages used by deaf individuals. Working memory and language processing are intimately connected and thus when language is gesture-based, it is important to understand related working memory mechanisms. This article reviews work on working memory for linguistic and non-linguistic manual gestures and discusses theoretical and applied implications. Empirical evidence shows that there are effects of load and stimulus degradation on working memory for manual gestures. These effects are similar to those found for working memory for speech-based language. Further, there are effects of pre-existing linguistic representation that are partially similar across language modalities. But above all, deaf signers score higher than hearing non-signers on an n-back task with sign-based stimuli, irrespective of their semantic and phonological content, but not with non-linguistic manual actions. This pattern may be partially explained by recent findings relating to cross-modal plasticity in deaf individuals. It suggests that in linguistic gesture-based working memory, semantic aspects may outweigh phonological aspects when processing takes place under challenging conditions. The close association between working memory and language development should be taken into account in understanding and alleviating the challenges faced by deaf children growing up with cochlear implants as well as other clinical populations.

  16. History and the future perspective of the ferroelectric memory; Kyoyudentai memory no rekishiteki haikei to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Tarui, Y [Waseda University, Tokyo (Japan)

    1998-10-01

    Development work is in progress on ferroelectric memory. The memory is a most suitable non-volatile memory which can be incorporated into IC cards, with its higher speed, lower voltage operation, smaller power consumption, and greater number of rewriting times than EEPROM, DRAM and SRAM. Taking as an opportunity the announcement on an experiment as performed by the authors to control semiconductor charge by using electric depolarization of ferroelectric materials, reports have been made one after another on experiments on thin metal films on TGS or BaTiO3, and experiments on semiconductor films formed on ferroelectric crystals or ceramics substrates by using vacuum deposition. In order to solve problems in ferroelectric materials, thin films of PZT and PLZT have emerged, whose good hysteresis characteristics have also been reported. Thereafter, an announcement was made on a material with bismuth layer like perovskite structure. The material is characterized with having very little film fatigue degradation after rewriting of about 10 {sup 12} times. In scaling a ferroelectric memory, if voltage is decreased in proportion with the size, the operation can be reduced proportionately according to the voltage reduction. This paper introduces a method to constitute a ferroelectric memory. 22 refs., 11 figs., 2 tabs.

  17. Single-cell atomic quantum memory for light

    International Nuclear Information System (INIS)

    Opatrny, Tomas

    2006-01-01

    Recent experiments demonstrating atomic quantum memory for light [B. Julsgaard et al., Nature 432, 482 (2004)] involve two macroscopic samples of atoms, each with opposite spin polarization. It is shown here that a single atomic cell is enough for the memory function if the atoms are optically pumped with suitable linearly polarized light, and quadratic Zeeman shift and/or ac Stark shift are used to manipulate rotations of the quadratures. This should enhance the performance of our quantum memory devices since less resources are needed and losses of light in crossing different media boundaries are avoided

  18. Human memory CD8 T cell effector potential is epigenetically preserved during in vivo homeostasis.

    Science.gov (United States)

    Abdelsamed, Hossam A; Moustaki, Ardiana; Fan, Yiping; Dogra, Pranay; Ghoneim, Hazem E; Zebley, Caitlin C; Triplett, Brandon M; Sekaly, Rafick-Pierre; Youngblood, Ben

    2017-06-05

    Antigen-independent homeostasis of memory CD8 T cells is vital for sustaining long-lived T cell-mediated immunity. In this study, we report that maintenance of human memory CD8 T cell effector potential during in vitro and in vivo homeostatic proliferation is coupled to preservation of acquired DNA methylation programs. Whole-genome bisulfite sequencing of primary human naive, short-lived effector memory (T EM ), and longer-lived central memory (T CM ) and stem cell memory (T SCM ) CD8 T cells identified effector molecules with demethylated promoters and poised for expression. Effector-loci demethylation was heritably preserved during IL-7- and IL-15-mediated in vitro cell proliferation. Conversely, cytokine-driven proliferation of T CM and T SCM memory cells resulted in phenotypic conversion into T EM cells and was coupled to increased methylation of the CCR7 and Tcf7 loci. Furthermore, haploidentical donor memory CD8 T cells undergoing in vivo proliferation in lymphodepleted recipients also maintained their effector-associated demethylated status but acquired T EM -associated programs. These data demonstrate that effector-associated epigenetic programs are preserved during cytokine-driven subset interconversion of human memory CD8 T cells. © 2017 Abdelsamed et al.

  19. A comparison of memory for homicide, non-homicidal violence, and positive life experiences.

    Science.gov (United States)

    Woodworth, Michael; Porter, Stephen; Ten Brinke, Leanne; Doucette, Naomi L; Peace, Kristine; Campbell, Mary Ann

    2009-01-01

    Defendants commonly claim amnesia for their criminal actions especially in cases involving extreme violence. While some claims are malingered or result from physiological factors, other cases may represent genuine partial or complete amnesia resulting from the psychological distress and/or extreme emotion associated with the perpetration of the crime. Fifty Canadian homicide offenders described their memories of their homicide, a non-homicide violent offense, and their most positive adulthood life experience. Self-reported and objective measures of memories for these events revealed that homicides were recalled with the greatest level of detail and sensory information. Although dissociative tendencies were associated with a self-reported memory loss, objective measures of memory quality did not reflect this perceived impairment, suggesting a failure of meta-memory. Recollections of positive life events were superior to those of non-homicidal violence, possibly due to greater impact and meaning attached to such experiences. Findings suggest that memory for homicide typically is enhanced by the powerful emotion associated with its perpetration.

  20. How Polycomb-Mediated Cell Memory Deals With a Changing Environment

    KAUST Repository

    Marasca, Federica

    2018-03-09

    Cells and tissues are continuously exposed to a changing microenvironment, hence the necessity of a flexible modulation of gene expression that in complex organism have been achieved through specialized chromatin mechanisms. Chromatin-based cell memory enables cells to maintain their identity by fixing lineage specific transcriptional programs, ensuring their faithful transmission through cell division; in particular PcG-based memory system evolved to maintain the silenced state of developmental and cell cycle genes. In evolution the complexity of this system have increased, particularly in vertebrates, indicating combinatorial and dynamic properties of Polycomb proteins, in some cases even overflowing outside the cell nucleus. Therefore, their function may not be limited to the imposition of rigid states of genetic programs, but on the ability to recognize signals and allow plastic transcriptional changes in response to different stimuli. Here, we discuss the most novel PcG mediated memory functions in facing and responding to the challenges posed by a fluctuating environment.

  1. How Polycomb-Mediated Cell Memory Deals With a Changing Environment

    KAUST Repository

    Marasca, Federica; Bodega, Beatrice; Orlando, Valerio

    2018-01-01

    Cells and tissues are continuously exposed to a changing microenvironment, hence the necessity of a flexible modulation of gene expression that in complex organism have been achieved through specialized chromatin mechanisms. Chromatin-based cell memory enables cells to maintain their identity by fixing lineage specific transcriptional programs, ensuring their faithful transmission through cell division; in particular PcG-based memory system evolved to maintain the silenced state of developmental and cell cycle genes. In evolution the complexity of this system have increased, particularly in vertebrates, indicating combinatorial and dynamic properties of Polycomb proteins, in some cases even overflowing outside the cell nucleus. Therefore, their function may not be limited to the imposition of rigid states of genetic programs, but on the ability to recognize signals and allow plastic transcriptional changes in response to different stimuli. Here, we discuss the most novel PcG mediated memory functions in facing and responding to the challenges posed by a fluctuating environment.

  2. Declarative and Non-declarative Memory Consolidation in Children with Sleep Disorder

    OpenAIRE

    Cs?bi, Eszter; Benedek, P?lma; Janacsek, Karolina; Zavecz, Zs?fia; Katona, G?bor; Nemeth, Dezso

    2016-01-01

    Healthy sleep is essential in children’s cognitive, behavioral, and emotional development. However, remarkably little is known about the influence of sleep disorders on different memory processes in childhood. Such data could give us a deeper insight into the effect of sleep on the developing brain and memory functions and how the relationship between sleep and memory changes from childhood to adulthood. In the present study we examined the effect of sleep disorder on declarative and non-decl...

  3. Antibody response against Betaferon® in immune tolerant mice: involvement of marginal zone B-cells and CD4+ T-cells and apparent lack of immunological memory.

    Science.gov (United States)

    Sauerborn, Melody; van Beers, Miranda M C; Jiskoot, Wim; Kijanka, Grzegorz M; Boon, Louis; Schellekens, Huub; Brinks, Vera

    2013-01-01

    The immunological processes underlying immunogenicity of recombinant human therapeutics are poorly understood. Using an immune tolerant mouse model we previously demonstrated that aggregates are a major trigger of the antidrug antibody (ADA) response against recombinant human interferon beta (rhIFNβ) products including Betaferon®, and that immunological memory seems to be lacking after a rechallenge with non-aggregated rhIFNβ. The apparent absence of immunological memory indicates a CD4+ T-cell independent (Tind) immune response underlying ADA formation against Betaferon®. This hypothesis was tested. Using the immune tolerant mouse model we first validated that rechallenge with highly aggregated rhIFNβ (Betaferon®) does not lead to a subsequent fast increase in ADA titers, suggesting a lack of immunological memory. Next we assessed whether Betaferon® could act as Tind antigen by inactivation of marginal zone (MZ) B-cells during treatment. MZ B-cells are major effector cells involved in a Tind immune response. In a following experiment we depleted the mice from CD4+ T-cells to test their involvement in the ADA response against Betaferon®. Inactivation of MZ B-cells at the start of Betaferon® treatment drastically lowered ADA levels, suggesting a Tind immune response. However, persistent depletion of CD4+ T-cells before and during Betaferon® treatment abolished the ADA response in almost all mice. The immune response against rhIFNβ in immune tolerant mice is neither a T-cell independent nor a classical T-cell dependent immune response. Further studies are needed to confirm absence of immunological memory (cells).

  4. Forced Ion Migration for Chalcogenide Phase Change Memory Device

    Science.gov (United States)

    Campbell, Kristy A (Inventor)

    2013-01-01

    Non-volatile memory devices with two stacked layers of chalcogenide materials comprising the active memory device have been investigated for their potential as phase-change memories. The devices tested included GeTe/SnTe, Ge2Se3/SnTe, and Ge2Se3/SnSe stacks. All devices exhibited resistance switching behavior. The polarity of the applied voltage with respect to the SnTe or SnSe layer was critical to the memory switching properties, due to the electric field induced movement of either Sn or Te into the Ge-chalcogenide layer. One embodiment of the invention is a device comprising a stack of chalcogenide-containing layers which exhibit phase-change switching only after a reverse polarity voltage potential is applied across the stack causing ion movement into an adjacent layer and thus "activating" the device to act as a phase-change random access memory device or a reconfigurable electronics device when the applied voltage potential is returned to the normal polarity. Another embodiment of the invention is a device that is capable of exhibiting more than two data states.

  5. Partial reconstitution of virus-specific memory CD8+ T cells following whole body γ-irradiation

    International Nuclear Information System (INIS)

    Grayson, Jason M.; Laniewski, Nathan G.; Holbrook, Beth C.

    2006-01-01

    CD8 + memory T cells are critical in providing immunity to viral infection. Previous studies documented that antigen-specific CD8 + memory T cells are more resistant to radiation-induced apoptosis than naive T cells. Here, we determined the number and in vivo function of memory CD8 + T cells as immune reconstitution progressed following irradiation. Immediately following irradiation, the number of memory CD8 + T cells declined 80%. As reconstitution progressed, the number of memory cells reached a zenith at 33% of pre-irradiation levels, and was maintained for 120 days post-irradiation. In vitro, memory CD8 + T cells were able to produce cytokines at all times post-irradiation, but when adoptively transferred, they were not able to expand upon rechallenge immediately following irradiation, but regained this ability as reconstitution progressed. When proliferation was examined in vitro, irradiated memory CD8 + T cells were able to respond to mitogenic growth but were unable to divide

  6. The cross-sectional association between severity of non-cognitive disability and self-reported worsening memory.

    Science.gov (United States)

    Cannell, M Brad; Bouldin, Erin D; Teigen, Kari; Akhtar, Wajiha Z; Andresen, Elena M

    2016-04-01

    Research has demonstrated a clear association between cognitive decline and non-cognitive disability; however, all of these studies focus on disability as a correlate or result of some level of cognitive impairment or dysfunction. The relationship between disability and cognition is likely a complex one, that is currently incompletely described in the literature. Our objective was to estimate the prevalence of long-term, non-cognitive disability using a population-representative sample of adults aged 18 and older, and then estimate the association between long-term, non-cognitive disability and self-reported worsening memory. Using the 2009 Florida Behavioral Risk Factor Surveillance System (BRFSS), we measured the relationship between non-cognitive disability and worsening memory using multivariable logistic regression analysis weighted to account for the complex sampling design of the BRFSS. We also estimated the adjusted odds of worsening memory by disability severity, classified according to the types of assistance needed. Approximately 18% (95% confidence interval = (16%, 19%)) of Floridians were living with a long-term, non-cognitive disability in 2009. Among adults with no disability during or prior to the last year, only 5% reported worsening memory. The proportion of Floridians reporting worsening memory increases with increasing severity of disability-related limitations. In a multivariable logistic regression model, odds of worsening memory increased significantly with severity of disability-related limitations. These results highlight the association between non-cognitive disability and subsequent increased odds of worsening memory, independent of several other known risk factors, and a dose-response association with disability-related limitations. Copyright © 2016 Elsevier Inc. All rights reserved.

  7. Phenotypic and Functional Alterations in Circulating Memory CD8 T Cells with Time after Primary Infection.

    Directory of Open Access Journals (Sweden)

    Matthew D Martin

    2015-10-01

    Full Text Available Memory CD8 T cells confer increased protection to immune hosts upon secondary viral, bacterial, and parasitic infections. The level of protection provided depends on the numbers, quality (functional ability, and location of memory CD8 T cells present at the time of infection. While primary memory CD8 T cells can be maintained for the life of the host, the full extent of phenotypic and functional changes that occur over time after initial antigen encounter remains poorly characterized. Here we show that critical properties of circulating primary memory CD8 T cells, including location, phenotype, cytokine production, maintenance, secondary proliferation, secondary memory generation potential, and mitochondrial function change with time after infection. Interestingly, phenotypic and functional alterations in the memory population are not due solely to shifts in the ratio of effector (CD62Llo and central memory (CD62Lhi cells, but also occur within defined CD62Lhi memory CD8 T cell subsets. CD62Lhi memory cells retain the ability to efficiently produce cytokines with time after infection. However, while it is was not formally tested whether changes in CD62Lhi memory CD8 T cells over time occur in a cell intrinsic manner or are due to selective death and/or survival, the gene expression profiles of CD62Lhi memory CD8 T cells change, phenotypic heterogeneity decreases, and mitochondrial function and proliferative capacity in either a lymphopenic environment or in response to antigen re-encounter increase with time. Importantly, and in accordance with their enhanced proliferative and metabolic capabilities, protection provided against chronic LCMV clone-13 infection increases over time for both circulating memory CD8 T cell populations and for CD62Lhi memory cells. Taken together, the data in this study reveal that memory CD8 T cells continue to change with time after infection and suggest that the outcome of vaccination strategies designed to elicit

  8. Cathode and ion-luminescence of Eu:ZnO thin films prepared by reactive magnetron sputtering and plasma decomposition of non-volatile precursors

    Energy Technology Data Exchange (ETDEWEB)

    Gil-Rostra, Jorge [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain); Ferrer, Francisco J. [Centro Nacional de Aceleradores, CSIC, Univ. Sevilla, Av. Thomas A. Edison 7, E-41092 Sevilla (Spain); Martín, Inocencio R. [Departamento de Física Fundamental y Experimental, Electrónica y Sistemas, U. La Laguna, C/Astrofísico Francisco Sánchez s/n, E-38206 La Laguna, Santa Cruz de Tenerife (Spain); González-Elipe, Agustín R.; Yubero, Francisco [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain)

    2016-10-15

    This paper reports the luminescent behavior of Eu:ZnO thin films prepared by an one-step procedure that combines reactive magnetron sputtering deposition of ZnO with the plasma activated decomposition of a non-volatile acetylacetonate precursor of Eu sublimated in an effusion cell. Chemical composition and microstructure of the Eu:ZnO thin films have been characterized by several methods and their photo-, cathode- and ion-luminescent properties studied as a function of Eu concentration. The high transparency and well controlled optical properties of the films have demonstrated to be ideal for the development of cathode- and ion- luminescence sensors.

  9. Visual memory in musicians and non-musicians.

    Science.gov (United States)

    Rodrigues, Ana Carolina; Loureiro, Maurício; Caramelli, Paulo

    2014-01-01

    Many investigations have reported structural, functional, and cognitive changes in the brains of musicians, which occur as a result of many years of musical practice. We aimed to investigate if intensive, long-term musical practice is associated with improved visual memory ability. Musicians and non-musicians, who were comparable in age, gender, and education, were submitted to a visual memory test. The test consisted of the presentation of four sets of stimuli, each one containing eight figures to be memorized. Each set was followed by individual figures and the subject was required to indicate if each figure was or was not present in the memorized set, by pressing the corresponding keys. We divided the test in two parts, in which the stimuli had greater or reduced semantic coding. Overall, musicians showed better performance on reaction times, but not on accuracy. An additional analysis revealed no significant interaction between group and any part of the test in the prediction of the outcomes. When simple reaction time was included as covariate, no significant difference between groups was found on reaction times. In the group of musicians, we found some significant correlations between variables related to musical practice and performance in the visual memory test. In summary, our data provide no evidence of enhanced visual memory ability in musicians, since there was no difference in accuracy between groups. Our results suggest that performance of musicians in the visual memory test may be associated with better sensorimotor integration, since although they have presented shorter reaction times, such effect disappeared when taken in consideration the simple reaction time test. However, given existing evidence of associations between simple reaction time and cognitive function, their performance in the visual memory test could also be related to enhanced visual attention ability, as has been suggested by previous studies, but this hypothesis deserves more

  10. Low interleukin-2 concentration favors generation of early memory T cells over effector phenotypes during chimeric antigen receptor T-cell expansion.

    Science.gov (United States)

    Kaartinen, Tanja; Luostarinen, Annu; Maliniemi, Pilvi; Keto, Joni; Arvas, Mikko; Belt, Heini; Koponen, Jonna; Loskog, Angelica; Mustjoki, Satu; Porkka, Kimmo; Ylä-Herttuala, Seppo; Korhonen, Matti

    2017-06-01

    Adoptive T-cell therapy offers new options for cancer treatment. Clinical results suggest that T-cell persistence, depending on T-cell memory, improves efficacy. The use of interleukin (IL)-2 for in vitro T-cell expansion is not straightforward because it drives effector T-cell differentiation but does not promote the formation of T-cell memory. We have developed a cost-effective expansion protocol for chimeric antigen receptor (CAR) T cells with an early memory phenotype. Lymphocytes were transduced with third-generation lentiviral vectors and expanded using CD3/CD28 microbeads. The effects of altering the IL-2 supplementation (0-300 IU/mL) and length of expansion (10-20 days) on the phenotype of the T-cell products were analyzed. High IL-2 levels led to a decrease in overall generation of early memory T cells by both decreasing central memory T cells and augmenting effectors. T memory stem cells (T SCM , CD95 + CD45RO - CD45RA + CD27 + ) were present variably during T-cell expansion. However, their presence was not IL-2 dependent but was linked to expansion kinetics. CD19-CAR T cells generated in these conditions displayed in vitro antileukemic activity. In summary, production of CAR T cells without any cytokine supplementation yielded the highest proportion of early memory T cells, provided a 10-fold cell expansion and the cells were functionally potent. The number of early memory T cells in a T-cell preparation can be increased by simply reducing the amount of IL-2 and limiting the length of T-cell expansion, providing cells with potentially higher in vivo performance. These findings are significant for robust and cost-effective T-cell manufacturing. Copyright © 2017 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  11. A novel whole-cell mechanism for long-term memory enhancement.

    Directory of Open Access Journals (Sweden)

    Iris Reuveni

    Full Text Available Olfactory-discrimination learning was shown to induce a profound long-lasting enhancement in the strength of excitatory and inhibitory synapses of pyramidal neurons in the piriform cortex. Notably, such enhancement was mostly pronounced in a sub-group of neurons, entailing about a quarter of the cell population. Here we first show that the prominent enhancement in the subset of cells is due to a process in which all excitatory synapses doubled their strength and that this increase was mediated by a single process in which the AMPA channel conductance was doubled. Moreover, using a neuronal-network model, we show how such a multiplicative whole-cell synaptic strengthening in a sub-group of cells that form a memory pattern, sub-serves a profound selective enhancement of this memory. Network modeling further predicts that synaptic inhibition should be modified by complex learning in a manner that much resembles synaptic excitation. Indeed, in a subset of neurons all GABAA-receptors mediated inhibitory synapses also doubled their strength after learning. Like synaptic excitation, Synaptic inhibition is also enhanced by two-fold increase of the single channel conductance. These findings suggest that crucial learning induces a multiplicative increase in strength of all excitatory and inhibitory synapses in a subset of cells, and that such an increase can serve as a long-term whole-cell mechanism to profoundly enhance an existing Hebbian-type memory. This mechanism does not act as synaptic plasticity mechanism that underlies memory formation but rather enhances the response of already existing memory. This mechanism is cell-specific rather than synapse-specific; it modifies the channel conductance rather than the number of channels and thus has the potential to be readily induced and un-induced by whole-cell transduction mechanisms.

  12. High-throughput gene expression profiling of memory differentiation in primary human T cells

    Directory of Open Access Journals (Sweden)

    Russell Kate

    2008-08-01

    Full Text Available Abstract Background The differentiation of naive T and B cells into memory lymphocytes is essential for immunity to pathogens. Therapeutic manipulation of this cellular differentiation program could improve vaccine efficacy and the in vitro expansion of memory cells. However, chemical screens to identify compounds that induce memory differentiation have been limited by 1 the lack of reporter-gene or functional assays that can distinguish naive and memory-phenotype T cells at high throughput and 2 a suitable cell-line representative of naive T cells. Results Here, we describe a method for gene-expression based screening that allows primary naive and memory-phenotype lymphocytes to be discriminated based on complex genes signatures corresponding to these differentiation states. We used ligation-mediated amplification and a fluorescent, bead-based detection system to quantify simultaneously 55 transcripts representing naive and memory-phenotype signatures in purified populations of human T cells. The use of a multi-gene panel allowed better resolution than any constituent single gene. The method was precise, correlated well with Affymetrix microarray data, and could be easily scaled up for high-throughput. Conclusion This method provides a generic solution for high-throughput differentiation screens in primary human T cells where no single-gene or functional assay is available. This screening platform will allow the identification of small molecules, genes or soluble factors that direct memory differentiation in naive human lymphocytes.

  13. A nanowire magnetic memory cell based on a periodic magnetic superlattice

    International Nuclear Information System (INIS)

    Song, J-F; Bird, J P; Ochiai, Y

    2005-01-01

    We analyse the operation of a semiconductor nanowire-based memory cell. Large changes in the nanowire conductance result when the magnetization of a periodic array of nanoscale magnetic gates, which comprise the other key component of the memory cell, is switched between distinct configurations by an external magnetic field. The resulting conductance change provides the basis for a robust memory effect, which can be implemented in a semiconductor structure compatible with conventional semiconductor integrated circuits

  14. Splenectomy Associated Changes in IgM Memory B Cells in an Adult Spleen Registry Cohort

    Science.gov (United States)

    Cameron, Paul U.; Jones, Penelope; Gorniak, Malgorzata; Dunster, Kate; Paul, Eldho; Lewin, Sharon; Woolley, Ian; Spelman, Denis

    2011-01-01

    Asplenic patients have a lifelong risk of overwhelming post-splenectomy infection and have been reported to have low numbers of peripheral blood IgM memory B cells. The clinical value of quantitation of memory B cells as an indicator of splenic abnormality or risk of infection has been unclear. To assess changes in B cell sub-populations after splenectomy we studied patients recruited to a spleen registry (n = 591). A subset of 209 adult asplenic or hyposplenic subjects, and normal controls (n = 140) were tested for IgM memory B cells. We also determined a) changes in IgM memory B cells with time after splenectomy using the cross-sectional data from patients on the registry and b) the kinetics of changes in haematological markers associated with splenectomy(n = 45). Total B cells in splenectomy patients did not differ from controls, but memory B cells, IgM memory B cells and switched B cells were significantly (psplenectomy. Changes of asplenia in routine blood films including presence of Howell-Jolly bodies (HJB), occurred early (median 25 days) and splenectomy associated thrombocytosis and lymphocytosis peaked by 50 days. There was a more gradual decrease in IgM memory B cells reaching a stable level within 6 months after splenectomy. IgM memory B cells as proportion of B cells was the best discriminator between splenectomized patients and normal controls and at the optimal cut-off of 4.53, showed a true positive rate of 95% and false positive rate of 20%. In a survey of 152 registry patients stratified by IgM memory B cells around this cut-off there was no association with minor infections and no registry patients experienced OPSI during the study. Despite significant changes after splenectomy, conventional measures of IgM memory cells have limited clinical utility in this population. PMID:21829713

  15. Unexpected uncertainty, volatility and decision-making

    Directory of Open Access Journals (Sweden)

    Amy Rachel Bland

    2012-06-01

    Full Text Available The study of uncertainty in decision making is receiving greater attention in the fields of cognitive and computational neuroscience. Several lines of evidence are beginning to elucidate different variants of uncertainty. Particularly, risk, ambiguity and expected and unexpected forms of uncertainty are well articulated in the literature. In this article we review both empirical and theoretical evidence arguing for the potential distinction between three forms of uncertainty; expected uncertainty, unexpected uncertainty and volatility. Particular attention will be devoted to exploring the distinction between unexpected uncertainty and volatility which has been less appreciated in the literature. This includes evidence from computational modelling, neuromodulation, neuroimaging and electrophysiological studies. We further address the possible differentiation of cognitive control mechanisms used to deal with these forms of uncertainty. Particularly we explore a role for conflict monitoring and the temporal integration of information into working memory. Finally, we explore whether the Dual Modes of Control theory provides a theoretical framework for understanding the distinction between unexpected uncertainty and volatility.

  16. Atypical and classical memory B cells produce Plasmodium falciparum neutralizing antibodies

    DEFF Research Database (Denmark)

    Muellenbeck, Matthias F; Ueberheide, Beatrix; Amulic, Borko

    2013-01-01

    signs of active antibody secretion. AtM and CM were also different in their IgG gene repertoire, suggesting that they develop from different precursors. The findings provide direct evidence that natural Pf infection leads to the development of protective memory B cell antibody responses and suggest......Antibodies can protect from Plasmodium falciparum (Pf) infection and clinical malaria disease. However, in the absence of constant reexposure, serum immunoglobulin (Ig) levels rapidly decline and full protection from clinical symptoms is lost, suggesting that B cell memory is functionally impaired...... that constant immune activation rather than impaired memory function leads to the accumulation of AtM in malaria. Understanding the memory B cell response to natural Pf infection may be key to the development of a malaria vaccine that induces long-lived protection....

  17. Memory and pressure studies in NaxCoO2 cobaltites

    International Nuclear Information System (INIS)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M; Regueiro, M Nunez; Lejay, P; Armand, M; Foo, M L; Cava, R J

    2009-01-01

    We present a detailed study on the memory effect results in Na 0.5 paragraph 5CoO 2 single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na + ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N a0.5 CoO 2 powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  18. Volatile element trends in gas-rich meteorites

    Energy Technology Data Exchange (ETDEWEB)

    Bart, G; Lipschutz, M E [Purdue Univ., Lafayette, IN (USA). Dept. of Chemistry

    1979-09-01

    Study of 10 volatile elements (and non-volatile Co) in co-existing light and dark portions of 5 gas-rich chondrites indicates patterns of distinct but non-uniform enrichment of volatile elements. Only Cs is enriched in all samples; Bi and Tl enrichments covary. The observed enrichments are inconsistent with prior suggestions of admixture of C1 or C2 chondritic matter, whether pristine or partly devolatilized, but suggest that both light and dark portions of each chondrite represents a compositionally more extended sampling of parental nebular material than hitherto known.

  19. Early appearance of germinal center–derived memory B cells and plasma cells in blood after primary immunization

    Science.gov (United States)

    Blink, Elizabeth J.; Light, Amanda; Kallies, Axel; Nutt, Stephen L.; Hodgkin, Philip D.; Tarlinton, David M.

    2005-01-01

    Immunization with a T cell–dependent antigen elicits production of specific memory B cells and antibody-secreting cells (ASCs). The kinetic and developmental relationships between these populations and the phenotypic forms they and their precursors may take remain unclear. Therefore, we examined the early stages of a primary immune response, focusing on the appearance of antigen-specific B cells in blood. Within 1 wk, antigen-specific B cells appear in the blood with either a memory phenotype or as immunoglobulin (Ig)G1 ASCs expressing blimp-1. The memory cells have mutated VH genes; respond to the chemokine CXCL13 but not CXCL12, suggesting recirculation to secondary lymphoid organs; uniformly express B220; show limited differentiation potential unless stimulated by antigen; and develop independently of blimp-1 expression. The antigen-specific IgG1 ASCs in blood show affinity maturation paralleling that of bone marrow ASCs, raising the possibility that this compartment is established directly by blood-borne ASCs. We find no evidence for a blimp-1–expressing preplasma memory compartment, suggesting germinal center output is restricted to ASCs and B220+ memory B cells, and this is sufficient to account for the process of affinity maturation. PMID:15710653

  20. Memory CD8 T cells mediate severe immunopathology following respiratory syncytial virus infection.

    Directory of Open Access Journals (Sweden)

    Megan E Schmidt

    2018-01-01

    Full Text Available Memory CD8 T cells can provide protection from re-infection by respiratory viruses such as influenza and SARS. However, the relative contribution of memory CD8 T cells in providing protection against respiratory syncytial virus (RSV infection is currently unclear. To address this knowledge gap, we utilized a prime-boost immunization approach to induce robust memory CD8 T cell responses in the absence of RSV-specific CD4 T cells and antibodies. Unexpectedly, RSV infection of mice with pre-existing CD8 T cell memory led to exacerbated weight loss, pulmonary disease, and lethal immunopathology. The exacerbated disease in immunized mice was not epitope-dependent and occurred despite a significant reduction in RSV viral titers. In addition, the lethal immunopathology was unique to the context of an RSV infection as mice were protected from a normally lethal challenge with a recombinant influenza virus expressing an RSV epitope. Memory CD8 T cells rapidly produced IFN-γ following RSV infection resulting in elevated protein levels in the lung and periphery. Neutralization of IFN-γ in the respiratory tract reduced morbidity and prevented mortality. These results demonstrate that in contrast to other respiratory viruses, RSV-specific memory CD8 T cells can induce lethal immunopathology despite mediating enhanced viral clearance.

  1. CellSs: Scheduling Techniques to Better Exploit Memory Hierarchy

    Directory of Open Access Journals (Sweden)

    Pieter Bellens

    2009-01-01

    Full Text Available Cell Superscalar's (CellSs main goal is to provide a simple, flexible and easy programming approach for the Cell Broadband Engine (Cell/B.E. that automatically exploits the inherent concurrency of the applications at a task level. The CellSs environment is based on a source-to-source compiler that translates annotated C or Fortran code and a runtime library tailored for the Cell/B.E. that takes care of the concurrent execution of the application. The first efforts for task scheduling in CellSs derived from very simple heuristics. This paper presents new scheduling techniques that have been developed for CellSs for the purpose of improving an application's performance. Additionally, the design of a new scheduling algorithm is detailed and the algorithm evaluated. The CellSs scheduler takes an extension of the memory hierarchy for Cell/B.E. into account, with a cache memory shared between the SPEs. All new scheduling practices have been evaluated showing better behavior of our system.

  2. Scientific developments of liquid crystal-based optical memory: a review

    Science.gov (United States)

    Prakash, Jai; Chandran, Achu; Biradar, Ashok M.

    2017-01-01

    The memory behavior in liquid crystals (LCs), although rarely observed, has made very significant headway over the past three decades since their discovery in nematic type LCs. It has gone from a mere scientific curiosity to application in variety of commodities. The memory element formed by numerous LCs have been protected by patents, and some commercialized, and used as compensation to non-volatile memory devices, and as memory in personal computers and digital cameras. They also have the low cost, large area, high speed, and high density memory needed for advanced computers and digital electronics. Short and long duration memory behavior for industrial applications have been obtained from several LC materials, and an LC memory with interesting features and applications has been demonstrated using numerous LCs. However, considerable challenges still exist in searching for highly efficient, stable, and long-lifespan materials and methods so that the development of useful memory devices is possible. This review focuses on the scientific and technological approach of fascinating applications of LC-based memory. We address the introduction, development status, novel design and engineering principles, and parameters of LC memory. We also address how the amalgamation of LCs could bring significant change/improvement in memory effects in the emerging field of nanotechnology, and the application of LC memory as the active component for futuristic and interesting memory devices.

  3. B Cells Negatively Regulate the Establishment of CD49b(+)T-bet(+) Resting Memory T Helper Cells in the Bone Marrow.

    Science.gov (United States)

    Hojyo, Shintaro; Sarkander, Jana; Männe, Christian; Mursell, Mathias; Hanazawa, Asami; Zimmel, David; Zhu, Jinfang; Paul, William E; Fillatreau, Simon; Löhning, Max; Radbruch, Andreas; Tokoyoda, Koji

    2016-01-01

    During an immune reaction, some antigen-experienced CD4 T cells relocate from secondary lymphoid organs (SLOs) to the bone marrow (BM) in a CD49b-dependent manner and reside and rest there as professional memory CD4 T cells. However, it remains unclear how the precursors of BM memory CD4 T cells are generated in the SLOs. While several studies have so far shown that B cell depletion reduces the persistence of memory CD4 T cells in the spleen, we here show that B cell depletion enhances the establishment of memory CD4 T cells in the BM and that B cell transfer conversely suppresses it. Interestingly, the number of antigen-experienced CD4 T cells in the BM synchronizes the number of CD49b(+)T-bet(+) antigen-experienced CD4 T cells in the spleen. CD49b(+)T-bet(+) antigen-experienced CD4 T cells preferentially localize in the red pulp area of the spleen and the BM in a T-bet-independent manner. We suggest that B cells negatively control the generation of CD49b(+)T-bet(+) precursors of resting memory CD4 T cells in the spleen and may play a role in bifurcation of activated effector and resting memory CD4 T cell lineages.

  4. Volatility persistence in crude oil markets

    International Nuclear Information System (INIS)

    Charles, Amélie; Darné, Olivier

    2014-01-01

    Financial market participants and policy-makers can benefit from a better understanding of how shocks can affect volatility over time. This study assesses the impact of structural changes and outliers on volatility persistence of three crude oil markets – Brent, West Texas Intermediate (WTI) and Organization of Petroleum Exporting Countries (OPEC) – between January 2, 1985 and June 17, 2011. We identify outliers using a new semi-parametric test based on conditional heteroscedasticity models. These large shocks can be associated with particular event patterns, such as the invasion of Kuwait by Iraq, the Operation Desert Storm, the Operation Desert Fox, and the Global Financial Crisis as well as OPEC announcements on production reduction or US announcements on crude inventories. We show that outliers can bias (i) the estimates of the parameters of the equation governing volatility dynamics; (ii) the regularity and non-negativity conditions of GARCH-type models (GARCH, IGARCH, FIGARCH and HYGARCH); and (iii) the detection of structural breaks in volatility, and thus the estimation of the persistence of the volatility. Therefore, taking into account the outliers on the volatility modelling process may improve the understanding of volatility in crude oil markets. - Highlights: • We study the impact of outliers on volatility persistence of crude oil markets. • We identify outliers and patches of outliers due to specific events. • We show that outliers can bias (i) the estimates of the parameters of GARCH models, (ii) the regularity and non-negativity conditions of GARCH-type models, (iii) the detection of structural breaks in volatility of crude oil markets

  5. 1Protein Energy Malnutrition Impairs Homeostatic Proliferation of Memory CD8 T cells

    Science.gov (United States)

    Iyer, Smita S.; Chatraw, Janel Hart; Tan, Wendy G.; Wherry, E. John; Becker, Todd C.; Ahmed, Rafi; Kapasi, Zoher F.

    2011-01-01

    Nutrition is a critical but poorly understood determinant of immunity. There is abundant epidemiological evidence linking protein malnutrition to impaired vaccine efficacy and increased susceptibility to infections; yet, the role of dietary protein in immune memory homeostasis remains poorly understood. Here we show that protein energy malnutrition (PEM) induced in mice by low-protein (LP) feeding has a detrimental impact on CD8 memory. Relative to adequate-protein (AP) fed controls, LP feeding in lymphocytic choriomeningitis virus (LCMV) immune mice resulted in a 2-fold decrease in LCMV-specific CD8 memory T cells. Adoptive transfer of memory cells, labeled with a division tracking dye, from AP mice into naive LP or AP mice demonstrated that PEM caused profound defects in homeostatic proliferation. Remarkably, this defect occurred despite the lymphopenic environment in LP hosts. While antigen-specific memory cells in LP and AP hosts were phenotypically similar, memory cells in LP hosts were markedly less-responsive to poly(I:C)-induced acute proliferative signals. Furthermore, upon recall, memory cells in LP hosts displayed reduced proliferation and protection from challenge with LCMV-clone 13 resulting in impaired viral clearance in the liver. The findings show a metabolic requirement of dietary protein in sustaining functional CD8 memory and suggest that interventions to optimize dietary protein intake may improve vaccine efficacy in malnourished individuals. PMID:22116826

  6. Non-monotonic relationships between emotional arousal and memory for color and location.

    Science.gov (United States)

    Boywitt, C Dennis

    2015-01-01

    Recent research points to the decreased diagnostic value of subjective retrieval experience for memory accuracy for emotional stimuli. While for neutral stimuli rich recollective experiences are associated with better context memory than merely familiar memories this association appears questionable for emotional stimuli. The present research tested the implicit assumption that the effect of emotional arousal on memory is monotonic, that is, steadily increasing (or decreasing) with increasing arousal. In two experiments emotional arousal was manipulated in three steps using emotional pictures and subjective retrieval experience as well as context memory were assessed. The results show an inverted U-shape relationship between arousal and recognition memory but for context memory and retrieval experience the relationship was more complex. For frame colour, context memory decreased linearly while for spatial location it followed the inverted U-shape function. The complex, non-monotonic relationships between arousal and memory are discussed as possible explanations for earlier divergent findings.

  7. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso; Khan, M. A.; Alshareef, Husam N.

    2014-01-01

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  8. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2014-06-10

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  9. Providing a Foundation for Analysis of Volatile Data Stores

    Directory of Open Access Journals (Sweden)

    Timothy Vidas

    2007-09-01

    Full Text Available Current threats against typical computer systems demonstrate a need for forensic analysis of memory-resident data in addition to the conventional static analysis common today.  Certain attacks and types of malware exist solely in memory and leave little or no evidentiary information on nonvolatile stores such as a hard disk drive.  The desire to preserve system state at the time of response may even warrant memory acquisition independent of perceived threats and the ability to analyze the acquired duplicate. Tools capable of duplicating various types of volatile data stores are becoming widely available.  Once the data store has been duplicated, current forensic procedures have no method for extrapolating further useful information from the duplicate.  This paper is focused on providing the groundwork for performing forensic investigations on the data that is typically stored in a volatile data store, such as system RAM.It is intended that, when combined with good acquisition techniques, it will be shown that it is possible to obtain more post incident response information along with less impact to potential evidence when compared to typical incident response procedures. 

  10. Non-Volatile Ferroelectric Switching of Ferromagnetic Resonance in NiFe/PLZT Multiferroic Thin Film Heterostructures (Postprint)

    Science.gov (United States)

    2016-09-01

    deformation potentially leads to fatigue and fracture over time. Moreover, we show that by simply applying voltage pulses, a robust, non-volatile...polarization such as PZT , BiFeO3, or doped HfO2. Our results thus provide a pathway towards ferroelectric switching of magnetism that could be useful for

  11. Altered T cell memory and effector cell development in chronic lymphatic filarial infection that is independent of persistent parasite antigen.

    Directory of Open Access Journals (Sweden)

    Cathy Steel

    2011-04-01

    Full Text Available Chronic lymphatic filarial (LF infection is associated with suppression of parasite-specific T cell responses that persist even following elimination of infection. While several mechanisms have been implicated in mediating this T cell specific downregulation, a role for alterations in the homeostasis of T effector and memory cell populations has not been explored. Using multiparameter flow cytometry, we investigated the role of persistent filarial infection on the maintenance of T cell memory in patients from the filarial-endemic Cook Islands. Compared to filarial-uninfected endemic normals (EN, microfilaria (mf positive infected patients (Inf had a reduced CD4 central memory (T(CM compartment. In addition, Inf patients tended to have more effector memory cells (T(EM and fewer effector cells (T(EFF than did ENs giving significantly smaller T(EFF:T(EM ratios. These contracted T(CM and T(EFF populations were still evident in patients previously mf+ who had cleared their infection (CLInf. Moreover, the density of IL-7Rα, necessary for T memory cell maintenance (but decreased in T effector cells, was significantly higher on memory cells of Inf and CLInf patients, although there was no evidence for decreased IL-7 or increased soluble IL7-Rα, both possible mechanisms for signaling defects in memory cells. However, effector cells that were present in Inf and CLInf patients had lower percentages of HLA-DR suggesting impaired function. These changes in T cell populations appear to reflect chronicity of infection, as filarial-infected children, despite the presence of active infection, did not show alterations in the frequencies of these T cell phenotypes. These data indicate that filarial-infected patients have contracted T(CM compartments and a defect in effector cell development, defects that persist even following clearance of infection. The fact that these global changes in memory and effector cell compartments do not yet occur in infected children

  12. Modeling and forecasting petroleum futures volatility

    International Nuclear Information System (INIS)

    Sadorsky, Perry

    2006-01-01

    Forecasts of oil price volatility are important inputs into macroeconometric models, financial market risk assessment calculations like value at risk, and option pricing formulas for futures contracts. This paper uses several different univariate and multivariate statistical models to estimate forecasts of daily volatility in petroleum futures price returns. The out-of-sample forecasts are evaluated using forecast accuracy tests and market timing tests. The TGARCH model fits well for heating oil and natural gas volatility and the GARCH model fits well for crude oil and unleaded gasoline volatility. Simple moving average models seem to fit well in some cases provided the correct order is chosen. Despite the increased complexity, models like state space, vector autoregression and bivariate GARCH do not perform as well as the single equation GARCH model. Most models out perform a random walk and there is evidence of market timing. Parametric and non-parametric value at risk measures are calculated and compared. Non-parametric models outperform the parametric models in terms of number of exceedences in backtests. These results are useful for anyone needing forecasts of petroleum futures volatility. (author)

  13. Effect of memory CD4+ T cells' signal transducer and activator of transcription (STATs) functional shift on cytokine-releasing properties in asthma.

    Science.gov (United States)

    Chen, Zhihong; Pan, Jue; Jia, Yi; Li, Dandan; Min, Zhihui; Su, Xiaoqiong; Yuan, Honglei; Shen, Geng; Cao, Shengxuan; Zhu, Lei; Wang, Xiangdong

    2017-02-01

    Recent data have demonstrated that long-lived memory T cells are present in the human lung and can play significant roles in the pathogenesis of specific allergic and autoimmune diseases. However, most evidence has been obtained from mouse studies, and the potential roles of memory T cells in human allergic diseases, such as asthma, remain largely unknown. Thirty-three asthmatics, 26 chronic obstructive pulmonary disease (COPD) patients, and 22 healthy volunteers were enrolled in this study. Peripheral blood mononuclear cells (PBMCs) were isolated from the peripheral blood, and cell surface staining (CD4, CD45RO, CRTH2, CD62L, and CCR7) was performed for the detection of memory CD4 + T cells in blood. After stimulation with interleukin-27 (IL-27) or IL-4 for 15 min, the STAT1/STAT6 phosphorylation of memory CD4 + T cells was measured separately by flow cytometric techniques. The cytokine-releasing profiles after 6 days of culture under neutralization, T H 2, T H 2 + lipopolysaccharide (LPS), and T H 2 + house dust mite (HDM) conditions were detected by intracellular protein (IL-5, IL-17, and interferon (IFN)-γ) staining. Correlation analyses between the profile of memory CD4 + T cells and clinical characteristics of asthma were performed. The number of circulating memory CD4 + T (CD4 + Tm) cells in asthmatics was increased compared with that in the healthy subjects (48 ± 5.7 % vs. 32 ± 4.1 %, p T H 2 memory cells but not non-T H 2 memory cells in blood. The cytokine-releasing profiles of asthmatics was unique, specifically IL-5 high , IL-17 high , and IFN-r low , compared with those of COPD patients and healthy subjects. The IL-17 production levels in CD4 + Tm cells are associated with disease severity and positively correlated with medication consumption in asthma. The long-lived, antigen-specific memory CD4 + T cells, rather than PBMCs or peripheral lymphocytes, might be the ideal T cell subset candidates for analyzing the endotype of asthma

  14. Non-Hebbian learning implementation in light-controlled resistive memory devices.

    Science.gov (United States)

    Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E

    2012-01-01

    Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.

  15. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.

    2017-03-14

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance of RRAM is material-dependent, and therefore the materials used must be chosen carefully in order to avoid instabilities and performance degradation caused by the detrimental effects arising from environmental gases and ionizing radiation. In this work, we demonstrate that AlN-based RRAM displays excellent performance and environmental stability, with no significant degradation to the resistance ratio over a 100-cycle endurance test. Moreover, transparent RRAM (TRRAM) based on AlN also performs reliably under four different harsh environmental conditions and 2 MeV proton irradiation fluences, ranging from 1011 to 1015 cm-2. These findings not only provide a guideline for TRRAM design, but also demonstrate the promising applicability of AlN TRRAM for future transparent harsh electronics.

  16. Transparent meta-analysis: does aging spare prospective memory with focal vs. non-focal cues?

    Directory of Open Access Journals (Sweden)

    Bob Uttl

    Full Text Available BACKGROUND: Prospective memory (ProM is the ability to become aware of a previously-formed plan at the right time and place. For over twenty years, researchers have been debating whether prospective memory declines with aging or whether it is spared by aging and, most recently, whether aging spares prospective memory with focal vs. non-focal cues. Two recent meta-analyses examining these claims did not include all relevant studies and ignored prevalent ceiling effects, age confounds, and did not distinguish between prospective memory subdomains (e.g., ProM proper, vigilance, habitual ProM (see Uttl, 2008, PLoS ONE. The present meta-analysis focuses on the following questions: Does prospective memory decline with aging? Does prospective memory with focal vs. non-focal cues decline with aging? Does the size of age-related declines with focal vs. non-focal cues vary across ProM subdomains? And are age-related declines in ProM smaller than age-related declines in retrospective memory? METHODS AND FINDINGS: A meta-analysis of event-cued ProM using data visualization and modeling, robust count methods, and conventional meta-analysis techniques revealed that first, the size of age-related declines in ProM with both focal and non-focal cues are large. Second, age-related declines in ProM with focal cues are larger in ProM proper and smaller in vigilance. Third, age-related declines in ProM proper with focal cues are as large as age-related declines in recall measures of retrospective memory. CONCLUSIONS: The results are consistent with Craik's (1983 proposal that age-related declines on ProM tasks are generally large, support the distinction between ProM proper vs. vigilance, and directly contradict widespread claims that ProM, with or without focal cues, is spared by aging.

  17. Splenectomy associated changes in IgM memory B cells in an adult spleen registry cohort.

    Directory of Open Access Journals (Sweden)

    Paul U Cameron

    Full Text Available Asplenic patients have a lifelong risk of overwhelming post-splenectomy infection and have been reported to have low numbers of peripheral blood IgM memory B cells. The clinical value of quantitation of memory B cells as an indicator of splenic abnormality or risk of infection has been unclear. To assess changes in B cell sub-populations after splenectomy we studied patients recruited to a spleen registry (n = 591. A subset of 209 adult asplenic or hyposplenic subjects, and normal controls (n = 140 were tested for IgM memory B cells. We also determined a changes in IgM memory B cells with time after splenectomy using the cross-sectional data from patients on the registry and b the kinetics of changes in haematological markers associated with splenectomy(n = 45. Total B cells in splenectomy patients did not differ from controls, but memory B cells, IgM memory B cells and switched B cells were significantly (p<0.001 reduced. The reduction was similar for different indications for splenectomy. Changes of asplenia in routine blood films including presence of Howell-Jolly bodies (HJB, occurred early (median 25 days and splenectomy associated thrombocytosis and lymphocytosis peaked by 50 days. There was a more gradual decrease in IgM memory B cells reaching a stable level within 6 months after splenectomy. IgM memory B cells as proportion of B cells was the best discriminator between splenectomized patients and normal controls and at the optimal cut-off of 4.53, showed a true positive rate of 95% and false positive rate of 20%. In a survey of 152 registry patients stratified by IgM memory B cells around this cut-off there was no association with minor infections and no registry patients experienced OPSI during the study. Despite significant changes after splenectomy, conventional measures of IgM memory cells have limited clinical utility in this population.

  18. Surface directed phase separation of semiconductor ferroelectric polymer blends and their use in non-volatile memories

    NARCIS (Netherlands)

    Breemen, A.J.J.M. van; Zaba, T.; Khikhlovskyi, V.; Michels, J.; Janssen, R.; Kemerink, M.; Gelinck, G.

    2015-01-01

    The polymer phase separation of P(VDF-TrFE):F8BT blends is studied in detail. Its morphology is key to the operation and performance of memory diodes. In this study, it is demonstrated that it is possible to direct the semiconducting domains of a phase-separating mixture of P(VDF-TrFE) and F8BT in a

  19. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    International Nuclear Information System (INIS)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Li, Qiang; Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan; Yao, Jianjun; Guo, Dong

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality

  20. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Huajing [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Department of Chemistry, Tsinghua University, Beijing 100084 (China); Yan, Qingfeng, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk; Geng, Chong; Li, Qiang [Department of Chemistry, Tsinghua University, Beijing 100084 (China); Chan, Ngai Yui; Au, Kit; Ng, Sheung Mei; Leung, Chi Wah; Wa Chan, Helen Lai; Dai, Jiyan, E-mail: yanqf@mail.tsinghua.edu.cn, E-mail: jiyan.dai@polyu.edu.hk [Department of Applied Physics, The Hong Kong Polytechnic University (PolyU) Hunghom, Kowloon (Hong Kong); Yao, Jianjun [Asylum Research, Oxford Instruments, Shanghai 200233 (China); Guo, Dong [Institute of Acoustics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-01-07

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride–trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ∼62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  1. Facile fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) nanodot arrays for organic ferroelectric memory

    Science.gov (United States)

    Fang, Huajing; Yan, Qingfeng; Geng, Chong; Chan, Ngai Yui; Au, Kit; Yao, Jianjun; Ng, Sheung Mei; Leung, Chi Wah; Li, Qiang; Guo, Dong; Wa Chan, Helen Lai; Dai, Jiyan

    2016-01-01

    Nano-patterned ferroelectric materials have attracted significant attention as the presence of two or more thermodynamically equivalent switchable polarization states can be employed in many applications such as non-volatile memory. In this work, a simple and effective approach for fabrication of highly ordered poly(vinylidene fluoride-trifluoroethylene) P(VDF-TrFE) nanodot arrays is demonstrated. By using a soft polydimethylsiloxane mold, we successfully transferred the 2D array pattern from the initial monolayer of colloidal polystyrene nanospheres to the imprinted P(VDF-TrFE) films via nanoimprinting. The existence of a preferred orientation of the copolymer chain after nanoimprinting was confirmed by Fourier transform infrared spectra. Local polarization switching behavior was measured by piezoresponse force microscopy, and each nanodot showed well-formed hysteresis curve and butterfly loop with a coercive field of ˜62.5 MV/m. To illustrate the potential application of these ordered P(VDF-TrFE) nanodot arrays, the writing and reading process as non-volatile memory was demonstrated at a relatively low voltage. As such, our results offer a facile and promising route to produce arrays of ferroelectric polymer nanodots with improved piezoelectric functionality.

  2. B cells negatively regulate the establishment of CD49b+T-bet+ resting memory T helper cells in the bone marrow

    Directory of Open Access Journals (Sweden)

    Shintaro eHojyo

    2016-02-01

    Full Text Available During an immune reaction, some antigen-experienced CD4 T cells relocate from secondary lymphoid organs (SLOs to the bone marrow (BM in a CD49b-dependent manner and reside and rest there as professional memory CD4 T cells. However, it remains unclear how the precursors of BM memory CD4 T cells are generated in the SLOs. While several studies have so far shown that B cell depletion reduces the persistence of memory CD4 T cells in the spleen, we here show that B cell depletion enhances the establishment of memory CD4 T cells in the BM and that B cell transfer conversely suppresses it. Interestingly, the number of antigen-experienced CD4 T cells in the BM synchronizes the number of CD49b+T-bet+ antigen-experienced CD4 T cells in the spleen. CD49b+T-bet+ antigen-experienced CD4 T cells preferentially localize in the red pulp area of the spleen and the BM in a T-bet-independent manner. We suggest that B cells negatively control the generation of CD49b+T-bet+ precursors of resting memory CD4 T cells in the spleen and may play a role in bifurcation of activated effector and resting memory CD4 T cell lineages.

  3. Air-stable memory array of bistable rectifying diodes based on ferroelectric-semiconductor polymer blends

    Science.gov (United States)

    Kumar, Manasvi; Sharifi Dehsari, Hamed; Anwar, Saleem; Asadi, Kamal

    2018-03-01

    Organic bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers have emerged as promising candidates for non-volatile information storage for low-cost solution processable electronics. One of the bottlenecks impeding upscaling is stability and reliable operation of the array in air. Here, we present a memory array fabricated with an air-stable amine-based semiconducting polymer. Memory diode fabrication and full electrical characterizations were carried out in atmospheric conditions (23 °C and 45% relative humidity). The memory diodes showed on/off ratios greater than 100 and further exhibited robust and stable performance upon continuous write-read-erase-read cycles. Moreover, we demonstrate a 4-bit memory array that is free from cross-talk with a shelf-life of several months. Demonstration of the stability and reliable air operation further strengthens the feasibility of the resistance switching in ferroelectric memory diodes for low-cost applications.

  4. Odor volatiles associated with microflora in damp ventilated and non-ventilated bin-stored bulk wheat.

    Science.gov (United States)

    Tuma, D; Sinha, R N; Muir, W E; Abramson, D

    1989-05-01

    Western hard red spring wheat, stored at 20 and 25% moisture contents for 10 months during 1985-86, was monitored for biotic and abiotic variables in 10 unheated bins in Winnipeg, Manitoba. The major odor volatiles identified were 3-methyl-1-butanol, 3-octanone and 1-octen-3-ol. The production of these volatiles was associated and correlated with microfloral infection. Ventilation, used for cooling and drying of grain, disrupted microfloral growth patterns and production of volatiles. The highest levels of 3-methyl-1-butanol occurred in 25% moisture content wheat infected with bacteria, Penicillium spp. and Fusarium spp. In non-ventilated (control) bins with 20% moisture content wheat, 3-methyl-1-butanol was correlated with infection by members of the Aspergillus glaucus group and bacteria. In control bins, 1-octen-3-ol production was correlated with infection of wheat of both moisture contents by Penicillium spp. The fungal species, isolated from damp bin-stored wheat and tested for production of odor volatiles on wheat substrate, included Alternaria alternata (Fr.) Keissler, Aspergillus repens (Corda) Saccardo, A. flavus Link ex Fries, A. versicolor (Vuill.) Tiraboschi, Penicillium chrysogenum Thom, P. cyclopium Westling, Fusarium moniliforme Sheldon, F. semitectum (Cooke) Sacc. In the laboratory, fungus-inoculated wheat produced 3-methyl-1-butanol; 3-octanone and 1-octen-3-ol were also produced, but less frequently. Two unidentified bacterial species isolated from damp wheat and inoculated on agar produced 3-methyl-1-butanol.

  5. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells.

    Science.gov (United States)

    Hurton, Lenka V; Singh, Harjeet; Najjar, Amer M; Switzer, Kirsten C; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A; Champlin, Richard E; Cooper, Laurence J N

    2016-11-29

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (T SCM ) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR + T cells with preserved T SCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19 + leukemia. Long-lived T cells were CD45RO neg CCR7 + CD95 + , phenotypically most similar to T SCM , and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR + T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials.

  6. Investigations concerning the exchange of iodine from non-volatile organic iodine compounds

    International Nuclear Information System (INIS)

    Psarros, N.; Duschner, H.; Molzahn, D.; Schmidt, L.; Heise, S.; Jungclas, H.; Brandt, R.; Patzelt, P.

    1990-10-01

    The iodine produced by nuclear fission is removed during the reprocessing of exhausted nuclear fuel elements by desorption achieving good decontamination factors. Nevertheless the further optimization of the process requires detailed information about the iodine speciation during fuel reprocessing, and about possible reactions. For the study of decomposition reactions of iodo-alcanes, which are built up during the fuel recycling process, we developed a method for the synthesis of labelled iodo-dodecane, which was used as tracer. In order to identify the iodo species in the organic phase of the reprocessing cycle we applied plasma desorption time-of-flight mass spectroscopy. The problem of the volatility of the iodo-compounds in the ultra vacuum of the mass spectrometer was overcome by derivatization of the iodo-alcanes with dithizon, which yielded non-volatile ionic alcyltetrazolium iodides. Beta-spectrometric analysis of the exhaust condensates collected from the organic phase of the WAK reprocessing cycle revealed beside iodine-129 the existence of a low-energetic beta emitter, which has yet to be identified. A literature survey on the topic was also performed. (orig.) With 42 refs., 9 figs [de

  7. Development and Implementation of Biological Circuits Using Excitable and Non-Excitable Cells

    Energy Technology Data Exchange (ETDEWEB)

    Casasnovas-Orus, V.; Gomez-Cid, L.; Hernandez-Romero, I.; Fuentes, L.; Guillem, M.S.; Atienza, F.; Fernandez-Aviles, F.; Climent, A.M.

    2016-07-01

    Compared to conventional computation systems, living beings require reduced power and raw materials consumption, inviting to explore the concept of biological circuits. In this project, a proof-of-concept of logical biocircuits using cell patterns has been developed. These were based upon differential ionic communication between cells, being the cells types used excitable and non-excitable, modeled by cardiomyocytes and fibroblasts correspondingly. To begin, patterns for the basic logic computation blocks were designed, including the OR gate, AND gate and logic memory. The designs were evaluated with mathematical models and in vitro experiments. Results of mathematical modeling indicated that theoretical approval of the biocircuit function. Regarding in vitro biocircuit implementation, three different selective cell localization techniques proved useful for the pattern creation. Evaluation with optical mapping confirmed the operation of the OR gate and logic memory. More resolution in the cell placement strategy will be needed to observe the proper AND gate operation. Thus, fine-tuning of the implementation process will enable the construction of more complex biocircuits that will take on clinical applications relating to electric stimulation of tissues and programmed drug delivery. (Author)

  8. Specifically activated memory T cell subsets from cancer patients recognize and reject xenotransplanted autologous tumors

    Science.gov (United States)

    Beckhove, Philipp; Feuerer, Markus; Dolenc, Mathias; Schuetz, Florian; Choi, Carmen; Sommerfeldt, Nora; Schwendemann, Jochen; Ehlert, Katrin; Altevogt, Peter; Bastert, Gunther; Schirrmacher, Volker; Umansky, Viktor

    2004-01-01

    Bone marrow of breast cancer patients was found to contain CD8+ T cells specific for peptides derived from breast cancer–associated proteins MUC1 and Her-2/neu. Most of these cells had a central or effector memory phenotype (CD45RA–CD62L+ or CD45RA–CD62L–, respectively). To test their in vivo function, we separated bone marrow–derived CD45RA+ naive or CD45RA–CD45RO+ memory T cells, stimulated them with autologous dendritic cells pulsed with tumor lysate, and transferred them into NOD/SCID mice bearing autologous breast tumors and normal skin transplants. CD45RA– memory but not CD45RA+ naive T cells infiltrated autologous tumor but not skin tissues after the transfer. These tumor-infiltrating cells had a central or effector memory phenotype and produced perforin. Many of them expressed the P-selectin glycoprotein ligand 1 and were found around P-selectin+ tumor endothelium. Tumor infiltration included cluster formation in tumor tissue by memory T cells with cotransferred dendritic cells. It was associated with the induction of tumor cell apoptosis and significant tumor reduction. We thus demonstrate selective homing of memory T cells to human tumors and suggest that tumor rejection is based on the recognition of tumor-associated antigens on tumor cells and dendritic cells by autologous specifically activated central and effector memory T cells. PMID:15232613

  9. Modeling and Forecasting S&P 500 Volatility: Long Memory, Structural Breaks and Nonlinearity

    NARCIS (Netherlands)

    M.P.E. Martens (Martin); D.J.C. van Dijk (Dick); M.D. de Pooter (Michiel)

    2004-01-01

    textabstractThe sum of squared intraday returns provides an unbiased and almost error-free measure of ex-post volatility. In this paper we develop a nonlinear Autoregressive Fractionally Integrated Moving Average (ARFIMA) model for realized volatility, which accommodates level shifts,

  10. Analysis of Non-Volatile Chemical Constituents of Menthae Haplocalycis Herba by Ultra-High Performance Liquid Chromatography-High Resolution Mass Spectrometry

    Directory of Open Access Journals (Sweden)

    Lu-Lu Xu

    2017-10-01

    Full Text Available Menthae Haplocalycis herba, one kind of Chinese edible herbs, has been widely utilized for the clinical use in China for thousands of years. Over the last decades, studies on chemical constituents of Menthae Haplocalycis herba have been widely performed. However, less attention has been paid to non-volatile components which are also responsible for its medical efficacy than the volatile constituents. Therefore, a rapid and sensitive method was developed for the comprehensive identification of the non-volatile constituents in Menthae Haplocalycis herba using ultra-high performance liquid chromatography coupled with linear ion trap-Orbitrap mass spectrometry (UHPLC-LTQ-Orbitrap. Separation was performed with Acquity UPLC® BEH C18 column (2.1 mm × 100 mm, 1.7 μm with 0.2% formic acid aqueous solution and acetonitrile as the mobile phase under gradient conditions. Based on the accurate mass measurement (<5 ppm, MS/MS fragmentation patterns and different chromatographic behaviors, a total of 64 compounds were unambiguously or tentatively characterized, including 30 flavonoids, 20 phenolic acids, 12 terpenoids and two phenylpropanoids. Finally, target isolation of three compounds named Acacetin, Rosmarinic acid and Clemastanin A (first isolated from Menthae Haplocalycis herba were performed based on the obtained results, which further confirmed the deduction of fragmentation patterns and identified the compounds profile in Menthae Haplocalycis herba. Our research firstly systematically elucidated the non-volatile components of Menthae Haplocalycis herba, which laid the foundation for further pharmacological and metabolic studies. Meanwhile, our established method was useful and efficient to screen and identify targeted constituents from traditional Chinese medicine extracts.

  11. Exposure to Anacardiaceae Volatile Oils and Their Constituents Induces Lipid Peroxidation within Food-Borne Bacteria Cells

    Directory of Open Access Journals (Sweden)

    Ricardo M. Montanari

    2012-08-01

    Full Text Available The chemical composition of the volatile oils from five Anacardiaceae species and their activities against Gram positive and negative bacteria were assessed. The peroxidative damage within bacterial cell membranes was determined through the breakdown product malondialdehyde (MDA. The major constituents in Anacardium humile leaves oil were (E-caryophyllene (31.0% and α-pinene (22.0%, and in Anacardium occidentale oil they were (E-caryophyllene (15.4% and germacrene-D (11.5%. Volatile oil from Astronium fraxinifolium leaves were dominated by (E-β-ocimene (44.1% and α-terpinolene (15.2%, whilst the oil from Myracrodruon urundeuva contained an abundance of δ-3-carene (78.8%. However, Schinus terebinthifolius leaves oil collected in March and July presented different chemical compositions. The oils from all species, except the one from A. occidentale, exhibited varying levels of antibacterial activity against Staphylococcus aureus, Bacillus cereus and Escherichia coli. Oil extracted in July from S. terebinthifolius was more active against all bacterial strains than the corresponding oil extracted in March. The high antibacterial activity of the M. urundeuva oil could be ascribed to its high δ-3-carene content. The amounts of MDA generated within bacterial cells indicate that the volatile oils induce lipid peroxidation. The results suggest that one putative mechanism of antibacterial action of these volatile oils is pro-oxidant damage within bacterial cell membrane explaining in part their preservative properties.

  12. Mean-Variance portfolio optimization by using non constant mean and volatility based on the negative exponential utility function

    Science.gov (United States)

    Soeryana, Endang; Halim, Nurfadhlina Bt Abdul; Sukono, Rusyaman, Endang; Supian, Sudradjat

    2017-03-01

    Investments in stocks investors are also faced with the issue of risk, due to daily price of stock also fluctuate. For minimize the level of risk, investors usually forming an investment portfolio. Establishment of a portfolio consisting of several stocks are intended to get the optimal composition of the investment portfolio. This paper discussed about optimizing investment portfolio of Mean-Variance to stocks by using mean and volatility is not constant based on the Negative Exponential Utility Function. Non constant mean analyzed using models Autoregressive Moving Average (ARMA), while non constant volatility models are analyzed using the Generalized Autoregressive Conditional heteroscedastic (GARCH). Optimization process is performed by using the Lagrangian multiplier technique. As a numerical illustration, the method is used to analyze some stocks in Indonesia. The expected result is to get the proportion of investment in each stock analyzed

  13. Freeze-thaw lysates of Plasmodium falciparum-infected red blood cells induce differentiation of functionally competent regulatory T cells from memory T cells.

    Science.gov (United States)

    Finney, Olivia C; Lawrence, Emma; Gray, Alice P; Njie, Madi; Riley, Eleanor M; Walther, Michael

    2012-07-01

    In addition to naturally occurring regulatory T (nTreg) cells derived from the thymus, functionally competent Treg cells can be induced in vitro from peripheral blood lymphocytes in response to TCR stimulation with cytokine costimulation. Using these artificial stimulation conditions, both naïve as well as memory CD4(+) T cells can be converted into induced Treg (iTreg) cells, but the cellular origin of such iTreg cells in vivo or in response to more physiologic stimulation with pathogen-derived antigens is less clear. Here, we demonstrate that a freeze/thaw lysate of Plasmodium falciparum schizont extract (PfSE) can induce functionally competent Treg cells from peripheral lymphocytes in a time- and dose-dependent manner without the addition of exogenous costimulatory factors. The PfSE-mediated induction of Treg cells required the presence of nTreg cells in the starting culture. Further experiments mixing either memory or naïve T cells with antigen presenting cells and CFSE-labeled Treg cells identified CD4(+) CD45RO(+) CD25(-) memory T cells rather than Treg cells as the primary source of PfSE-induced Treg cells. Taken together, these data suggest that in the presence of nTreg cells, PfSE induces memory T cells to convert into iTreg cells that subsequently expand alongside PfSE-induced effector T cells. © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Attrition of memory CD8 T cells during sepsis requires LFA-1.

    Science.gov (United States)

    Serbanescu, Mara A; Ramonell, Kimberly M; Hadley, Annette; Margoles, Lindsay M; Mittal, Rohit; Lyons, John D; Liang, Zhe; Coopersmith, Craig M; Ford, Mandy L; McConnell, Kevin W

    2016-11-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69 + CD25 int CD62L HI ) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain "unactivated" (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44 HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. © Society for Leukocyte Biology.

  15. Posttraining ablation of adult-generated olfactory granule cells degrades odor-reward memories.

    Science.gov (United States)

    Arruda-Carvalho, Maithe; Akers, Katherine G; Guskjolen, Axel; Sakaguchi, Masanori; Josselyn, Sheena A; Frankland, Paul W

    2014-11-19

    Proliferation of neural progenitor cells in the subventricular zone leads to the continuous generation of new olfactory granule cells (OGCs) throughout life. These cells synaptically integrate into olfactory bulb circuits after ∼2 weeks and transiently exhibit heightened plasticity and responses to novel odors. Although these observations suggest that adult-generated OGCs play important roles in olfactory-related memories, global suppression of olfactory neurogenesis does not typically prevent the formation of odor-reward memories, perhaps because residual OGCs can compensate. Here, we used a transgenic strategy to selectively ablate large numbers of adult-generated OGCs either before or after learning in mice. Consistent with previous studies, pretraining ablation of adult-generated OGCs did not prevent the formation of an odor-reward memory, presumably because existing OGCs can support memory formation in their absence. However, ablation of a similar cohort of adult-generated OGCs after training impaired subsequent memory expression, indicating that if these cells are available at the time of training, they play an essential role in subsequent expression of odor-reward memories. Memory impairment was associated with the loss of adult-generated OGCs that were >10 d in age and did not depend on the developmental stage in which they were generated, suggesting that, once sufficiently mature, OGCs generated during juvenility and adulthood play similar roles in the expression of odor-reward memories. Finally, ablation of adult-generated OGCs 1 month after training did not produce amnesia, indicating that adult-generated OGCs play a time-limited role in the expression of odor-reward memories. Copyright © 2014 the authors 0270-6474/14/3415793-11$15.00/0.

  16. Ultra-Low Voltage Class AB Switched Current Memory Cell

    DEFF Research Database (Denmark)

    Igor, Mucha

    1996-01-01

    This paper presents the theoretical basis for the design of class AB switched current memory cells employing floating-gate MOS transistors, suitable for ultra-low-voltage applications. To support the theoretical assumptions circuits based on these cells were designed using a CMOS process with thr......This paper presents the theoretical basis for the design of class AB switched current memory cells employing floating-gate MOS transistors, suitable for ultra-low-voltage applications. To support the theoretical assumptions circuits based on these cells were designed using a CMOS process...... with threshold voltages of 0.9V. Both hand calculations and PSPICE simulations showed that the cells designed allowed a maximum signal range better than +/-13 micoamp, with a supply voltage down to 1V and a quiescent bias current of 1 microamp, resulting in a very high current efficiency and effective power...

  17. Functional memory B cells and long-lived plasma cells are generated after a single Plasmodium chabaudi infection in mice.

    Directory of Open Access Journals (Sweden)

    Francis Maina Ndungu

    2009-12-01

    Full Text Available Antibodies have long been shown to play a critical role in naturally acquired immunity to malaria, but it has been suggested that Plasmodium-specific antibodies in humans may not be long lived. The cellular mechanisms underlying B cell and antibody responses are difficult to study in human infections; therefore, we have investigated the kinetics, duration and characteristics of the Plasmodium-specific memory B cell response in an infection of P. chabaudi in mice. Memory B cells and plasma cells specific for the C-terminal region of Merozoite Surface Protein 1 were detectable for more than eight months following primary infection. Furthermore, a classical memory response comprised predominantly of the T-cell dependent isotypes IgG2c, IgG2b and IgG1 was elicited upon rechallenge with the homologous parasite, confirming the generation of functional memory B cells. Using cyclophosphamide treatment to discriminate between long-lived and short-lived plasma cells, we demonstrated long-lived cells secreting Plasmodium-specific IgG in both bone marrow and in spleens of infected mice. The presence of these long-lived cells was independent of the presence of chronic infection, as removal of parasites with anti-malarial drugs had no impact on their numbers. Thus, in this model of malaria, both functional Plasmodium-specific memory B cells and long-lived plasma cells can be generated, suggesting that defects in generating these cell populations may not be the reason for generating short-lived antibody responses.

  18. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    Science.gov (United States)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  19. Evaluation of profile and functionality of memory T cells in pulmonary tuberculosis.

    Science.gov (United States)

    Tonaco, Marcela M; Moreira, Jôsimar D; Nunes, Fernanda F C; Loures, Cristina M G; Souza, Larissa R; Martins, Janaina M; Silva, Henrique R; Porto, Arthur Henrique R; Toledo, Vicente Paulo C P; Miranda, Silvana S; Guimarães, Tânia Mara P D

    2017-12-01

    The cells T CD4+ T and CD8+ can be subdivided into phenotypes naïve, T of central memory, T of effector memory and effector, according to the expression of surface molecules CD45RO and CD27. The T lymphocytes are cells of long life with capacity of rapid expansion and function, after a new antigenic exposure. In tuberculosis, it was found that specific memory T cells are present, however, gaps remain about the role of such cells in the disease immunology. In this study, the phenotypic profile was analyzed and characterized the functionality of CD4+ T lymphocytes and CD8+ T cells of memory and effector, in response to specific stimuli in vitro, in patients with active pulmonary TB, compared to individuals with latent infection with Mycobacterium tuberculosis the ones treated with pulmonary TB. It was observed that the group of patients with active pulmonary tuberculosis was the one which presented the highest proportion of cells T CD4+ of central memory IFN-ɣ+ e TNF-α+, suggesting that in TB, these T of central memory cells would have a profile of protective response, being an important target of study for the development of more effective vaccines; this group also developed lower proportion of CD8+ T effector lymphocytes than the others, a probable cause of specific and less effective response against the bacillus in these individuals; the ones treated for pulmonary tuberculosis were those who developed higher proportion of T CD4+ of memory central IL-17+ cells, indicating that the stimulation of long duration, with high antigenic load, followed by elimination of the pathogen, contribute to more significant generation of such cells; individuals with latent infection by M. tuberculosis and treated for pulmonary tuberculosis, showed greater response of CD8+ T effector lymphocytes IFN-ɣ+ than the controls, suggesting that these cells, as well as CD4+ T lymphocytes, have crucial role of protection against M. tuberculosis. These findings have contributed to a better

  20. FinFET memory cell improvements for higher immunity against single event upsets

    Science.gov (United States)

    Sajit, Ahmed Sattar

    The 21st century is witnessing a tremendous demand for transistors. Life amenities have incorporated the transistor in every aspect of daily life, ranging from toys to rocket science. Day by day, scaling down the transistor is becoming an imperious necessity. However, it is not a straightforward process; instead, it faces overwhelming challenges. Due to these scaling changes, new technologies, such as FinFETs for example, have emerged as alternatives to the conventional bulk-CMOS technology. FinFET has more control over the channel, therefore, leakage current is reduced. FinFET could bridge the gap between silicon devices and non-silicon devices. The semiconductor industry is now incorporating FinFETs in systems and subsystems. For example, Intel has been using them in their newest processors, delivering potential saving powers and increased speeds to memory circuits. Memory sub-systems are considered a vital component in the digital era. In memory, few rows are read or written at a time, while the most rows are static; hence, reducing leakage current increases the performance. However, as a transistor shrinks, it becomes more vulnerable to the effects from radioactive particle strikes. If a particle hits a node in a memory cell, the content might flip; consequently, leading to corrupting stored data. Critical fields, such as medical and aerospace, where there are no second chances and cannot even afford to operate at 99.99% accuracy, has induced me to find a rigid circuit in a radiated working environment. This research focuses on a wide spectrum of memories such as 6T SRAM, 8T SRAM, and DICE memory cells using FinFET technology and finding the best platform in terms of Read and Write delay, susceptibility level of SNM, RSNM, leakage current, energy consumption, and Single Event Upsets (SEUs). This research has shown that the SEU tolerance that 6T and 8T FinFET SRAMs provide may not be acceptable in medical and aerospace applications where there is a very high

  1. Exploring heterogeneous market hypothesis using realized volatility

    Science.gov (United States)

    Chin, Wen Cheong; Isa, Zaidi; Mohd Nor, Abu Hassan Shaari

    2013-04-01

    This study investigates the heterogeneous market hypothesis using high frequency data. The cascaded heterogeneous trading activities with different time durations are modelled by the heterogeneous autoregressive framework. The empirical study indicated the presence of long memory behaviour and predictability elements in the financial time series which supported heterogeneous market hypothesis. Besides the common sum-of-square intraday realized volatility, we also advocated two power variation realized volatilities in forecast evaluation and risk measurement in order to overcome the possible abrupt jumps during the credit crisis. Finally, the empirical results are used in determining the market risk using the value-at-risk approach. The findings of this study have implications for informationally market efficiency analysis, portfolio strategies and risk managements.

  2. Optimisasi Portofolio Mean-VaR di bawah CAPM Transformasi Koyck dengan Volatilitas Tak Konstan dan Efek Long Memory

    Directory of Open Access Journals (Sweden)

    Sukono Sukono

    2010-01-01

    Full Text Available In this paper we formulated mean-VaR portfolio optimization through CAPM Koyck transformation. We assumed that lagged of risk premium which have highly influence on stock returns is infinite, while model parameters decrease geometrically. We also assumed that rate of return in risk premium market index is not constant, in other word has a non-constant volatility rate, and also has a long memory effect. The later was analyzed using ARFIMA. Non constant volatility rate was modeled via GARCH model. The portfolio optimization was constructed using Langrangian multiplier and the Kuhn-Tucker theorem was employed to obtain the solution by the least square method. Finally, we provide a numerical example of the optimization model based on several stocks traded in Indonesian capital market.

  3. Resistive switching effect in the planar structure of all-printed, flexible and rewritable memory device based on advanced 2D nanocomposite of graphene quantum dots and white graphene flakes

    International Nuclear Information System (INIS)

    Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Kim, Sowon; Choi, Kyung Hyun

    2017-01-01

    Pursuit of the most appropriate materials and fabrication methods is essential for developing a reliable, rewritable and flexible memory device. In this study, we have proposed an advanced 2D nanocomposite of white graphene (hBN) flakes embedded with graphene quantum dots (GQDs) as the functional layer of a flexible memory device owing to their unique electrical, chemical and mechanical properties. Unlike the typical sandwich type structure of a memory device, we developed a cost effective planar structure, to simplify device fabrication and prevent sneak current. The entire device fabrication was carried out using printing technology followed by encapsulation in an atomically thin layer of aluminum oxide (Al 2 O 3 ) for protection against environmental humidity. The proposed memory device exhibited attractive bipolar switching characteristics of high switching ratio, large electrical endurance and enhanced lifetime, without any crosstalk between adjacent memory cells. The as-fabricated device showed excellent durability for several bending cycles at various bending diameters without any degradation in bistable resistive states. The memory mechanism was deduced to be conductive filamentary; this was validated by illustrating the temperature dependence of bistable resistive states. Our obtained results pave the way for the execution of promising 2D material based next generation flexible and non-volatile memory (NVM) applications. (paper)

  4. Thermal effects in magnetoelectric memories with stress-mediated switching

    International Nuclear Information System (INIS)

    Giordano, S; Dusch, Y; Tiercelin, N; Pernod, P; Preobrazhensky, V

    2013-01-01

    Heterostructures with magneto-electro-elastic coupling (e.g. multiferroics) are of paramount importance for developing new sensors, actuators and memories. With the progressive miniaturization of these systems it is necessary to take into account possible thermal effects, which may influence the normal operating regime. As a paradigmatic example we consider a recently introduced non-volatile memory element composed of a magnetostrictive nanoparticle embedded in a piezoelectric matrix. The distributions of the physical fields in this matrix/inclusion configuration are determined by means of the Eshelby theory, the magnetization dynamics is studied through the Landau–Lifshitz–Gilbert formalism, and the statistical mechanics is introduced with the Langevin and Fokker–Planck methodologies. As result of the combination of such techniques we determine the switching time between the states of the memory, the error probability and the energy dissipation of the writing process. They depend on the ratio k B T/v where T is the absolute temperature and v is the volume of the magnetoelastic particle. (paper)

  5. Bystander chronic infection negatively impacts development of CD8+ T cell memory

    Science.gov (United States)

    Stelekati, Erietta; Shin, Haina; Doering, Travis A.; Dolfi, Douglas V.; Ziegler, Carly G.; Beiting, Daniel P.; Dawson, Lucas; Liboon, Jennifer; Wolski, David; Ali, Mohammed-Alkhatim A.; Katsikis, Peter D.; Shen, Hao; Roos, David S.; Haining, W. Nicholas; Lauer, Georg M.; Wherry, E. John

    2014-01-01

    Summary Epidemiological evidence suggests that chronic infections impair immune responses to unrelated pathogens and vaccines. The underlying mechanisms, however, are unclear and distinguishing effects on priming versus development of immunological memory has been challenging. We investigated whether bystander chronic infections impact differentiation of memory CD8+ T cells, the hallmark of protective immunity against intracellular pathogens. Chronic bystander infections impaired development of memory CD8+ T cells in several mouse models and humans. These effects were independent of initial priming and were associated with chronic inflammatory signatures. Chronic inflammation negatively impacted the number of bystander CD8+ T cells and their memory development. Distinct underlying mechanisms of altered survival and differentiation were revealed with the latter regulated by the transcription factors T-bet and Blimp-1. Thus, exposure to prolonged bystander inflammation impairs the effector to memory transition. These data have relevance for immunity and vaccination during persisting infections and chronic inflammation. PMID:24837104

  6. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  7. Fabrication of Pb (Zr, Ti) O3 Thin Film for Non-Volatile Memory Device Application

    International Nuclear Information System (INIS)

    Mar Lar Win

    2011-12-01

    Ferroelectric lead zirconate titanate powder was composed of mainly the oxides of titanium, zirconium and lead. PZT powder was firstly prepared by thermal synthesis at different Zr/Ti ratios with various sintering temperatures. PZT thin film was fabricated on SiO2/Si substrate by using thermal evaporation method. Physical and elemental analysis were carried out by using SEM, EDX and XRD The ferroelectric properties and the switching behaviour of the PZT thin films were investigated. The ferroelectric properties and switching properties of the PZT thin film (near morphotropic phase boundary sintered at 800 C) could function as a nonvolatile memory.

  8. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation-hardened CMOS devices and circuits - LDRD Project (FY99)

    Energy Technology Data Exchange (ETDEWEB)

    MYERS,DAVID R.; JESSING,JEFFREY R.; SPAHN,OLGA B.; SHANEYFELT,MARTY R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds.

  9. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation hardened CMOS devices and circuits - LDRD Project (FY99)

    International Nuclear Information System (INIS)

    Myers, David R.; Jessing, Jeffrey R.; Spahn, Olga B.; Shaneyfelt, Marty R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds

  10. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  11. Distinctiveness enhances long-term event memory in non-human primates, irrespective of reinforcement.

    Science.gov (United States)

    Lewis, Amy; Call, Josep; Berntsen, Dorthe

    2017-08-01

    Non-human primates are capable of recalling events that occurred as long as 3 years ago, and are able to distinguish between similar events; akin to human memory. In humans, distinctiveness enhances memory for events, however, it is unknown whether the same occurs in non-human primates. As such, we tested three great ape species on their ability to remember an event that varied in distinctiveness. Across three experiments, apes witnessed a baiting event in which one of three identical containers was baited with food. After a delay of 2 weeks, we tested their memory for the location of the baited container. Apes failed to recall the baited container when the event was undistinctive (Experiment 1), but were successful when it was distinctive (Experiment 2), although performance was equally good in a less-distinctive condition. A third experiment (Experiment 3) confirmed that distinctiveness, independent of reinforcement, was a consistent predictor of performance. These findings suggest that distinctiveness may enhance memory for events in non-human primates in the same way as in humans, and provides further evidence of basic similarities between the ways apes and humans remember past events. © 2017 Wiley Periodicals, Inc.

  12. Preparation and characterization of Sb2Se3 devices for memory applications

    Science.gov (United States)

    Shylashree, N.; Uma B., V.; Dhanush, S.; Abachi, Sagar; Nisarga, A.; Aashith, K.; Sangeetha B., G.

    2018-05-01

    In this paper, A phase change material of Sb2Se3 was proposed for non volatile memory application. The thin film device preparation and characterization were carried out. The deposition method used was vapor evaporation technique and a thickness of 180nm was deposited. The switching between the SET and RESET state is shown by the I-V characterization. The change of phase was studied using R-V characterization. Different fundamental modes were also identified using Raman spectroscopy.

  13. Autoreactive T effector memory differentiation mirrors β-cell function in type 1 diabetes.

    Science.gov (United States)

    Yeo, Lorraine; Woodwyk, Alyssa; Sood, Sanjana; Lorenc, Anna; Eichmann, Martin; Pujol-Autonell, Irma; Melchiotti, Rossella; Skowera, Ania; Fidanis, Efthymios; Dolton, Garry M; Tungatt, Katie; Sewell, Andrew K; Heck, Susanne; Saxena, Alka; Beam, Craig A; Peakman, Mark

    2018-05-31

    In type 1 diabetes, cytotoxic CD8 T cells with specificity for β-cell autoantigens are found in the pancreatic islets where they are implicated in the destruction of insulin-secreting β cells. In contrast, the disease relevance of β-cell-reactive CD8 T cells that are detectable in the circulation, and their relationship to β-cell function, are not known. Here, we tracked multiple, circulating β-cell-reactive CD8 T cell subsets and measured β-cell function longitudinally for two years, starting immediately after diagnosis of type 1 diabetes. We found that change in β-cell-specific effector memory CD8 T cells expressing CD57 was positively correlated with C-peptide change in subjects below 12 years of age. Autoreactive CD57+ effector memory CD8 T cells bore the signature of enhanced effector function (higher expression of granzyme B, killer specific protein 37 and CD16, and reduced expression of CD28) compared with their CD57-negative counterparts, and network association modelling indicated that the dynamics of β-cell-reactive CD57+ effector memory CD8 T cell subsets were strongly linked. Thus, coordinated changes in circulating β-cell-specific CD8 T cells within the CD57+ effector memory subset calibrate to functional insulin reserve in type 1 diabetes, providing a tool for immune monitoring and a mechanism-based target for immunotherapy.

  14. Central memory CD4 T cells are associated with incomplete restoration of the CD4 T cell pool after treatment-induced long-term undetectable HIV viraemia.

    Science.gov (United States)

    Rallón, Norma; Sempere-Ortells, José M; Soriano, Vincent; Benito, José M

    2013-11-01

    It is unclear to what extent T cell reconstitution may be possible in HIV-1-infected individuals on continuous successful highly active antiretroviral therapy (HAART). Herein, we analysed distinct phenotypic markers of immune recovery in patients with undetectable viraemia for 8 years, taking as reference untreated patients and healthy controls. Seventy-two subjects were examined: 28 HIV-1+ patients on successful long-term HAART, 24 HIV-1+ untreated viraemic patients and 20 age-matched healthy controls. Analysis of naive and memory CD4 and CD8 T cells was combined with measurements of activation status (expression of CD38) and with thymic function (expression of CD31). Statistical significance was determined by non-parametric tests. After long-term HAART, the majority of parameters were normalized compared with age-matched control values, including T cell activation and thymic function. However, absolute counts of naive and central memory CD4 T cells remained below normal levels. The only parameters significantly associated with CD4 counts at the end of follow-up were the pre-HAART CD4 count ( β ± SD = 0.54 ± 0.16, P = 0.003) and the level of CD4 central memory cells at the end of follow-up (β ± SD = 1.18 ± 0.23, P 350 cells/mm(3) reached a complete normalization of CD4 counts. Even after long-term successful HAART, complete CD4 restoration may be attainable only in patients starting therapy with moderately high CD4 counts, prompting early initiation of antiretroviral therapy. Incomplete CD4 restoration may be associated with a defective restoration of central memory CD4 T cells, a cell subset with a pivotal role in T cell homeostasis.

  15. Non-pathogenic rhizobacteria interfere with the attraction of parasitoids to aphid-induced plant volatiles via jasmonic acid signalling.

    Science.gov (United States)

    Pineda, Ana; Soler, Roxina; Weldegergis, Berhane T; Shimwela, Mpoki M; VAN Loon, Joop J A; Dicke, Marcel

    2013-02-01

    Beneficial soil-borne microbes, such as mycorrhizal fungi or rhizobacteria, can affect the interactions of plants with aboveground insects at several trophic levels. While the mechanisms of interactions with herbivorous insects, that is, the second trophic level, are starting to be understood, it remains unknown how plants mediate the interactions between soil microbes and carnivorous insects, that is, the third trophic level. Using Arabidopsis thaliana Col-0 and the aphid Myzus persicae, we evaluate here the underlying mechanisms involved in the plant-mediated interaction between the non-pathogenic rhizobacterium Pseudomonas fluorescens and the parasitoid Diaeretiella rapae, by combining ecological, chemical and molecular approaches. Rhizobacterial colonization modifies the composition of the blend of herbivore-induced plant volatiles. The volatile blend from rhizobacteria-treated aphid-infested plants is less attractive to an aphid parasitoid, in terms of both olfactory preference behaviour and oviposition, than the volatile blend from aphid-infested plants without rhizobacteria. Importantly, the effect of rhizobacteria on both the emission of herbivore-induced volatiles and parasitoid response to aphid-infested plants is lost in an Arabidopsis mutant (aos/dde2-2) that is impaired in jasmonic acid production. By modifying the blend of herbivore-induced plant volatiles that depend on the jasmonic acid-signalling pathway, root-colonizing microbes interfere with the attraction of parasitoids of leaf herbivores. © 2012 Blackwell Publishing Ltd.

  16. The role of natural killer T cells in dendritic cell licensing, cross-priming and memory CD8+ T cell generation

    Directory of Open Access Journals (Sweden)

    Catherine eGottschalk

    2015-07-01

    Full Text Available New vaccination strategies focus on achieving CD8+ T cell (CTL immunity rather than on induction of protective antibody responses. While the requirement of CD4+ T (Th cell help in dendritic cell (DC activation and licensing, and in CTL memory induction has been described in several disease models, CTL responses may occur in a Th cell help independent manner. Natural Killer T cells (NKT cells can substitute for Th cell help and license DC as well. NKT cells produce a broad spectrum of Th1 and Th2 cytokines, thereby inducing a similar set of costimulatory molecules and cytokines in DC. This form of licensing differs from Th cell help by inducing other chemokines: while Th cell licensed DC produce CCR5 ligands, NKT cell-licensed DC produce CCL17 which attracts CCR4+ CD8+ T cells for subsequent activation. It has recently been shown that iNKT cells do not only enhance immune responses against bacterial pathogens or parasites, but also play a role in viral infections. The inclusion of NKT cell ligands in Influenza virus vaccines enhanced memory CTL generation and protective immunity in a mouse model. This review will focus on the role of iNKT cells in the cross-talk with cross-priming DC and memory CD8+ T cell formation.

  17. Gut memories do not fade: epigenetic regulation of lasting gut homing receptor expression in CD4+ memory T cells.

    Science.gov (United States)

    Szilagyi, B A; Triebus, J; Kressler, C; de Almeida, M; Tierling, S; Durek, P; Mardahl, M; Szilagyi, A; Floess, S; Huehn, J; Syrbe, U; Walter, J; Polansky, J K; Hamann, A

    2017-11-01

    The concept of a "topographical memory" in lymphocytes implies a stable expression of homing receptors mediating trafficking of lymphocytes back to the tissue of initial activation. However, a significant plasticity of the gut-homing receptor α 4 β 7 was found in CD8 + T cells, questioning the concept. We now demonstrate that α 4 β 7 expression in murine CD4 + memory T cells is, in contrast, imprinted and remains stable in the absence of the inducing factor retinoic acid (RA) or other stimuli from mucosal environments. Repetitive rounds of RA treatment enhanced the stability of de novo induced α 4 β 7 . A novel enhancer element in the murine Itga4 locus was identified that showed, correlating to stability, selective DNA demethylation in mucosa-seeking memory cells and methylation-dependent transcriptional activity in a reporter gene assay. This implies that epigenetic mechanisms contribute to the stabilization of α 4 β 7 expression. Analogous DNA methylation patterns could be observed in the human ITGA4 locus, suggesting that its epigenetic regulation is conserved between mice and men. These data prove that mucosa-specific homing mediated by α 4 β 7 is imprinted in CD4 + memory T cells, reinstating the validity of the concept of "topographical memory" for mucosal tissues, and imply a critical role of epigenetic mechanisms.

  18. Human Memory B Cells in Healthy Gingiva, Gingivitis, and Periodontitis.

    Science.gov (United States)

    Mahanonda, Rangsini; Champaiboon, Chantrakorn; Subbalekha, Keskanya; Sa-Ard-Iam, Noppadol; Rattanathammatada, Warattaya; Thawanaphong, Saranya; Rerkyen, Pimprapa; Yoshimura, Fuminobu; Nagano, Keiji; Lang, Niklaus P; Pichyangkul, Sathit

    2016-08-01

    The presence of inflammatory infiltrates with B cells, specifically plasma cells, is the hallmark of periodontitis lesions. The composition of these infiltrates in various stages of homeostasis and disease development is not well documented. Human tissue biopsies from sites with gingival health (n = 29), gingivitis (n = 8), and periodontitis (n = 21) as well as gingival tissue after treated periodontitis (n = 6) were obtained and analyzed for their composition of B cell subsets. Ag specificity, Ig secretion, and expression of receptor activator of NF-κB ligand and granzyme B were performed. Although most of the B cell subsets in healthy gingiva and gingivitis tissues were CD19(+)CD27(+)CD38(-) memory B cells, the major B cell component in periodontitis was CD19(+)CD27(+)CD38(+)CD138(+)HLA-DR(low) plasma cells, not plasmablasts. Plasma cell aggregates were observed at the base of the periodontal pocket and scattered throughout the gingiva, especially apically toward the advancing front of the lesion. High expression of CXCL12, a proliferation-inducing ligand, B cell-activating factor, IL-10, IL-6, and IL-21 molecules involved in local B cell responses was detected in both gingivitis and periodontitis tissues. Periodontitis tissue plasma cells mainly secreted IgG specific to periodontal pathogens and also expressed receptor activator of NF-κB ligand, a bone resorption cytokine. Memory B cells resided in the connective tissue subjacent to the junctional epithelium in healthy gingiva. This suggested a role of memory B cells in maintaining periodontal homeostasis. Copyright © 2016 by The American Association of Immunologists, Inc.

  19. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    Energy Technology Data Exchange (ETDEWEB)

    Sacanell, J. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina)]. E-mail: sacanell@cnea.gov.ar; Parisi, F. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Levy, P. [Departamento de Fisica, Unidad de Actividad Fisica-Centro Atomico de Constituyentes, CNEA, Av. Gral. Paz 1499, San Martin 1650, Pcia. de Buenos Aires (Argentina); Ghivelder, L. [Instituto de Fisica, UFRJ, Rio de Janeiro (Brazil)

    2004-12-31

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation.

  20. Magnetoresistive memory in phase-separated La0.5Ca0.5MnO3

    International Nuclear Information System (INIS)

    Sacanell, J.; Parisi, F.; Levy, P.; Ghivelder, L.

    2004-01-01

    We have studied a non-volatile memory effect in the mixed valent compound La0.5Ca0.5MnO3 induced by magnetic field (H). In a previous work (Phys. Rev. B 65 (2002) 104403), it has been shown that the response of this system upon application of H strongly depends on the temperature range, related to three well-differentiated regimes of phase separation occurring below 220K. In this work we compare memory capabilities of the compound, determined following two different experimental procedures for applying H, namely zero-field cooling and field cooling the sample. These results are analyzed and discussed within the scenario of phase separation

  1. Memory of Natural Killer Cells: A New Chance against Mycobacterium tuberculosis?

    Directory of Open Access Journals (Sweden)

    José Alberto Choreño Parra

    2017-08-01

    Full Text Available Natural killer (NK cells are lymphocytes of the innate immune system, which play an important role in the initial defense against a wide variety of pathogens, including viruses and intracellular bacteria. NK cells produce cytokines that enhance immune responses directed toward pathogens and also exert cytotoxic activity against infected cells, thereby eliminating the reservoir of infection. Their role in defense against Mycobacterium tuberculosis (Mtb has been recently studied, and there is increasing evidence that highlight the importance of NK cell function during pulmonary tuberculosis (PTB, especially in the absence of optimal T-cell responses. Additionally, in the last years, it has been observed that NK cells mediate secondary responses against antigens to which they were previously exposed, an ability classically attributed to lymphocytes of the adaptive branch of immunity. This phenomenon, called “innate memory,” could have important implications in the efforts to develop therapies and vaccines to improve the initial phases of immune reactions against different microorganisms, especially those to which there is not yet available vaccines to prevent infection, as is the case for tuberculosis. Therefore, the possibility of inducing memory-like NK cells ready to act prior to contact with Mtb or during the earliest stages of infection becomes quite interesting. However, our understanding of the mechanisms of innate memory remains incomplete. Here, we review recent literature about the mechanisms involved in the formation and maintenance of NK cell memory and the role of these cells in the immune response during tuberculosis. Finally, we discuss if the current evidence is sufficient to substantiate that NK cells exert more rapid and robust secondary responses after consecutive encounters with Mtb.

  2. Activated iNKT cells promote memory CD8+ T cell differentiation during viral infection.

    Directory of Open Access Journals (Sweden)

    Emma C Reilly

    Full Text Available α-Galactosylceramide (α-GalCer is the prototypical lipid ligand for invariant NKT cells. Recent studies have proposed that α-GalCer is an effective adjuvant in vaccination against a range of immune challenges, however its mechanism of action has not been completely elucidated. A variety of delivery methods have been examined including pulsing dendritic cells with α-GalCer to optimize the potential of α-GalCer. These methods are currently being used in a variety of clinical trials in patients with advanced cancer but cannot be used in the context of vaccine development against pathogens due to their complexity. Using a simple delivery method, we evaluated α-GalCer adjuvant properties, using the mouse model for cytomegalovirus (MCMV. We measured several key parameters of the immune response to MCMV, including inflammation, effector, and central memory CD8(+ T cell responses. We found that α-GalCer injection at the time of the infection decreases viral titers, alters the kinetics of the inflammatory response, and promotes both increased frequencies and numbers of virus-specific memory CD8(+ T cells. Overall, our data suggest that iNKT cell activation by α-GalCer promotes the development of long-term protective immunity through increased fitness of central memory CD8(+ T cells, as a consequence of reduced inflammation.

  3. Tumor cells and memory T cells converge at glycolysis: Therapeutic implications

    OpenAIRE

    Karthikeyan, Swathi; Geschwind, Jean-Francois; Ganapathy-Kanniappan, Shanmugasundaram

    2014-01-01

    In the immune system, activation of naïve T (Tn) cells into effector T cells (Teff) involves a metabolic switch to glycolysis to promote rapid proliferation and differentiation. In the October issue of The Journal of Clinical Investigation, Sukumar et al. have demonstrated that in CD8+ memory T (Tems) cells glycolytic phenotype contributes to the shortened lifespan of Tems. Conversely, inhibition of glycolysis in Tems not only extended their viability but also augmented desirable properties. ...

  4. Associative memory cells and their working principle in the brain [version 1; referees: 2 approved

    Directory of Open Access Journals (Sweden)

    Jin-Hui Wang

    2018-01-01

    Full Text Available The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors.

  5. Resistive Memory Devices for Radiation Resistant Non-Volatile Memory

    Data.gov (United States)

    National Aeronautics and Space Administration — Ionizing radiation in space can damage electronic equipment, corrupting data and even disabling computers. Radiation resistant (rad hard) strategies must be employed...

  6. CD73 expression identifies a subset of IgM+ antigen-experienced cells with memory attributes that is T cell and CD40 signalling dependent.

    Science.gov (United States)

    D'Souza, Lucas; Gupta, Sneh Lata; Bal, Vineeta; Rath, Satyajit; George, Anna

    2017-12-01

    B-cell memory was long characterized as isotype-switched, somatically mutated and germinal centre (GC)-derived. However, it is now clear that the memory pool is a complex mixture that includes unswitched and unmutated cells. Further, expression of CD73, CD80 and CD273 has allowed the categorization of B-cell memory into multiple subsets, with combinatorial expression of the markers increasing with GC progression, isotype-switching and acquisition of somatic mutations. We have extended these findings to determine whether these markers can be used to identify IgM memory phenotypically as arising from T-dependent versus T-independent responses. We report that CD73 expression identifies a subset of antigen-experienced IgM + cells that share attributes of functional B-cell memory. This subset is reduced in the spleens of T-cell-deficient and CD40-deficient mice and in mixed marrow chimeras made with mutant and wild-type marrow, the proportion of CD73 + IgM memory is restored in the T-cell-deficient donor compartment but not in the CD40-deficient donor compartment, indicating that CD40 ligation is involved in its generation. We also report that CD40 signalling supports optimal expression of CD73 on splenic T cells and age-associated B cells (ABCs), but not on other immune cells such as neutrophils, marginal zone B cells, peritoneal cavity B-1 B cells and regulatory T and B cells. Our data indicate that in addition to promoting GC-associated memory generation during B-cell differentiation, CD40-signalling can influence the composition of the unswitched memory B-cell pool. They also raise the possibility that a fraction of ABCs may represent T-cell-dependent IgM memory. © 2017 John Wiley & Sons Ltd.

  7. Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits

    Science.gov (United States)

    Sahay, Shubham; Suri, Manan

    2017-12-01

    This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.

  8. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    Science.gov (United States)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  9. The effects of centrally administered fluorocitrate via inhibiting glial cells on working memory in rats

    Institute of Scientific and Technical Information of China (English)

    2009-01-01

    Although prefrontal and hippocampal neurons are critical for spatial working memory,the function of glial cells in spatial working memory remains uncertain.In this study we investigated the function of glial cells in rats’ working memory.The glial cells of rat brain were inhibited by intracerebroventricular(icv) injection of fluorocitrate(FC).The effects of FC on the glial cells were examined by using electroencephalogram(EEG) recordings and delayed spatial alternation tasks.After icv injection of 10 μL of 0.5 nmol/L or 5 nmol/L FC,the EEG power spectrum recorded from the hippocampus increased,but the power spectrum for the prefrontal cortex did not change,and working memory was unaffected.Following an icv injection of 10 μL of 20 nmol/L FC,the EEG power spectra in both the prefrontal cortex and the hippocampus increased,and working memory improved.The icv injection of 10 μL of 50 nmol/L FC,the EEG power spectra in both the prefrontal cortex and in the hippocampus decreased,and working memory was impaired.These results suggest that spatial working memory is affected by centrally administered FC,but only if there are changes in the EEG power spectrum in the prefrontal cortex.Presumably,the prefrontal glial cells relate to the working memory.

  10. Seasonal variation and volatility of ultra-fine particles in coastal Antarctic troposphere

    Directory of Open Access Journals (Sweden)

    Keiichiro Hara

    2010-12-01

    Full Text Available The Size distribution and volatility of ultrafine aerosol particles were measured at Syowa Station during the 46-47 Japanese Antarctic Research Expeditions. During the summer, most of the ultrafine particles were volatile particles, which were composed of H_2SO_4, CH_3SO_3H and sulfates bi-sulfates. The abundance of non-volatile particles was ~ 20% during the summer, increasing to>90% in winter-spring. Non-volatile particles in winter were dominantly sea-salt particles. Some ultrafine sea-salt particles might be released from sea-ice. When air mass was transported from the free troposphere over the Antarctic continent, the abundance of non-volatile particles dropped to<30% even in winter.

  11. VHDL-based programming environment for Floating-Gate analog memory cell

    Directory of Open Access Journals (Sweden)

    Carlos Alberto dos Reis Filho

    2005-02-01

    Full Text Available An implementation in CMOS technology of a Floating-Gate Analog Memory Cell and Programming Environment is presented. A digital closed-loop control compares a reference value set by user and the memory output and after cycling, the memory output is updated and the new value stored. The circuit can be used as analog trimming for VLSI applications where mechanical trimming associated with postprocessing chip is prohibitive due to high costs.

  12. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  13. Primary Type3 (Non-ABC, Non-GCB Subtype of Extranodal Diffuse Large B-Cell Lymphoma of the Thyroid Bearing No MYD88 Mutation by Padlock Probe Hybridization

    Directory of Open Access Journals (Sweden)

    Yukiko Nishi

    2017-06-01

    Full Text Available Primary extranodal malignant lymphoma of the thyroid is a rare entity composed of mostly neoplastic transformation of germinal center-like B cells (GCB or memory B cells. Other B-cell-type malignancies arising primarily in the thyroid have rarely been described. Immunohistochemical examination of autopsied primary malignant lymphoma of the thyroid in an 83-year-old Japanese female revealed the presence of a non-GCB subtype of diffuse large B-cell lymphoma (DLBCL without the typical codon 206 or 265 missense mutation of MYD88. The lack of the highly oncogenic MYD88 gene mutation, frequently observed in DLBCL of the activated B-cell (ABC subtype, and the detection of an extremely aggressive yet local clinical phenotype demonstrated that the present case was an exceptional entity of the type3 (non-GCB and non-ABC subtype.

  14. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  15. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  16. Effect of neutron and gamma irradiation on magnetic bubble memories

    International Nuclear Information System (INIS)

    Cambou, B.

    1981-06-01

    Many years of research preceeded the introduction of magnetic bubble memories (M.B.M.) into the memory components market. They are used as bulk storage memories principally for their non volatile characteristics under irradiation. A physical and technological description of MBM is given in the first part of the text together with the results of work on their vulnerability when subjected to irradiation. Permanent damage caused by neutrons and gamma radiation on thin magnetic layers is then studied. A theoretical analysis on the stability of bubbles based on the results of pulsed laser experiments is given. The stability of the information stored in a commercially available MBM subjected to neutron and gamma irradiation (MBM - TIB 203 of 92 kBits, Texas) is described in the last part of the text. The vulnerability thresholds determined for the MBM are too high for them to be used in a radioactive environment with an improved electronic control system [fr

  17. Volatility Forecast in Crises and Expansions

    Directory of Open Access Journals (Sweden)

    Sergii Pypko

    2015-08-01

    Full Text Available We build a discrete-time non-linear model for volatility forecasting purposes. This model belongs to the class of threshold-autoregressive models, where changes in regimes are governed by past returns. The ability to capture changes in volatility regimes and using more accurate volatility measures allow outperforming other benchmark models, such as linear heterogeneous autoregressive model and GARCH specifications. Finally, we show how to derive closed-form expression for multiple-step-ahead forecasting by exploiting information about the conditional distribution of returns.

  18. Differential gene expression by integrin β7+ and β7- memory T helper cells

    Directory of Open Access Journals (Sweden)

    Yang Yee

    2004-07-01

    Full Text Available Abstract Background The cell adhesion molecule integrin α4β7 helps direct the migration of blood lymphocytes to the intestine and associated lymphoid tissues. We hypothesized that β7+ and β7- blood memory T helper cells differ in their expression of genes that play a role in the adhesion or migration of T cells. Results RNA was prepared from β7+ and β7- CD4+ CD45RA- blood T cells from nine normal human subjects and analyzed using oligonucleotide microarrays. Of 21357 genes represented on the arrays, 16 were more highly expressed in β7+ cells and 18 were more highly expressed in β7- cells (≥1.5 fold difference and adjusted P + memory/effector T cells than on β7- cells. Conclusions Memory/effector T cells that express integrin β7 have a distinct pattern of expression of a set of gene transcripts. Several of these molecules can affect cell adhesion or chemotaxis and are therefore likely to modulate the complex multistep process that regulates trafficking of CD4+ memory T cell subsets with different homing behaviors.

  19. Ultra Low Voltage Class AB Switched Current Memory Cells Based on Floating Gate Transistors

    DEFF Research Database (Denmark)

    Mucha, Igor

    1999-01-01

    current memory cells were designed using a CMOS process with threshold voltages V-T0n = \\V-T0p\\ = 0.9 V for the n- and p-channel devices. Both hand calculations and PSPICE simulations showed that the designed example switched current memory cell allowed a maximum signal range better than +/-18 mu......A proposal for a class AB switched current memory cell, suitable for ultra-low-voltage applications is presented. The proposal employs transistors with floating gates, allowing to build analog building blocks for ultralow supply voltage operation also in CMOS processes with high threshold voltages....... This paper presents the theoretical basis for the design of "floating-gate'' switched current memory cells by giving a detailed description and analysis of the most important impacts degrading the performance of the cells. To support the theoretical assumptions circuits based on "floating-gate'' switched...

  20. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial.

    Science.gov (United States)

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-12-01

    Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the "educated" lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4(+) T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4(+) central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4(+) effector memory T cells (TEM) and CD8(+) TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C-C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Obra Social "La Caixa", Instituto de Salud Carlos III, Red de Investigación Renal, European Union FEDER Funds, Principado de

  1. Antigen modulation of the immune response. III. Evaluation of the hypothetical short-lived memory cell

    International Nuclear Information System (INIS)

    Feldbush, T.L.; Lande, I.; Bryan, B.; O'Neill, E.

    1974-01-01

    The putative short-lived memory cells, whose existence has been suggested by the results of secondary adoptive transfer experiments, were investigated. On the basis of the following evidences we have concluded that the short-lived memory cell is probably an artifact of the adoptive transfer technique: when immune thoracic duct lymphocytes, known to consist predominantly of long-lived memory cells, were transferred to irradiated recipients and challenged at various times after transfer, approximately 80 to 90 percent of the initial response was absent by Day 14 challenge; preirradiating adoptive recipients with increasing dose of x-irradiation tended to lengthen the observed half life of memory cells; single or multiple treatments of immune donors with 0.3 mg Vinblastin before transfer resulted in neither a depression of the initial secondary response nor an alteration in the rate of decline of the memory potential; reconstitution of irradiated hosts with normal spleen cells one day before transfer of memory cells and challenge resulted in inhibition of the adoptive secondary response; and the transfer of memory cells to antigen free intermediate hosts, in which they were allowed to reside for one day or fourteen days before transfer to irradiated recipients, resulted in only a slight decline in their capacity to respond. We propose that the rapid decline of memory potential in adoptive recipients challenged at various times after transfer is due to modulating effects by the hosts as it recovers from irradiation. These effects may be the result of cell crowding or the loss of irradiation-produced stimulatory factors. The relevance of these findings to adoptive transfer systems in general and the secondary response of intact animals is discussed

  2. Memory and pressure studies in Na{sub x}CoO{sub 2} cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Garbarino, G; Bouvier, P; Crichton, W A; Mezouar, M [European Synchrotron Radiation Facility, Grenoble (France); Regueiro, M Nunez; Lejay, P [MCBT, Institut Neel, Grenoble (France); Armand, M [LRCS, Universite Picardie Jules-Verne Amiens, Amiens (France); Foo, M L; Cava, R J, E-mail: gaston.garbarino@esrf.f [Department of Chemistry and Materials Institute, Princeton University, New Jersey (United States)

    2009-03-01

    We present a detailed study on the memory effect results in Na{sub 0.5} paragraph 5CoO{sub 2} single crystals. We analyze the temperature dependence of the nonvolatile current-pulse-induced resistance memory state. These results allow us to have more insight in the mobility of Na{sup +} ions induced by current and their effect on the memory effect. We also developed X-ray diffraction studies under pressure at ambient temperature in the N{sub a0.5}CoO{sub 2} powder compound. An orthorhombic to hexagonal phase transition was observed at 9GPa. This transition can be explained taking into account the Na ions displacement between two allowed positions. These structural results allow us to confirm that the non-volatile resistive commutation can be interpreted by the displacement of the Na ions induced by the current pulses.

  3. Arsenic volatilization in model anaerobic biogas digesters

    International Nuclear Information System (INIS)

    Mestrot, Adrien; Xie, Wan-Ying; Xue, Ximei; Zhu, Yong-Guan

    2013-01-01

    Highlights: • Arsenic is volatilized form all model anaerobic digesters, including the non-treated ones. • Volatile As species can be identified and quantified in all digesters. • Non-arsenic treated digesters volatilization rates are higher than Roxarsone treated ones. - Abstract: Arsenic is a class 1 non-threshold carcinogen which is highly ubiquitous. Arsenic undergoes many different transformations (biotic or abiotic) between and within environmental compartments, leading to a number of different chemical species possessing different properties and toxicities. One specific transformation is As biotic volatilization which is coupled with As biomethylation and has been scarcely studied due to inherent sampling issues. Arsenic methylation/volatilization is also linked with methanogenesis and occurs in anaerobic environments. In China, rice straw and animal manure are very often used to produce biogas and both can contain high amounts of As, especially if the rice is grown in areas with heavy mining or smelting industries and if Roxarsone is fed to the animals. Roxarsone is an As-containing drug which is widely used in China to control coccidian intestinal parasites, to improve feed efficiency and to promote rapid growth. Previous work has shown that this compound degrades to inorganic As under anaerobic conditions. In this study the focus is on biotic transformations of As in small microcosms designed as biogas digester models (BDMs) using recently validated As traps, thus, enabling direct quantification and identification of volatile As species. It is shown that although there was a loss of soluble As in the BDMs, their conditions favored biomethylation. All reactors produced volatile As, especially the monomethylarsonic acid spiked ones with 413 ± 148 ng As (mean ± SD, n = 3) which suggest that the first methylation step, from inorganic As, is a limiting factor. The most abundant species was trimethylarsine, but the toxic arsine was present in the

  4. Increased TNF-alpha/IFN-gamma/IL-2 and decreased TNF-alpha/IFN-gamma production by central memory T cells are associated with protective responses against bovine tuberculosis following BCG vaccination

    Directory of Open Access Journals (Sweden)

    Mayara Fernanda Maggioli

    2016-10-01

    Full Text Available Central memory T cells (Tcm and polyfunctional CD4 T cell responses contribute to vaccine-elicited protection with both human and bovine tuberculosis (TB; however, their combined role in protective immunity to TB is unclear. To address this question, we evaluated polyfunctional cytokine responses by CD4 T cell effector / memory populations from bacille Calmette Guerin (BCG vaccinated and non-vaccinated calves prior to and after aerosol challenge with virulent Mycobacterium bovis. Polyfunctional cytokine expression patterns in the response by Tcm, effector memory, and effector T cell subsets were similar between BCG-vaccinated and M. bovis-infected calves; only differing in magnitude (i.e., infected > vaccinated. BCG vaccination, however, did alter the kinetics of the ensuing response to virulent M. bovis infection. Early after challenge (three weeks post-infection, non-vaccinates had greater antigen-specific IFN-γ/TNF-α and lesser IFN-γ/TNF-α/IL-2 responses by Tcm cells than did vaccinated animals. Importantly, these differences were also associated with mycobacterial burden upon necropsy. Polyfunctional responses to ESAT-6:CFP10 (antigens not synthesized by BCG strains were detected in memory subsets, as well as in effector cells, as early as three weeks after challenge. These findings suggest that cell fate divergence may occur early after antigen priming in the response to bovine TB and that memory and effector T cells may expand concurrently during the initial phase of the immune response. In summary, robust IFN-γ/TNF-α response by Tcm cells is associated with greater mycobacterial burden while IFN-γ/TNF-α/IL-2 response by Tcm cells are indicative of a protective response to bovine TB.

  5. Characterization of the metabolic phenotype of rapamycin-treated CD8+ T cells with augmented ability to generate long-lasting memory cells.

    Directory of Open Access Journals (Sweden)

    Shan He

    Full Text Available BACKGROUND: Cellular metabolism plays a critical role in regulating T cell responses and the development of memory T cells with long-term protections. However, the metabolic phenotype of antigen-activated T cells that are responsible for the generation of long-lived memory cells has not been characterized. DESIGN AND METHODS: Using lymphocytic choriomeningitis virus (LCMV peptide gp33-specific CD8(+ T cells derived from T cell receptor transgenic mice, we characterized the metabolic phenotype of proliferating T cells that were activated and expanded in vitro in the presence or absence of rapamycin, and determined the capability of these rapamycin-treated T cells to generate long-lived memory cells in vivo. RESULTS: Antigen-activated CD8(+ T cells treated with rapamycin gave rise to 5-fold more long-lived memory T cells in vivo than untreated control T cells. In contrast to that control T cells only increased glycolysis, rapamycin-treated T cells upregulated both glycolysis and oxidative phosphorylation (OXPHOS. These rapamycin-treated T cells had greater ability than control T cells to survive withdrawal of either glucose or growth factors. Inhibition of OXPHOS by oligomycin significantly reduced the ability of rapamycin-treated T cells to survive growth factor withdrawal. This effect of OXPHOS inhibition was accompanied with mitochondrial hyperpolarization and elevation of reactive oxygen species that are known to be toxic to cells. CONCLUSIONS: Our findings indicate that these rapamycin-treated T cells may represent a unique cell model for identifying nutrients and signals critical to regulating metabolism in both effector and memory T cells, and for the development of new methods to improve the efficacy of adoptive T cell cancer therapy.

  6. Non-Calorimetric Determination of the Adsorption Heat of Volatile Organic Compounds under Dynamic Conditions

    Directory of Open Access Journals (Sweden)

    Abdelhamid Korrir

    2015-04-01

    Full Text Available Avoiding strong chemical bonding, as indicated by lower heat of adsorption value, is among the selection criteria for Volatile Organic Compounds adsorbents. In this work, we highlight a non-calorimetric approach to estimating the energy of adsorption and desorption based on measurement of involved amounts, under dynamic conditions, with gaseous Fourier Transform Infrared spectroscopy. The collected data were used for obtaining adsorption heat values through the application of three different methods, namely, isosteric, temperature programmed desorption (TPD, and temperature-programmed adsorption equilibrium (TPAE. The resulting values were compared and discussed with the scope of turning determination of the heat of adsorption with non-calorimetric methods into a relevant decision making tool for designing cost-effective and safe operating of adsorption facilities.

  7. Phenotype and specificity of T cells in primary human cytomegalovirus infection during pregnancy: IL-7Rpos long-term memory phenotype is associated with protection from vertical transmission.

    Science.gov (United States)

    Mele, Federico; Fornara, Chiara; Jarrossay, David; Furione, Milena; Arossa, Alessia; Spinillo, Arsenio; Lanzavecchia, Antonio; Gerna, Giuseppe; Sallusto, Federica; Lilleri, Daniele

    2017-01-01

    Congenital human cytomegalovirus (HCMV) infection is the major cause of birth defects and a precise definition of the HCMV-specific T-cell response in primary infection may help define reliable correlates of immune protection during pregnancy. In this study, a high throughput method was used to define the frequency of CD4+ and CD8+ T cells specific for four HCMV proteins in the naïve compartment of seronegative subjects and the effector/memory compartments of subjects with primary/remote HCMV infection. The naïve repertoire displayed comparable frequencies of T cells that were reactive with HCMV structural (pp65, gB and the pentamer gHgLpUL128L) and non-structural (IE-1) proteins. Whereas, following natural infection, the majority of effector/memory CD4+ and CD8+ T cells recognized either gB or IE-1, respectively, and pp65. The pattern of T cell reactivity was comparable at early and late stages of infection and in pregnant women with primary HCMV infection transmitting or not transmitting the virus to the fetus. At an early stage of primary infection, about 50% of HCMV-reactive CD4+ T cells were long-term IL-7Rpos memory cells, while 6-12 months later, the frequency of these cells increased to 70%, approaching 100% in remote infections. In contrast, only 10-20% of HCMV-specific CD8+ T cells were long-term memory cells up to 12 months after infection onset, thereafter increasing to 70% in remote infections. Interestingly, a significantly higher frequency of HCMV-specific CD4+ T cells with a long-term IL-7Rpos memory phenotype was observed in non-transmitting compared to transmitting women. These findings indicate that immunodominance in HCMV infection is not predetermined in the naïve compartment, but is the result of virus-host interactions and suggest that prompt control of HCMV infection in pregnancy is associated with the rapid development of long-term IL-7Rpos memory HCMV-specific CD4+ T cells and a low risk of virus transmission to the fetus.

  8. Isolation of volatile compounds of Aloe excelsa (Berger ...

    African Journals Online (AJOL)

    PROMOTING ACCESS TO AFRICAN RESEARCH ... Industrial and pharmacological applications of volatile and non-volatile compounds isolated ... Three commercially important compounds, namely: phenylacetonitrile, carvone and limonene were identified using gas ... EMAIL FREE FULL TEXT EMAIL FREE FULL TEXT

  9. Dissociation of neural correlates of verbal and non-verbal visual working memory with different delays

    Directory of Open Access Journals (Sweden)

    Endestad Tor

    2007-10-01

    Full Text Available Abstract Background Dorsolateral prefrontal cortex (DLPFC, posterior parietal cortex, and regions in the occipital cortex have been identified as neural sites for visual working memory (WM. The exact involvement of the DLPFC in verbal and non-verbal working memory processes, and how these processes depend on the time-span for retention, remains disputed. Methods We used functional MRI to explore the neural correlates of the delayed discrimination of Gabor stimuli differing in orientation. Twelve subjects were instructed to code the relative orientation either verbally or non-verbally with memory delays of short (2 s or long (8 s duration. Results Blood-oxygen level dependent (BOLD 3-Tesla fMRI revealed significantly more activity for the short verbal condition compared to the short non-verbal condition in bilateral superior temporal gyrus, insula and supramarginal gyrus. Activity in the long verbal condition was greater than in the long non-verbal condition in left language-associated areas (STG and bilateral posterior parietal areas, including precuneus. Interestingly, right DLPFC and bilateral superior frontal gyrus was more active in the non-verbal long delay condition than in the long verbal condition. Conclusion The results point to a dissociation between the cortical sites involved in verbal and non-verbal WM for long and short delays. Right DLPFC seems to be engaged in non-verbal WM tasks especially for long delays. Furthermore, the results indicate that even slightly different memory maintenance intervals engage largely differing networks and that this novel finding may explain differing results in previous verbal/non-verbal WM studies.

  10. Curtailed T-cell activation curbs effector differentiation and generates CD8+ T cells with a naturally-occurring memory stem cell phenotype.

    Science.gov (United States)

    Zanon, Veronica; Pilipow, Karolina; Scamardella, Eloise; De Paoli, Federica; De Simone, Gabriele; Price, David A; Martinez Usatorre, Amaia; Romero, Pedro; Mavilio, Domenico; Roberto, Alessandra; Lugli, Enrico

    2017-09-01

    Human T memory stem (T SCM ) cells with superior persistence capacity and effector functions are emerging as important players in the maintenance of long-lived T-cell memory and are thus considered an attractive population to be used in adoptive transfer-based immunotherapy of cancer. However, the molecular signals regulating their generation remain poorly defined. Here we show that curtailed T-cell receptor stimulation curbs human effector CD8 + T-cell differentiation and allows the generation of CD45RO - CD45RA + CCR7 + CD27 + CD95 + -phenotype cells from highly purified naïve T-cell precursors, resembling naturally-occurring human T SCM . These cells proliferate extensively in vitro and in vivo, express low amounts of effector-associated genes and transcription factors and undergo considerable self-renewal in response to IL-15 while retaining effector differentiation potential. Such a phenotype is associated with a lower number of mitochondria compared to highly-activated effector T cells committed to terminal differentiation. These results shed light on the molecular signals that are required to generate long-lived memory T cells with potential application in adoptive cell transfer immunotherapy. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co.KGaA, Weinheim.

  11. Autoimmune Memory T Helper 17 Cell Function and Expansion Are Dependent on Interleukin-23

    Directory of Open Access Journals (Sweden)

    Christopher J. Haines

    2013-05-01

    Full Text Available Interleukin-23 (IL-23 is essential for the differentiation of pathogenic effector T helper 17 (Th17 cells, but its role in memory Th17 cell responses is unclear. Using the experimental autoimmune encephalomyelitis (EAE model, we report that memory Th17 cells rapidly expanded in response to rechallenge and migrated to the CNS in high numbers, resulting in earlier onset and increased severity of clinical disease. Memory Th17 cells were generated from IL-17+ and RORγt+ precursors, and the stability of the Th17 cell phenotype depended on the amount of time allowed for the primary response. IL-23 was required for this enhanced recall response. IL-23 receptor blockade did not directly impact IL-17 production, but did impair the subsequent proliferation and generation of effectors coexpressing the Th1 cell-specific transcription factor T-bet. In addition, many genes required for cell-cycle progression were downregulated in Th17 cells that lacked IL-23 signaling, showing that a major mechanism for IL-23 in primary and memory Th17 cell responses operates via regulation of proliferation-associated pathways.

  12. Anesthetic action of volatile anesthetics by using Paramecium as a model.

    Science.gov (United States)

    Zhou, Miaomiao; Xia, Huimin; Xu, Younian; Xin, Naixing; Liu, Jiao; Zhang, Shihai

    2012-06-01

    Although empirically well understood in their clinical administration, volatile anesthetics are not yet well comprehended in their mechanism studies. A major conundrum emerging from these studies is that there is no validated model to assess the presumed candidate sites of the anesthetics. We undertook this study to test the hypothesis that the single-celled Paramecium could be anesthetized and served as a model organism in the study of anesthetics. We assessed the motion of Paramecium cells with Expert Vision system and the chemoresponse of Paramecium cells with T-maze assays in the presence of four different volatile anesthetics, including isoflurane, sevoflurane, enflurane and ether. Each of those volatiles was dissolved in buffers to give drug concentrations equal to 0.8, 1.0, and 1.2 EC50, respectively, in clinical practice. We could see that after application of volatile anesthetics, the swimming of the Paramecium cells was accelerated and then suppressed, or even stopped eventually, and the index of the chemoresponse of the Paramecium cells (denoted as I ( che )) was decreased. All of the above impacts were found in a concentration-dependent fashion. The biphasic effects of the clinical concentrations of volatile anesthetics on Paramecium simulated the situation of high species in anesthesia, and the inhibition of the chemoresponse also indicated anesthetized. In conclusion, the findings in our studies suggested that the single-celled Paramecium could be anesthetized with clinical concentrations of volatile anesthetics and therefore be utilized as a model organism to study the mechanisms of volatile anesthetics.

  13. Simian immunodeficiency virus infection induces severe loss of intestinal central memory T cells which impairs CD4+ T-cell restoration during antiretroviral therapy.

    Science.gov (United States)

    Verhoeven, D; Sankaran, S; Dandekar, S

    2007-08-01

    Simian immunodeficiency virus (SIV) infection leads to severe loss of intestinal CD4(+) T cells and, as compared to peripheral blood, restoration of these cells is slow during antiretroviral therapy (ART). Mechanisms for this delay have not been examined in context of which specific CD4(+) memory subsets or lost and fail to regenerate during ART. Fifteen rhesus macaques were infected with SIV, five of which received ART (FTC/PMPA) for 30 weeks. Viral loads were measured by real-time PCR. Flow cytometric analysis determined changes in T-cell subsets and their proliferative state. Changes in proliferative CD4(+) memory subsets during infection accelerated their depletion. This reduced the central memory CD4(+) T-cell pool and contributed to slow CD4(+) T-cell restoration during ART. There was a lack of restoration of the CD4(+) central memory and effector memory T-cell subsets in gut-associated lymphoid tissue during ART, which may contribute to the altered intestinal T-cell homeostasis in SIV infection.

  14. MicroRNA-21 preserves the fibrotic mechanical memory of mesenchymal stem cells.

    Science.gov (United States)

    Li, Chen Xi; Talele, Nilesh P; Boo, Stellar; Koehler, Anne; Knee-Walden, Ericka; Balestrini, Jenna L; Speight, Pam; Kapus, Andras; Hinz, Boris

    2017-03-01

    Expansion on stiff culture substrates activates pro-fibrotic cell programs that are retained by mechanical memory. Here, we show that priming on physiologically soft silicone substrates suppresses fibrogenesis and desensitizes mesenchymal stem cells (MSCs) against subsequent mechanical activation in vitro and in vivo, and identify the microRNA miR-21 as a long-term memory keeper of the fibrogenic program in MSCs. During stiff priming, miR-21 levels were gradually increased by continued regulation through the acutely mechanosensitive myocardin-related transcription factor-A (MRTF-A/MLK-1) and remained high over 2 weeks after removal of the mechanical stimulus. Knocking down miR-21 once by the end of the stiff-priming period was sufficient to erase the mechanical memory and sensitize MSCs to subsequent exposure to soft substrates. Soft priming and erasing mechanical memory following cell culture expansion protects MSCs from fibrogenesis in the host wound environment and increases the chances for success of MSC therapy in tissue-repair applications.

  15. Non-invasive brain stimulation targeting the right fusiform gyrus selectively increases working memory for faces.

    Science.gov (United States)

    Brunyé, Tad T; Moran, Joseph M; Holmes, Amanda; Mahoney, Caroline R; Taylor, Holly A

    2017-04-01

    The human extrastriate cortex contains a region critically involved in face detection and memory, the right fusiform gyrus. The present study evaluated whether transcranial direct current stimulation (tDCS) targeting this anatomical region would selectively influence memory for faces versus non-face objects (houses). Anodal tDCS targeted the right fusiform gyrus (Brodmann's Area 37), with the anode at electrode site PO10, and cathode at FP2. Two stimulation conditions were compared in a repeated-measures design: 0.5mA versus 1.5mA intensity; a separate control group received no stimulation. Participants completed a working memory task for face and house stimuli, varying in memory load from 1 to 4 items. Individual differences measures assessed trait-based differences in facial recognition skills. Results showed 1.5mA intensity stimulation (versus 0.5mA and control) increased performance at high memory loads, but only with faces. Lower overall working memory capacity predicted a positive impact of tDCS. Results provide support for the notion of functional specialization of the right fusiform regions for maintaining face (but not non-face object) stimuli in working memory, and further suggest that low intensity electrical stimulation of this region may enhance demanding face working memory performance particularly in those with relatively poor baseline working memory skills. Published by Elsevier Inc.

  16. Design and Simulation of a Quaternary Memory Cell based on a Physical Memristor

    DEFF Research Database (Denmark)

    Nannarelli, Alberto; Taylor, Jonathan

    2016-01-01

    Memristors were theorized more than fifty years ago, but only recently physical devices with memristor’s behavior have been fabricated and shipped. In this work, we experiment on one of these physical memristors by designing a memristorbased memory cell, implementing the cell, and testing it. Our...... experiments demonstrate that the memristor technology is not yet mature for practical applications, but, nevertheless, when production will provide reliable and dependable devices, memristorbased memory systems may replace CMOS memories with some advantages....

  17. Changes in B Cell Populations and Merozoite Surface Protein-1-Specific Memory B Cell Responses after Prolonged Absence of Detectable P. falciparum Infection.

    Directory of Open Access Journals (Sweden)

    Cyrus Ayieko

    Full Text Available Clinical immunity to malaria declines in the absence of repeated parasite exposure. However, little is known about how B cell populations and antigen-specific memory B cells change in the absence of P. falciparum infection. A successful indoor residual insecticide spraying campaign in a highland area of western Kenya, led to an absence of blood-stage P. falciparum infection between March 2007 and April 2008. We assessed memory B cell responses in 45 adults at the beginning (April 2008 and end (April 2009 of a subsequent 12-month period during which none of the adults had evidence of asymptomatic parasitemia or clinical disease. Antibodies and memory B cells to the 42-kDa portion of the merozoite surface protein-1 (MSP-142 were measured using ELISA and ELISPOT assays, respectively. B cell populations were characterized by flow cytometry. From 2008 to 2009, the prevalence of MSP-142-specific memory B cells (45% vs. 55%, respectively, P = 0.32 or antibodies (91% vs. 82%, respectively, P = 0.32 did not differ significantly, although specific individuals did change from positive to negative and vice versa, particularly for memory B cells, suggesting possible low-level undetected parasitemia may have occurred in some individuals. The magnitude of MSP-142-specific memory B cells and levels of antibodies to MSP-142 also did not differ from 2008 to 2009 (P>0.10 for both. However, from 2008 to 2009 the proportions of both class-switched atypical (CD19+IgD-CD27-CD21-IgM- and class-switched activated (CD19+IgD-CD27+CD21-IgM- memory B cells decreased (both P<0.001. In contrast, class-switched resting classical memory B cells (CD19+IgD-CD27+CD21+IgM- increased (P<0.001. In this area of seasonal malaria transmission, a one- year absence of detectable P. falciparum infection was not associated with changes in the prevalence or level of MSP-142 specific memory B cells, but was associated with major changes in overall memory B cell subsets.

  18. Different Subsets of T Cells, Memory, Effector Functions, and CAR-T Immunotherapy.

    Science.gov (United States)

    Golubovskaya, Vita; Wu, Lijun

    2016-03-15

    This review is focused on different subsets of T cells: CD4 and CD8, memory and effector functions, and their role in CAR-T therapy--a cellular adoptive immunotherapy with T cells expressing chimeric antigen receptor. The CAR-T cells recognize tumor antigens and induce cytotoxic activities against tumor cells. Recently, differences in T cell functions and the role of memory and effector T cells were shown to be important in CAR-T cell immunotherapy. The CD4⁺ subsets (Th1, Th2, Th9, Th17, Th22, Treg, and Tfh) and CD8⁺ memory and effector subsets differ in extra-cellular (CD25, CD45RO, CD45RA, CCR-7, L-Selectin [CD62L], etc.); intracellular markers (FOXP3); epigenetic and genetic programs; and metabolic pathways (catabolic or anabolic); and these differences can be modulated to improve CAR-T therapy. In addition, CD4⁺ Treg cells suppress the efficacy of CAR-T cell therapy, and different approaches to overcome this suppression are discussed in this review. Thus, next-generation CAR-T immunotherapy can be improved, based on our knowledge of T cell subsets functions, differentiation, proliferation, and signaling pathways to generate more active CAR-T cells against tumors.

  19. Different Subsets of T Cells, Memory, Effector Functions, and CAR-T Immunotherapy

    Directory of Open Access Journals (Sweden)

    Vita Golubovskaya

    2016-03-01

    Full Text Available This review is focused on different subsets of T cells: CD4 and CD8, memory and effector functions, and their role in CAR-T therapy––a cellular adoptive immunotherapy with T cells expressing chimeric antigen receptor. The CAR-T cells recognize tumor antigens and induce cytotoxic activities against tumor cells. Recently, differences in T cell functions and the role of memory and effector T cells were shown to be important in CAR-T cell immunotherapy. The CD4+ subsets (Th1, Th2, Th9, Th17, Th22, Treg, and Tfh and CD8+ memory and effector subsets differ in extra-cellular (CD25, CD45RO, CD45RA, CCR-7, L-Selectin [CD62L], etc.; intracellular markers (FOXP3; epigenetic and genetic programs; and metabolic pathways (catabolic or anabolic; and these differences can be modulated to improve CAR-T therapy. In addition, CD4+ Treg cells suppress the efficacy of CAR-T cell therapy, and different approaches to overcome this suppression are discussed in this review. Thus, next-generation CAR-T immunotherapy can be improved, based on our knowledge of T cell subsets functions, differentiation, proliferation, and signaling pathways to generate more active CAR-T cells against tumors.

  20. Ventromedial prefrontal cortex pyramidal cells have a temporal dynamic role in recall and extinction of cocaine-associated memory.

    Science.gov (United States)

    Van den Oever, Michel C; Rotaru, Diana C; Heinsbroek, Jasper A; Gouwenberg, Yvonne; Deisseroth, Karl; Stuber, Garret D; Mansvelder, Huibert D; Smit, August B

    2013-11-13

    In addicts, associative memories related to the rewarding effects of drugs of abuse can evoke powerful craving and drug seeking urges, but effective treatment to suppress these memories is not available. Detailed insight into the neural circuitry that mediates expression of drug-associated memory is therefore of crucial importance. Substantial evidence from rodent models of addictive behavior points to the involvement of the ventromedial prefrontal cortex (vmPFC) in conditioned drug seeking, but specific knowledge of the temporal role of vmPFC pyramidal cells is lacking. To this end, we used an optogenetics approach to probe the involvement of vmPFC pyramidal cells in expression of a recent and remote conditioned cocaine memory. In mice, we expressed Channelrhodopsin-2 (ChR2) or Halorhodopsin (eNpHR3.0) in pyramidal cells of the vmPFC and studied the effect of activation or inhibition of these cells during expression of a cocaine-contextual memory on days 1-2 (recent) and ∼3 weeks (remote) after conditioning. Whereas optical activation of pyramidal cells facilitated extinction of remote memory, without affecting recent memory, inhibition of pyramidal cells acutely impaired recall of recent cocaine memory, without affecting recall of remote memory. In addition, we found that silencing pyramidal cells blocked extinction learning at the remote memory time-point. We provide causal evidence of a critical time-dependent switch in the contribution of vmPFC pyramidal cells to recall and extinction of cocaine-associated memory, indicating that the circuitry that controls expression of cocaine memories reorganizes over time.