WorldWideScience

Sample records for naturally etched tracks

  1. Naturally etched tracks in apatites and the correction of fission track dating

    CERN Document Server

    Tien, J L

    1999-01-01

    Naturally etched tracks have been found in apatites from the rapid cooled, high-level Kunon pluton in the Zhangzhou Igneous Complex, SE China. This is manifested by the fact that the apatite fission track (FT) age derived from conventional counting of spontaneous and induced tracks yields a result of 140.6+-6.5 Ma, which is much older than the ages determined using other methods on different minerals from the same rock. When tracks are observed after etching the polished inner sections of the apatite grains, the naturally etched tracks characterized by having hazy boundaries can be distinguished from the normal tracks with sharp boundaries. The age obtained by omitting these fading-resistant hazy tracks, 76.5+-4.0 Ma, indicates the time of the Kunon pluton cooling down to approx 100 deg. C. The corrected peak age (73.8 Ma) is consistent with the other apatite FT peak ages (79.2 to 70.2 Ma) of the nearly contemporaneous plutons in the same igneous complex.

  2. Etching behaviour of alpha-recoil tracks in natural dark mica studied via artificial ion tracks

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ARTs) created by the α-decay of U, Th, and their daughter nuclei, are used by a new dating method to determine the formation age of dark mica bearing Quaternary and Neogene volcanic rocks and the cooling age of plutonic and metamorphic rocks [Chem. Geol. 166 (2000) 127, Science 155 (1967) 1103]. The age equation combines the volumetric density of ARTs with the U and Th contents. Etching latent ARTs (diameter 30-100 nm) in the mica mineral phlogopite by HF and measuring the areal density of triangular etch pits by optical and scanning force microscopy (SFM) leads to a linear growth of ART areal density versus etching time. The ART volume density is a function of the slope of the areal density and the etching rate (v eff ). Therefore, the determination of v eff is essential for the calculation of an age value. To determine the etching parameters such as etching efficiency and v eff , phlogopite samples were irradiated with 80 keV Au ions. Irradiated surfaces were etched with 4% HF at 23±2 deg. C during successive time intervals and after each interval studied with SFM. The etching rate v eff was determined by different techniques. To evaluate the threshold of etchability, the energy losses of the Au ions and α-recoil nuclei in phlogopite were calculated with the SRIM00 code. The etching efficiency of the Au ion tracks was then used to predict the corresponding etching efficiency of the natural radioactive nuclei

  3. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  4. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  5. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  6. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  7. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  8. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  9. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  10. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  11. A study of etching model of alpha-recoil tracks in biotite

    International Nuclear Information System (INIS)

    Dong Jinquan; Yuan Wanming; Wang Shicheng; Fan Qicheng

    2005-01-01

    Like fission-track dating, alpha-recoil track (ART) dating is based on the accumulation of nuclear particles that the released from natural radioactivity and produce etchable tracks in solids. ARTs are formed during the alpha-decay of uranium and thorium as well as of their daughter nuclei. When emitting an alpha-particle, the heavy remaining nucleus recoils 30-40 nm, leaving behind a trail of radiation damage. Through etching the ART tracks become visible with the aid of an interference phase-contrast microscope. Under the presupposition that all tracks are preserved since the formation of a sample their total number is a measure of the sample's age. The research for etching model is to accurately determine ART volume density, i.e., the number of ARTs per unit volume. The volume density of many dots in many layers may be determined on a sample using this etching model, and as decreasing the error and increasing the accuracy. (authors)

  12. Neutron activation analysis of uranium by means of electrochemical etching of tracks in lawsan detectors

    International Nuclear Information System (INIS)

    Kim Son Chun; Chuburkov, Yu.T.; Zvara, I.I.

    1982-01-01

    The method of neutron activation analysis of uranium in natural and artificial materials using track lavsan detectors of fission fragments has been developed. The method of electrochemical etching (etching reagent NaOH) of fragment tracks in lavsan is improved. Using statistical method of experiment planning the equation, describing the dependence of diometer value of fission fragment tracks on parameters of etching process, is obtained. The analysis sensitivity is 10 - 7 g/g - 10 - 8 g/g

  13. Etching of fission tracks in silicate glasses by means of deionized water

    International Nuclear Information System (INIS)

    Dran, J.C.; Petit, J.C.

    1985-09-01

    Fission tracks have been revealed in silicate glasses with deionized water. Their sharp conical shape implies a marked enhancement of the dissolution rate along their core and consequently a cone angle and an etching efficiency (close to 100%) much higher than previously reported for glasses. We show that etching of fission tracks in natural environments has generally very limited geochemical implications except in specific cases such as that found in the Oklo uranium ores

  14. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  15. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  16. Current problems in chemical track etching

    International Nuclear Information System (INIS)

    Somogyi, G.

    1984-01-01

    A schematic survey is given on the current relevant problems of the etching (or revelation) of multi-track and single-track events in dielectric solids. Some aspects of the research trends and possible new applications of the effects observable here, are also considered. (author)

  17. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  18. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  19. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  20. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  1. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  2. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  3. Application of a cellular automaton for the evolution of etched nuclear tracks

    International Nuclear Information System (INIS)

    Cruz-Trujillo, Leonardo de la; Hernández-Hernández, C.; Vázquez-López, C.; Zendejas-Leal, B.E.; Golzarri, I.; Espinosa, G.

    2013-01-01

    In the present work, it is demonstrated the first application of cellular automata to the growing of etched nuclear tracks. The simplest case in which conical etched tracks are gradually formed is presented, as well as a general case of time varying etching rate V t . It is demonstrated that the cellular automata elements consist in an image pattern of the latent nuclear track input cells, 16 rules for updating states, the Moore neighborhood and an algorithm of four states. - Highlights: ► We model the evolution of an etched nuclear track using cellular automata (ca). ► A cellular automaton of a conical track has 4 states and 16 transition rules. ► The ca of general tracks require a not regular mesh and the L(t) and V b parameters

  4. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  5. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  6. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  7. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  8. Effect of various etching conditions on the response of Cr-39 plastic track detector applied for radon dosimetry in environment

    International Nuclear Information System (INIS)

    Maged, A.F.; Ashraf, F.A.

    1997-01-01

    A solid state nuclear track detector Cr-39 has been used for measuring the radon concentration in the soil air and indoor concentration. The bulk etch rate, C B of Cr-39 has been measured in various concentrations of NaOH in the range (6-8 mole) at temperature 70 degree C. In addition, the track etch rate, V T , and the ratio V = V T /V B , of alpha particles emitted from radon gas exists in nature have been measured in a similar range of etching conditions. This study shows that 8 M NaOH at 70 degree C represent the optimum etching conditions for Cr-39, with the range of the present study. The equilibrium factor and gamma-dose equivalent were calculated by using the track densities of open and filtered solid state nuclear track detectors

  9. Low-voltage electroosmotic pumping using polyethylene terephthalate track-etched membrane

    Energy Technology Data Exchange (ETDEWEB)

    Wang Ceming; Wang Lin [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Xue Jianming, E-mail: jmxue@pku.edu.cn [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Center for Applied Physics and Technology, Peking University, Beijing 100871 (China)

    2012-09-01

    We present experimental investigations of electroosmotic (EO) pumping using polyethylene terephthalate (PET) track-etched membrane at a low applied voltage. An EO pump based on PET track-etched membrane has been designed and fabricated. Pumping performance of the device is experimentally studied in terms of flow rate as a function of applied voltage and KCl aqueous concentration. The PET track-etched membrane EO pump can generate flow rates on the order of 10 {mu}l min{sup -1} cm{sup -2} at several applied volts. The measured flow rate tends to decrease with increasing KCl aqueous concentration. In addition, we study the EO flow in cylindrical nanopore with use of a continuum model, composed of Nernst Planck equations, Poisson equation and Navier Stokes equations.

  10. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  11. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  12. Artificial ion tracks in volcanic dark mica simulating natural radiation damage: A scanning force microscopy study

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Moine, B.; Mueller, C.; Neumann, R.; Wagner, G.A.

    2002-01-01

    A new dating technique uses alpha-recoil tracks (ART), formed by the natural α-decay of U, Th and their daughter products, to determine the formation age of Quaternary volcanic rocks ( 6 a). Visualization of etched ART by scanning force microscopy (SFM) enables to access track densities beyond 10 8 cm -2 and thus extend the new ART-dating technique to an age range >10 6 a. In order to simulate natural radiation damage, samples of phlogopite, originating from Quaternary and Tertiary volcanic rocks of the Eifel (Germany) and Kerguelen Islands (Indian Ocean) were irradiated with U, Ni (11.4 MeV/u), Xe, Cr, Ne (1.4 MeV/u) and Bi (200 keV) ions. After irradiation and etching with HF at various etching times, phlogopite surfaces were visualized by SFM. Hexagonal etch pits are typical of U, Xe and Cr ion tracks, but the etch pits of Ni, Ne and Bi ion tracks are triangular. Surfaces irradiated with U, Xe, Cr and Ni ions do not show any significant difference between etch pit density and irradiation fluence, whereas the Ne-irradiated surface show ∼14 times less etch pit density. The etching rate v H (parallel to cleavage) depends on the chemical composition of the phlogopite. The etching rate v T ' (along the track) increases with energy loss

  13. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  14. Evolution of etched nuclear track profiles of alpha particles in CR-39 by atomic force microscopy

    International Nuclear Information System (INIS)

    Félix-Bautista, R.; Hernández-Hernández, C.; Zendejas-Leal, B.E.; Fragoso, R.; Golzarri, J.I.; Vázquez-López, C.; Espinosa, G.

    2013-01-01

    A series of atomic force microscopy (AFM) images of etched nuclear tracks has been obtained and used to calculate the nuclear track registration sensitivity parameter V(x) = Vt(x)/Vb. Due to the AFM limitations the samples were irradiated normally to the surface, and with energies attenuated in order to include the Bragg peak region in the AFM piezo-scanner z movement range. The simulation of the track profile evolution was then obtained. The different stages of etched nuclear track profiles were rendered. - Highlights: ► Using AFM we reach that Bragg peak region of etched tracks in CR-39. ► The etched track sensitivity V was calculated by data obtained by AFM. ► The evolucion of etched nuclear tracks was simulated by data achieved by AFM

  15. Transport through track etched polymeric blend membrane

    Indian Academy of Sciences (India)

    Unknown

    Department of Physics, University of Rajasthan, Jaipur 302 004, India. MS received 10 June 2005 ... Both the track and bulk etching takes place in the irradiated membrane. ... using rotating flywheel attachment, the details having been given ...

  16. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  17. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    Science.gov (United States)

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost ( 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  18. Observations on the geometries of etched fission and alpha-recoil tracks with reference to models of track revelation in minerals

    International Nuclear Information System (INIS)

    Jonckheere, R.; Enkelmann, E.; Stuebner, K.

    2005-01-01

    The kinetic and atomistic theories of crystal growth and dissolution are used to interpret the shapes and orientations of fission-track, recoil-track and dislocation etch pits in tri-octahedral phlogopite and di-octahedral muscovite. An atomistic approach combined with symmetry considerations lead to the identification of the periodic bond chains that determine the etch pit morphologies and relative etch rates at a chemical level: O-Mg-O in phlogopite, O-Mg-O-Fe in biotite and O-Al-O in muscovite. Using first-order estimates of the bond strengths, it is possible to account for the relative track etch rates in these minerals. The reported, sometimes simultaneous, occurrence of triangular, polygonal and hexagonal etch pit contours in phlogopite, some of which violate the crystal symmetry, suggests that the cohesion of the phlogopite lattice is lost over a much larger radius than that of the track core around the trajectories of particles for which the energy loss exceeds a threshold value. This is interpreted as an indication of pronounced sublattice and anisotropic effects during track registration

  19. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  20. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  1. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  2. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  3. Radiation resistance of track etched membranes

    International Nuclear Information System (INIS)

    Buczkowski, M.; Sartowska, B.; Wawszczak, D.; Starosta, W.

    2001-01-01

    Track etched membranes (TEMs) obtained by irradiation of polymer films with heavy ions and subsequent etching of latent tracks can be applied in many fields and among others in biomedicine as well. It is important to know radiation resistance of TEMs because of wide use of radiation sterilization in the case of biomedical devices. Tensile properties of TEMs made of PET and PC films with the thickness of 10 μm after electron irradiation at different doses are known from literature. Nowadays TEMs are being manufactured from thicker (20 μm) PET and PC films as well as polyethylene naphthalate (PEN) films are proposed for TEMs. It seems to be important to get data about radiation resistance of new kinds of TEMs. Samples of polymer films made of PET and PEN with the thickness of 19-25 μm and TEMs made of such materials have been irradiated using 10 MeV electron beam with doses up to 990 kGy. Tensile properties and SEM photographs of the samples after irradiation are given in the paper

  4. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  5. Funnel-type etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Alfonta, L.; Klinkovich, I.

    2010-01-01

    Roč. 165, č. 5 (2010), s. 343-361 ISSN 1042-0150 R&D Projects: GA AV ČR(CZ) KAN400480701 Institutional research plan: CEZ:AV0Z10480505 Keywords : tracks * polymers * etching Subject RIV: JJ - Other Materials Impact factor: 0.660, year: 2010

  6. Image analysis used to count and measure etched tracks from ionizing radiation

    Science.gov (United States)

    Blanford, George E.; Schulz, Cindy K.

    1995-01-01

    We have developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains and plastic dosimeters. Tracks in lunar samples are formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. We worked on two samples identified for a consortium study of lunar weathering effects, 61221 and 67701. They were prepared by the lunar curator's staff as polished grain mounts that were etched in boiling 1 N NaOH for 6 h to reveal tracks. We determined that backscattered electron images taken at 10 percent contrast and approximately 50 percent brightness produced suitable high contrast images for analysis. We used the NIH Image program to cut out areas that were unsuitable for measurement such as edges, cracks, etc. We ascertained a gray-scale threshold of 25 to separate tracks from background. We used the computer to count everything that was two pixels or greater in size and to measure the area to obtain track densities. We found an excellent correlation with manual measurements for track densities below 1 x 10(exp 8) cm(exp -2). For track densities between 1 x 10(exp 8) cm(exp -2) to 1 x 10(exp 9) cm(exp -2) we found that a regression formula using the percentage area covered by tracks gave good agreement with manual measurements. We determined the track density distributions for 61221 and 67701. Sample 61221 is an immature sample, but not pristine. Sample 67701 is a submature sample that is very close to being fully mature. Because only 10 percent of the grains have track densities less than 10(exp 9) cm(exp -2), it is difficulty to determine whether the sample matured in situ or is a mixture of a mature and a submature soil. Although our analysis

  7. Comparison of fast neutron-induced tracks in plastics using the electrochemical etching method

    International Nuclear Information System (INIS)

    Cotter, S.J.; Gammage, R.B.; Thorngate, J.H.; Ziemer, P.L.

    1979-01-01

    Four plastics were examined by the electrochemical etching method for their suitability in registering fast neutron-induced recoil particle tracks. The plastics were cellulose acetate, cellulose triacetate, cellulose acetobutyrate and polycarbonate. Cellulose acetate and triacetate displayed high levels of water absorptivity during etching while the acetobutyrate foils cracked due to electromechanical stresses at high frequencies (>500 Hz). The clarity of the etched track was superior in the polycarbonate foils, suggesting the latter as the generally preferred dosimeter for fast neutrons. (author)

  8. On the structure of etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Hnatowicz, Vladimír; Vacík, Jiří; Apel, P. Yu.

    2016-01-01

    Roč. 121, APR (2016), s. 106-109 ISSN 0969-806X R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) LM2011019 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * track etching Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.315, year: 2016

  9. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  10. A spatial track formation model and its use for calculating etch-pit parameters of light nuclei

    International Nuclear Information System (INIS)

    Somogyi, G.; Scherzer, R.; Grabisch, K.; Enge, W.

    1976-01-01

    A generalized geometrical model of etch-pit formation in three dimensions is presented for nuclear particles entering isotropic solids at arbitrary angles of incidence. With this model one can calculate the relations between any particle parameter /Z = charge, M = mass, R = range, theta = angle of incidence/ and etching or track parameter /h = removed detector layer, L = track length, d = track diameter, etch-pit profile and contour/ for track etching rates varying monotonically along the trajectory of particles. Using a computer algorithm, calculations have been performed to study identification problems of nuclei of Z = 1-8 registered in a stack of polycarbonate sheets. For these calculations the etching rate ratio vs residual range curves were parametrized with a form of V -1 (R) = 1-Σasub(i) exp (- bsub(i)R) which does not involve the existence of a threshold for track registration. Particular attention was paid to the study of the evolution of etch-pit sizes for relatively high values of h. For this case, data are presented for the charge and isotope resolving power of the identification methods based on the relations L(R) of d(R). Calculations were also made to show the effect of the relative /parallel and opposite/ orientations between the directions of track etching and particle speed on etch-pit evolution. These studies offered new identification methods based on the determination of the curves L(parallel) vs L(opposite) and d(parallel) vs d(opposite), respectively. (orig.) [de

  11. Track-etch detection of radon in soils

    International Nuclear Information System (INIS)

    Cervantes Gonzales, P.; Gonzalez, D.

    1990-01-01

    In this work it is described the methodology to apply the track-etch technique, using detectors of nitrocellulose LR-115, for the detection of radon in soil. It is supported the use of the new detector carries and determined the parameters for revealing and counting of tracks in our conditions. It is shown in a preliminary way that this method gives better possibilities for analysis than another traditional technique to radon detection. The existence of radon was determined in the test zone. 15 refs

  12. CONTRIBUTION OF DIFFERENT PARTICLES MEASURED WITH TRACK ETCHED DETECTORS ONBOARD ISS.

    Science.gov (United States)

    Ambrožová, I; Davídková, M; Brabcová, K Pachnerová; Tolochek, R V; Shurshakov, V A

    2017-09-29

    Cosmic radiation consists of primary high-energy galactic and solar particles. When passing through spacecraft walls and astronauts' bodies, the spectrum becomes even more complex due to generating of secondary particles through fragmentation and nuclear interactions. Total radiation exposure is contributed by both these components. With an advantage, space research uses track etched detectors from the group of passive detectors visualizing the tracks of particles, in this case by etching. The detectors can discriminate between various components of cosmic radiation. A method is introduced for the separation of the different types of particles according to their range using track etched detectors. The method is demonstrated using detectors placed in Russian segment of the International Space Station in 2009. It is shown that the primary high-energy heavy ions with long range contribute up to 56% of the absorbed dose and up to 50% to the dose equivalent. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. Enlarging the fission fragment tracks in glass detectors by etching in weak solutions of HF - a safe etchant

    International Nuclear Information System (INIS)

    Singh, V.P.; Sharma, A.P.

    1982-01-01

    The effect of etchant concentration and temperature on track revelation properties of soda glass detectors has been studied. Etch rate ratio, maximum observable diameter and the energy resolution of the fission fragment tracks of 252 Cf in glasses are increased when the samples are etched in 1.25 vol% HF as compared to higher concentrations of HF and other etching solutions. The critical angle of etching is found to decrease with decrease in etchant concentration. The activation energies for bulk etching and track etching have also been estimated. Better results were obtained by using lower etching temperatures. (author)

  14. Thermal stability of dyed tracks and electrochemical etching sensitivity of some polymeric detectors

    International Nuclear Information System (INIS)

    Monnin, M.; Gourcy, J.; Somogyi, G.; Dajko, D.

    1980-01-01

    Recent results on the mechanism of the formation of tracks obtained by the dyed tracks technique are given and the thermal annealing of the detectors is used to demonstrate their ability to retain tracks under more severe conditions than by the etching technique. Electrochemical etching of polycarbonate and polyethylene terephthalate detectors is investigated both from the background and sensitivity points of view. The polyethylene terephthalate detector is shown to be well suited for low neutron flux measurements. (author)

  15. A study of the effect of natural radiation damage in a zircon crystal using thermoluminescence, fission track etching and X-ray diffraction

    International Nuclear Information System (INIS)

    Amin, Y.M.

    1989-01-01

    The natural radiation damage in zircon caused by the decay of uranium and thorium, present as impurities, is studied. The radiation damage is first gauged by etching the fission tracks. It is found that thermoluminescence (TL) sensitivity (defined as light output per unit test-dose) decreases as the radiation damage increases, suggesting a destruction of TL centers. The spacing d of the (112)-plane is also measured. It is also found that the d-value increases with radiation damage, suggesting the displacement of atoms from their normal lattice sites. However, as the track density increases beyond ≅ 3x10 6 tracks/cm 2 , the d-value remains at ≅ 2.52 A. By annealing the crystal, the displaced atoms are found to return to the original lattice sites, and this is followed by a reduction in d-value as well as the recovery of TL sensitivity. The fission track density also decreases and all the tracks disappear at the annealing temperature of ≅ 800 0 C. (orig.)

  16. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  17. Non-etching nuclear track visualization in polymers: fluorescent and dyed tracks

    International Nuclear Information System (INIS)

    Somogyi, G.; Toth-Szilagyi, M.; Monnin, M.; Gourcy, J.

    1979-01-01

    A report is presented on progress in two methods of non-etching nuclear track visualization. The basis for one method is to graft polymer chains in the vicinity of the ion path in a polymer, and then to dye it, making the track visible. The second method is similar, but in this case saturated organic molecules can be used, which prevents subsequent polymerization. The detector is soaked with a solution of a sensitization molecule that can react with a convenient dye and fix it. The sensitization molecules may diffuse into the detector either through the bulk of it or/ and along the region damaged by a charged particle. Depending on the detailed procedure used, the tracks may be seen as 'dyed tracks' with visible-light illumination or as 'fluorescent tracks' with u.v. illumination. Experimental procedures and results are given and discussed. (U.K.)

  18. Manufacture of anti-bogus label by track-etching technique

    International Nuclear Information System (INIS)

    He Xiangming; Wan Chunrong

    2006-01-01

    Anti-bogus label is manufactured by the track-etching technique. The apparent pattern on the label consists of track-etched pores on the membrane. The manufacture of the label depends on the intricate technology and the state strictly controls the sensitive nuclear facilities, ensuring that the label is not copied. The pattern on the label is specially characterized by permeability of liquid in order to distinguish it from a forged one. A genuine label can be distinguished from a sham one by a transparent liquid (e.g. water) or a colorful pen. Nowadays, the products of more than 100 famous brands (trade mark) have been protected from forgery by this technology in the market of China. This is a new method for the utilization of a research reactor

  19. Etching Properties of Poly ethyleneterephthalate (PET) Melinex-E Nuclear Track Detectors (NTDs)

    International Nuclear Information System (INIS)

    Ghanim, E.H.; Hussein, A.; El-samman, H.M.; Tretyakova, S.P.

    2009-01-01

    One of the main parameters that control track formation is the bulk etch rate, VB. The dependence of VB on etchant concentrations and temperatures was extensively carried out. It is found that, VB of the PET Melinex-E (C 10 H 8 O 4 ) depends upon the etchant temperature T through an Arrhenius equation. While, the dependence of VB on the etchant concentration; C followed the relation VB = A C n. The activation energy of etching, Eb, for the studied Melinex-E detector was calculated. An average value of Eb = 0.83 ± 0.03 eV was extracted. The variation of, VB, of PET with etching duration was studied and compared with that of CR-39 plastic at certain etching temperature; T e =60 degree C and at different etchant concentrations. The irradiation facilities were performed with the 252 Cf fission fragments and 129 Xe +8 (θi =π/2). Results of these studies were discussed in the frame work of nuclear track formation and etching theories

  20. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  1. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  2. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  3. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  4. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Cruz, S. A.; Garcia-Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 173, 1-2 (2018), s. 148-164 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * etching * conductometry * rectification * phase shift Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 0.443, year: 2016

  5. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Munoz, G. H.; García Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 420, č. 4 (2018), s. 57-68 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ion track * polymer * etching Subject RIV: BG - Nuclear, Atomic and Molecular Physics , Colliders OBOR OECD: Nuclear physics Impact factor: 1.109, year: 2016

  6. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  7. ATMS software: Fuzzy Hough Transform in a hybrid algorithm for counting the overlapped etched tracks and orientation recognition

    International Nuclear Information System (INIS)

    Khayat, O.; Ghergherehchi, M.; Afarideh, H.; Durrani, S.A.; Pouyan, Ali A.; Kim, Y.S.

    2013-01-01

    A computer program named ATMS written in MATLAB and running with a friendly interface has been developed for recognition and parametric measurements of etched tracks in images captured from the surface of Solid State Nuclear Track Detectors. The program, using image analysis tools, counts the number of etched tracks and depending on the current working mode classifies them according to their radii (small object removal) or their axis (non-perpendicular or non-circular etched tracks), their mean intensity value and their orientation through the minor and major axes. Images of the detectors' surfaces are input to the code, which generates text and figure files as output, including the number of counted etched tracks with the associated track parameters, histograms and a figure showing edge and center of detected etched tracks. ATMS code is running hierarchically as calibration, testing and measurement modes to demonstrate the reliability, repeatability and adaptability. Fuzzy Hough Transform is used for the estimation of the number of etched tracks and their parameters, providing results even in cases that overlapping and orientation occur. ATMS code is finally converted to a standalone file which makes it able to run out of MATLAB environment. - Highlights: ► Presenting a novel code named ATMS for nuclear track measurements. ► Execution in three modes for generality, adaptability and reliability. ► Using Fuzzy Hough Transform for overlapping detection and orientation recognition. ► Using DFT as a filter for noise removal process in track images. ► Processing the noisy track images and demonstration of the presented code

  8. Modification of track membranes structure by gas discharge etching method

    International Nuclear Information System (INIS)

    Dmitriev, S.N.; Kravets, L.I.

    1996-01-01

    An investigation of the properties of polyethyleneterephthalate track membranes (PET TM) treated with the plasma RF-discharge in air has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes, namely pore size and pore shape, porosity and mechanical strength has been studied. It was arranged that the effect of air plasma on the PET TM results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by the gas discharge etching method. Depending on the choice of discharge parameters, it is possible to make etching either in a part of the channel or along the whole length of the pore channels. In both cases the membranes with an asymmetric pore shape are formed which possess higher porosity and flow rate. The use of the membranes of such a type allows one to increase drastically the efficiency of the filtration processes. 12 refs., 5 figs., 1 tab

  9. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  10. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  11. Personnel neutron dosimetry applications of track-size distributions on electrochemically etched CR-39 foils

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1988-01-01

    The track-size distribution on electrochemically etched CR-39 foils can be used to obtain some limited information on the incident neutron spectra. Track-size distributions on CR-39 foils can also be used to determine if the tracks were caused by neutrons or if they are merely background tracks (which have a significantly different track-size distribution). Identifying and discarding the high-background foils reduces the number of foils that must be etched. This also lowers the detection limit of the dosimetry system. We have developed an image analyzer program that can more efficiently determine the track density and track-size distribution, as well as read the laser-cut identification numbers on each foil. This new image analyzer makes the routine application of track-size distributions on CR-39 foils feasible. 2 refs., 3 figs

  12. Track profile and range studies of heavy and light ions in CR-39: effect of thermal pre-treatment on etching characteristics

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Bull, R.K.; Durrani, S.A.

    1982-01-01

    Sheets of CR 39 have been irradiated with 20 Ne, 32 S, 40 Ar, 56 Fe and 63 Cu ions at normal incidence to the surface of the sheets and at normal incidence to the edges. The profiles of the etched tracks have been observed side-on and measurements made of the variation of V (the ratio of track to bulk etching velocities) along the tracks and of the fully etched range of the tracks. Heating the plastic at 100 0 C prior to etching produces zones within the plastic having different bulk etching rates Vsub(B). An outer zone of low Vsub(B) is followed by a zone of much higher Vsub(B). This allows tracks to be readily etched to very large diameters, comparable in size to the breakdown spots produced by electrochemical etching. (author)

  13. Track etch parameters and annealing kinetics assessment of protons of low energy in CR-39 detector

    International Nuclear Information System (INIS)

    Jain, R.K.; Kumar, Ashok; Singh, B.K.

    2012-01-01

    Highlights: ► We calibrate CR-39 detector with very low energy protons. ► We establish linear relationship between track diameter and time/energy up to 200 keV. ► We determine activation energy of annealing using different models. ► We justify concept of single annealing activation energy in CR-39. - Abstract: In this paper threshold of the registration sensitivity of very low energy proton in CR-39 is investigated. Irradiation of CR-39 (poly-allyl-diglycol carbonate) was carried out with very low energy mono energetic protons of 20–60 keV from a mini proton accelerator. Nearly 10 4 /cm 2 fluence of protons was used. The variation of track diameter with etching time as well as proton energy response curve was carefully calibrated. The bulk and track etch rates were measured by using proton track diameters. Bulk etch rate was also measured by the thickness of removed surface layer. The thermal annealing of proton track at temperatures ranging from 100 to 200 °C in CR-39 was studied by several models. Activation energy of annealed CR-39 detectors was calculated by slope of track etch rate and temperature plot. The data of proton tracks of 200, 250 and 300 keV from 400 kV Van-de-Graaff accelerator was also used and compared with the track diameters of different energies of proton.

  14. Track-etch dosemeter response to neutrons up to 300 MeV

    International Nuclear Information System (INIS)

    Devine, R.T.; Walker, S.; Staples, P.; Duran, M.; Mundis, R.; Miller, J.

    1996-01-01

    Electro-chemical and chemical track-etch dosemeters were obtained from commercial suppliers and exposed to neutrons produced at the LANTF WNR white neutron source at 15 degree with no shielding and filtered by polyethylene blocks of 2.5, 5.1, 10.2, 20.3 and 40.6 cin thickness. The neutron spectrum was determined using calculations. Mean energies from 28 to 300 MeV were produced. Dose was calculated from the NCRP-38 flux-to-dose conversion. The results are compared with NTA film which was exposed in the same configuration. The response of track etch dosimeters was found to reach a minimum and then rise as the average neutron energy increased. The response of the NTA film increased as the neutron energy increased

  15. Production parameters for the formation of metallic nanotubules in etched tracks

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Rao, V.; Wilhelm, M.; Demyanov, S.; Szimkowiak, P.; Behar, M.; Alegaonkar, P.S.; Chadderton, L.T.

    2003-01-01

    The formation of conducting nanotubules in etched tracks is reported in literature since about a decade. However, up to now precise production recipes are scarce. For this sake we present here a systematic study on some important factors that influence the formation of metallic nanotubules. In the case of chemical deposition, the first question to be answered is the choice of the activation technique to produce the required activation centers. Both the time of activation and the time of subsequent chemical deposition are crucial parameters in this connection. Finally, the maximum temperature is determined up to which thermal stability of the etched tracks and of the tubules therein is given. This study should allow one to predict better the efficiency of conducting nanotubule formation

  16. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  17. 3D computation of the shape of etched tracks in CR-39 for oblique particle incidence and comparison with experimental results

    International Nuclear Information System (INIS)

    Doerschel, B.; Hermsdorf, D.; Reichelt, U.; Starke, S.; Wang, Y.

    2003-01-01

    Computation of the shape of etch pits needs to know the varying track etch rate along the particle trajectories. Experiments with alpha particles and 7 Li ions entering CR-39 detectors under different angles showed that this function is not affected by the inclination of the particle trajectory with respect to the normal on the detector surface. Track formation for oblique particle incidence can, therefore, be simulated using the track etch rates determined for perpendicular incidence. 3D computation of the track shape was performed applying a model recently described in literature. A special program has been written for computing the x,y,z coordinates of points on the etch pit walls. In addition, the etch pit profiles in sagittal sections as well as the contours of the etch pit openings on the detector surface have been determined experimentally. Computed and experimental results were in good agreement confirming the applicability of the 3D computational model in combination with the functions for the depth-dependent track etch rates determined experimentally

  18. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  19. Influence of the particle discriminator for producing the microporous nuclear track etched membrane

    International Nuclear Information System (INIS)

    Thongphud, Apaporn; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2005-10-01

    The particle discriminator was used to focus the fission fragments from nuclear fission reaction between thermal neutron from the Thai Research Reactor and U-235 in uranium screen to strike almost normally to the polycarbonate (PC) film. The latent tracks in the thin 15 mm PC film were revealed after etching in 6N NaOH solution at 70 o C for 60 min. It was found that the tracks were porous. The porosity was more discrete and the pore shape was more circular as well. The track diameter was measured 3.73 +- 0.32 mm. It was also found that using particle discriminators with increasing thickness during exposure gives fewer pores in the PC film, after chemical etching under the same condition as above

  20. Breakthrough in fake prevention. Nuclear track-etching

    International Nuclear Information System (INIS)

    Yan Yushun; He Xiangming; Zhang Quanrong

    1999-01-01

    Nuclear particle track-etched anti-counterfeit marking is a new weapon against fake products. The marks is manufactured by intricate high technology in state-controlled sensitive nuclear facilities which ensures that the mark can not be copied. The pattern of the mark is characterized by its permeability, and can be distinguished from fakes by using a transparent liquid (e.g. water), colored pen or chemical reagent. The technique has passed the of facial health safety examination and poses no danger of nuclear irradiation

  1. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  2. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  3. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  4. LET spectrometry with track etch detectors-Use in high-energy radiation fields

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2008-01-01

    For assessing the risk from ionizing radiation it is necessary to know not only the absorbed dose but also the quality of the radiation; radiation quality is connected with the physical quantity linear energy transfer (LET). One of the methods of determination of LET is based on chemically etched track detectors. This contribution concerns with a spectrometer of LET based on the track detectors and discusses some results obtained at: ·high-energy radiation reference field created at the SPS accelerator at CERN; and ·onboard of International Space Station where track-etch based LET spectrometer has been exposed 273 days during 'Matrjoshka - R' experiment. Results obtained are compared with the results of studies at some lower-energy neutron sources; some conclusions on the registrability of neutrons and the ability of this spectrometer to determine dose equivalent in high-energy radiation fields are formulated

  5. Radon Measurements in Egypt using passive etched track detectors. A Review

    Energy Technology Data Exchange (ETDEWEB)

    Gomaa, M A [National Network of Radiation Physics. Atomic Energy Authority (Egypt); Hussein, A S [Radiation Protection Department, Nuclear Power Plants Authority, (Egypt); El-Arabi, A M [Physics Department, Faculty of Science, South Valley University, Qena, (Egypt)

    2005-04-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water.

  6. Radon Measurements in Egypt using passive etched track detectors. A Review

    International Nuclear Information System (INIS)

    Gomaa, M.A.; Hussein, A.S.; El-Arabi, A.M.

    2005-01-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water

  7. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    Energy Technology Data Exchange (ETDEWEB)

    Groetz, J.E.; Chambaudet, A. [Universite de Franche-Comte, Besancon (France). Lab. de Microanalyses Nucleaires; Lacourt, A. [Laboratoire d`Optique P.M. Duffieux, UMR 6603 CNRS, Universite de Franche-Comte, 16 route de Gray, 25030 Besancon Cedex (France)

    1998-08-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet`s principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.) 14 refs.

  8. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    International Nuclear Information System (INIS)

    Groetz, J.E.; Chambaudet, A.

    1998-01-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet's principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.)

  9. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  10. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  11. Measurement of radon and thoron present in the environment using nuclear track etch detector technique

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Lalit, B.Y.; Mishra, U.C.

    1986-01-01

    The use of solid state nuclear track detectors (SSNTD) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess the radon and thoron concentrations in some high background areas of South India and underground non-uranium mines in Bihar State. Exposed SSNTD films are chemically etched in an alkali solution and the alpha tracks are evaluated under an optical microscope. The detailed procedure for this study and the calibration of the etched films for conversion of alpha track density to radon and thoron concentrations in pCi l -1 are given in this paper. It was found that 1.9 tracks cm -2 day -1 and 6.2 tracks cm -2 day -1 were produced by exposing the LR-115 foils to 1 pCi l -1 of thoron and radon respectively. (author)

  12. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  13. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    International Nuclear Information System (INIS)

    Spurny, F.; Turek, K.

    2004-01-01

    Cosmic rays contribute to the exposure on the Earth's surface as well as in its surroundings. At the surface and/or at aviation altitudes, there are mostly secondary particles created through the cosmic rays interaction in the atmosphere, which contribute to this type of exposure. Onboard a spacecraft, the exposure comes mostly from primary cosmic rays. Track-etched detectors (TED) are able to characterise both these types of exposure. The contribution of neutrons, of cosmic origin, on the Earth's surface was studied at altitudes from few hundreds to 3000 m using TED in a moderator sphere. The results obtained are compared with other data on this type of natural radiation background. The results of studies performed onboard aircraft and/or spacecraft are presented afterwards. We used TED-based neutron dosemeter, as well as a spectrometer of linear energy transfer based on a chemically etched TED. The results of studies performed onboard aircraft, as well as spacecraft, are presented and discussed, including an attempt to estimate a neutron component onboard the spacecraft. It was found that they correlate with the results of other independent investigations. (authors)

  14. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    In the present work, attempts have been made to investigate the modification in particle track etching response of polyallyl diglycol carbonate (PADC) due to impact of 2 MeV electrons. PADC samples pre-irradiated to 1, 10, 20, 40, 60, 80 and 100 Mrad doses of 2 MeV electrons were further exposed to 140 MeV 28Si beam ...

  15. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  16. Nuclear particle track-etched anti-bogus mark

    International Nuclear Information System (INIS)

    He Xiangming; Yan Yushun; Zhang Quanrong

    2003-01-01

    Nuclear particle track-etched anti-bogus mark is a new type of forgery-proof product after engraving gravure printing, thermocolour, fluorescence, laser hologram and metal concealed anti-bogus mark. The mark is manufactured by intricate high technology and the state strictly controlled sensitive nuclear facilities to ensure the mark not to be copied. The pattern of the mark is specially characterized by permeability of liquid to be discriminated from forgery. The genuine mark can be distinguished from sham one by transparent liquid (e.g. water), colorful pen and chemical reagent. The mark has passed the official examination of health safety. It is no danger of nuclear irradiation. (author)

  17. Radon measurements by etched track detectors applications in radiation protection, earth sciences and the environment

    CERN Document Server

    Durrani, Saeed A

    1997-01-01

    Exposure to radon gas, which is present in the environment naturally, constitutes over half the radiation dose received by the general public annually. At present, the most widely used method of measuring radon concentration levels throughout the world, both in dwellings and in the field, is by etched track detectors - also known as Solid State Nuclear Detectors (SSNTDs). Although this is not only the most widely used method but is also the simplest and the cheapest, yet there is at present no book available on the market globally, devoted exclusively or largely to the methodology of, and deal

  18. A novel approach to particle track etching: surfactant enhanced control of pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Dmitriev, S.N.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    Based on the understanding of the mechanism behind a long observed but thus far unexplained effect, a new method to control the geometry of nano- and micropores is described. Surfactant molecules added to an etching solution used for etching out ion tracks, create a steric-hindrance effect which is responsible for the formation of 'bottleneck' or 'cigar-like' pores. Filtration membranes thus obtained exhibit significantly improved flow rates without deterioration in the retention properties. New applications are made possible with these new pore geometries

  19. Track etch detectors with air gap for measurements of radon in soil

    Energy Technology Data Exchange (ETDEWEB)

    Turek, K; Bednar, J [Czech Academy of Sciences, 18086 Prague (Czech Republic). Nuclear Research Inst., Dept. of Radiation Dosimetry; Neznal, M [Radon Corp., 28922 Lysa nad Labem (Czech Republic)

    1996-12-31

    The main aim of this study was to develop a method of radon concentration measurements in soil using track etch detectors without cups. Our approach enables to minimize the detector dimensions resulting into smaller diameter of drilled holes, more rigid construction, easier handling and mailing, lower consumption of material and consequently in lower costs. The parallel arrangement of two track etch detectors in the open metallic holder seems to be promising as the complementary method to the commonly used cup-technique for radon measurement. The firmness, simple and compact construction, small size as well as low costs could be successfully utilized mainly in field measurement. The possibility of a variable sensitivity by the distance between the detectors makes the system versatile for many applications, 2 detectors with different h can practically exclude of under- or overexposure. The more precise calibrations including exposures in radon-chamber and study of an eventual influence of humidity are supposed to be done in the nearest future. (J.K) 2 tabs.

  20. Determination by Small-angle X-ray Scattering of Pore Size Distribution in Nanoporous Track-etched Polycarbonate Membranes

    Science.gov (United States)

    Jonas, A. M.; Legras, R.; Ferain, E.

    1998-03-01

    Nanoporous track-etched membranes with narrow pore size distributions and average pore size diameters tunable from 100 to 1000 Åare produced by the chemical etching of latent tracks in polymer films after irradiation by a beam of accelerated heavy ions. Nanoporous membranes are used for highly demanding filtration purposes, or as templates to obtain metallic or polymeric nanowires (L. Piraux et al., Nucl. Instr. Meth. Phys. Res. 1997, B131, 357). Such applications call for developments in nanopore size characterization techniques. In this respect, we report on the characterization by small-angle X-ray scattering (SAXS) of nanopore size distribution (nPSD) in polycarbonate track-etched membranes. The obtention of nPSD requires inverting an ill-conditioned inhomogeneous equation. We present different numerical routes to overcome the amplification of experimental errors in the resulting solutions, including a regularization technique allowing to obtain the nPSD without a priori knowledge of its shape. The effect of deviations from cylindrical pore shape on the resulting distributions are analyzed. Finally, SAXS results are compared to results obtained by electron microscopy and conductometry.

  1. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  2. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  3. Computer image analysis of etched tracks from ionizing radiation

    Science.gov (United States)

    Blanford, George E.

    1994-01-01

    I proposed to continue a cooperative research project with Dr. David S. McKay concerning image analysis of tracks. Last summer we showed that we could measure track densities using the Oxford Instruments eXL computer and software that is attached to an ISI scanning electron microscope (SEM) located in building 31 at JSC. To reduce the dependence on JSC equipment, we proposed to transfer the SEM images to UHCL for analysis. Last summer we developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains. Tracks were formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. As part of a consortium effort to better understand the maturation of lunar soil and its relation to its infrared reflectance properties, we worked on lunar samples 67701,205 and 61221,134. These samples were etched for a shorter time (6 hours) than last summer's sample and this difference has presented problems for establishing the correct analysis conditions. We used computer counting and measurement of area to obtain preliminary track densities and a track density distribution that we could interpret for sample 67701,205. This sample is a submature soil consisting of approximately 85 percent mature soil mixed with approximately 15 percent immature, but not pristine, soil.

  4. Track-etched nanopores in spin-coated polycarbonate films applied as sputtering mask

    International Nuclear Information System (INIS)

    Nix, A.-K.; Gehrke, H.-G.; Krauser, J.; Trautmann, C.; Weidinger, A.; Hofsaess, H.

    2009-01-01

    Thin polycarbonate films were spin-coated on silicon substrates and subsequently irradiated with 1-GeV U ions. The ion tracks in the polymer layer were chemically etched yielding nanopores of about 40 nm diameter. In a second process, the nanoporous polymer film acted as mask for structuring the Si substrate underneath. Sputtering with 5-keV Xe ions produced surface craters of depth ∼150 nm and diameter ∼80 nm. This arrangement can be used for the fabrication of track-based nanostructures with self-aligned apertures.

  5. Grafting of acrylic acid on etched latent tracks induced by swift heavy ions on polypropylene films

    International Nuclear Information System (INIS)

    Mazzei, R.; Fernandez, A.; Garcia Bermudez, G.; Torres, A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Tadey, D.

    2008-01-01

    In order to continue with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then, these were etched and grafted with acrylic acid (AA) monomers. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grating yield as a function of the fluence and etching time was obtained. In addition, the permeation of solutions, with different pH, through PP grafted foils was measured

  6. Analysis of etchants behavior on the electrochemical etching amplification of fast-neutron-induced recoil particle tracks in polycarbonate

    International Nuclear Information System (INIS)

    Masnadi Shirazi Nezhad, K.

    1979-08-01

    The composition, concentration, and temperature of etchant are important parameters controlling electrochemical etching (ECE) amplification of charged particle tracks in polymers. These parameters were further studied for sohralir polycarbonate neutron dosimeter (Sohrabi 1974), using potassium hydroxide (KOH) and sodium hydroxide (NaOH) solutions, and a mixture of potassium hydroxide, ethanol, and water (PEW solution), at different concentrations applying a field strength of 32KV/cm at 2KHz frequency using 250 μ thick polycarbonate exposed to fast neutrons. The recoal track density per rad of neutrons, in general, was found to increase by increasing the etchant concentration reaching a semi-platean after which it increases again. This increase is up to a concentration at which a track removing process occurs and no tracks have been amplified anymore. This track removing process occurred at about 11 normality in both KOH (50% by weight) and NaOH (30% by weight) solution at 25degC. The mean track diameter, in general, passed through a cyclic variation having a maximun and a minimum. For NaOH solution, the track removing process occurred at the minimum point. In the three regions of the track diameter curve the tracks appear in different shapes especially in KOH solution. The PEW solution at its optimum conditions was more effective in terms of both sensitivity, track diameter and a shorter period of etching. The chemical mechanism of etching process may be explained to be a ''saponification'' process. These studies further support the adequacy of Sohrabi dosimeter for routing health physics and radiation research applications. The above parameters are further discussed and the results as well as the advantages and disadvantages of the above etchants are given. (author)

  7. Calculation of bulk etch rate’s semi-empirical equation for polymer track membranes in stationary and dynamic modes

    Directory of Open Access Journals (Sweden)

    A. Mashentseva

    2013-05-01

    Full Text Available One of the most urgent and extremely social problems in environmental safeties area in Kazakhstan is providing the population of all regions of the country with quality drinking water. Development of filter elements based on nuclear track-etch membranes may be considered as one of best solutions this problem. The values of bulk etch rate and activation energy were calculated in view the effect of temperature, alkaline solution concentration as well as stirring effect. The semi-empirical equation of the bulk etch rate for PET track membranes was calculated. As a result of theoretical and experimental studies a semi-empirical equation of the bulk etch rate VB=3.4∙1012∙C2.07∙exp(-0.825/kT for 12 microns PET film, irradiated by ions 84Kr15+ (energy of 1.75 MeV/nucleon at the heavy ion accelerator DC-60 in Astana branch of the INP NNC RK, was obtained. 

  8. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  9. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  10. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Turek, Karel

    2004-01-01

    Roč. 109, č. 4 (2004), s. 375-381 ISSN 0144-8420 R&D Projects: GA AV ČR KSK4055109 Grant - others:EC project(XE) FIGM-CT2000-00068 Institutional research plan: CEZ:AV0Z1048901 Keywords : track-etched detectors * cosmic rays * aircraft Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.617, year: 2003

  11. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  12. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  13. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    energy by an ionizing particle traversing a material medium. When the ... Their amorphous nature and radiation sensitivity further ... The samples were washed thoroughly in lukewarm soap solution to avoid non-uniformity in etching due to ...

  14. Nuclear Track-Etched Pore Membrane Production Using OAEP's Research Reactor

    International Nuclear Information System (INIS)

    Chittrakarn, Thawat; Bhongsuwan, Tripob; Wanichapichart, Pikul; Nuanuin, Paiboon; Chongkum, Somporn; Khonduangkaew, Areerat; Bordeepong, Sunaree

    2003-10-01

    Result of this study shows that the OAEP's nuclear research reactor is a good source of both fast and thermal neutrons for pore piercing process on polycarbonate thin film. With our experimental design, the fast neutron provides better results in pore piercing comparing with thermal neutron bombardment. This can be explained that most of the latent tracks that occur by thermal neutron bombardment do not piercing through the thin film. Chemical etching process using NaOH solution with an appropriated time, concentration and temperature was employed to enlarge the latent tracks in the bombarded film by fast neutrons. Fast neutron bombardment with 5, 10 and 20 minutes bombarding time successfully produces the nuclear track membrane. Pore size and pore density of the produced membranes examined by SEM were 0.24-1.01 μm and 4.67 - 245 x 10 6 pore/cm 2 , respectively. Bubble point test showed the maximum pore diameter of the produced membrane ranged between 1.18 - 3.25 μm. Water permeability was studied and compared between the produced and commercial membranes

  15. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    International Nuclear Information System (INIS)

    Muench, Falk; Seidl, Tim; Rauber, Markus; Peter, Benedikt; Brötz, Joachim; Krause, Markus; Trautmann, Christina; Roth, Christina; Katusic, Stipan; Ensinger, Wolfgang

    2014-01-01

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10 10 channels per cm 2 of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m 2  g −1 to 732 m 2  g −1 . At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix. • Freely adjustable meso- or

  16. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    Energy Technology Data Exchange (ETDEWEB)

    Muench, Falk, E-mail: muench@ca.tu-darmstadt.de [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Seidl, Tim; Rauber, Markus [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Peter, Benedikt; Brötz, Joachim [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Krause, Markus; Trautmann, Christina [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Roth, Christina [Department of Chemistry and Biochemistry, Freie Universität Berlin, Takustraße 3, 14195 Berlin (Germany); Katusic, Stipan [Evonik Industries AG, Rodenbacher Chaussee 4, 63457 Hanau (Germany); Ensinger, Wolfgang [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany)

    2014-12-15

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10{sup 10} channels per cm{sup 2} of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m{sup 2} g{sup −1} to 732 m{sup 2} g{sup −1}. At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix.

  17. Method to improve the evaluation of a combination track-etch dosimeter/spectrometer

    International Nuclear Information System (INIS)

    Brackenbush, L.W.; Parkhurst, M.A.; Hadlock, D.E.; Faust, L.G.

    1983-09-01

    A paper is summarized which describes a method of determining the neutron energy spectrum through spectrum unfolding techniques to more accurately assess the dose equivalent from track-etch dosimeters. A mathematical technique is described which can be used in conjunction with the neutron detectors to more accurately estimate neutron dose equivalent. The technique is based upon solutions to a system of Fredholm integral equations of the first type

  18. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  19. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  20. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  1. Study of α-energy discrimination in CR-39 track etch detectors for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.; Al-Najjar, S.A.R.; Piesch, E.

    1988-01-01

    The properties of CR 39 nuclear track detectors were evaluated for their α-energy discrimination up to 8.77 MeV using a combination of chemical-electrochemical track revealing techniques. Using three field strengths, α-energy discrimination by ECE track diameter and track density as a function of chemical pre-etching time were studied. α-energy spectra using different irradiation geometries were then evaluated using the optimum conditions and then compared with those obtained form other techniques. (author)

  2. Electrochemical synthesis of gold nanorods in track-etched polycarbonate membrane using removable mercury cathode

    International Nuclear Information System (INIS)

    Sharma, Manoj K.; Ambolikar, Arvind S.; Aggarwal, Suresh K.

    2012-01-01

    The electrochemical template synthesis of gold nanorods within the cylindrical pores of track-etched polycarbonate (PC) membrane using a removable mercury cathode is reported. The novelty of this new approach is that it eliminates the requirement of coating an approximately 500 nm–1 μm-thick metallic layer, as conducting substrate, onto one surface of the insulating template membrane by the sputter deposition technique. A two-compartment electrochemical cell was designed and used for this work. The PC membrane was placed between the two compartments separating the aqueous solution of HAuCl 4 from mercury. Mercury, filled in one of the compartments, is in contact with one surface of the membrane (similar to sputter-deposited metallic layer) and serves as the conducting substrate/cathode for the electrochemical deposition of gold in the nanopores of track-etched PC membrane. Once the electrodeposition is completed, the mercury and the HAuCl 4 solution are removed from the compartments, and a malleable track-etched PC membrane embedded with free-standing gold nanorods is obtained. The ensemble of the metal nanorods grown in the template membrane is not attached to any conducting substrate, and gold nanorods can be freed from the template membrane after the dissolution. The Au-deposited PC membrane and free-standing Au nanorods were characterized by EDXRF, XRD, UV–Visible spectroscopy, AFM, and FEG-TEM. The EDXRF and XRD studies confirmed the deposition of the face-centered cubic phase of Au in the pores of the PC membrane. The TEM studies showed the formation of a cigar-shaped gold nanorod in the cylindrical pores of the PC membrane. The diameter of gold nanorods ranges from 100 to 200 nm. The new approach is simple, cost-effective, and saves time.

  3. Operational comparison of TLD albedo dosemeters and etched-track detectors in the PuO2-UO2 mixed oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Takada, C.; Yoshida, T.; Momose, T.

    2005-01-01

    Full text: The authors carried out an operational study that compared the use of TLD albedo dosemeters with etched-track detector in plutonium environments of Japan Nuclear Cycle Development Institute, Tokai Works. A selected group of workers engaged in the fabrication process of MOX (PuO 2 -UO 2 mixed oxide) fuel wore both TLD albedo dosemeters and etched-track detectors over a period from 1991 to 1993. The TLD albedo dosemeter is the Panasonic model UD-809P and the etched-track detector is the NEUTRAK (polyallyl diglycol carbonate + 1mm-t polyethylene radiator) commercially available from Nagase-Landauer Ltd. Both dosemeters were issued and read monthly. It was found that the TL readings were generally proportional to the counted etch-pits, and thus the dose equivalent results obtained from TLD albedo dosemeter agreed with those from etched-track detector within a factor of 1.5. This result indicates that, in the workplaces of the MOX plants, the neutron spectrum remained almost constant in terms of time and space, and the appropriate range of field-specific correction with spectrum variations could be small in albedo dosimetry. In addition, the calibrations of both dosemeters in the workplaces and in a bare and moderated 252 Cf calibration field were performed for quantitative validation for the results from the operational comparison. In the former experiments, locations were selected that were representative of typical neutron measurements according to the prior neutron spectra measurements with the multi-sphere spectrometer. In the latter experiments, the workplace environments were simulated by using a 252 Cf source surrounded with cylindrical steel/PMMA moderators. From both experiments, the relationship between TL readings and counted etch-pits with neutron spectrum variation was determined. As expected, the relationship obtained from the simulated workplace field calibration reproduced that from the operational comparison. (author)

  4. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  5. Track etch and thermo luminescent detectors response to high energy charged particles

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Jadrníčková, Iva

    2008-01-01

    Roč. 43, Supp. 1 (2008), S169-S173 ISSN 1350-4487. [International Conference on Solids /23./. Beijing, 11.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0795 Grant - others:Evropské společenství(XE) ILSRA - 2004 - 248 Institutional research plan: CEZ:AV0Z10480505 Keywords : track etch detector * thermoluminescent detectors * LET spectrometry Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2008

  6. Pinning of 1800 Bloch walls at etched nuclear tracks in LPE-grown iron garnet films

    International Nuclear Information System (INIS)

    Krumme, J.; Bartels, I.; Strocka, B.; Witter, K.; Schmelzer, C.; Spohr, R.

    1977-01-01

    For increasing the magnetic-wall coercivity H/sup w//sub c/ in liquid-phase epitaxial (LPE) ferrimagnetic garnet films of composition (Gd,Bi) 3 (Fe,Al,Ga) 5 O 12 , magnetic-wall ''traps'' are formed via bombardment by xenon ions with 180-MeV/ion energy and doses between 10 6 and 10 8 cm -2 . For efficient wall pinning, the width of the nuclear damage tracks associated with the ion trajectories in the film have been enlarged to about the wall width by using a selective (chemical) etchant that makes use of the drastically increased etching rate in the damaged track volume. Therefore, channels of cylindrical or prismatic cross section are created having a width of a few 10 2 to about 10 3 A and a length of more than 10 μm at the given etching conditions. The pinning capability of such channels can be further enhanced in films that are grown under planar compressive or tensile misfit strain. Then, strain relaxation occurs in the vicinity of these channels which results in steep gradients of the magnetic-wall energy via magnetostriction. These strain halos extend sufficiently beyond the channels so that efficient wall pinning is observed, even if the channel cross section is small compared with the wall width. Thermomagnetic compensation-point writing in LPE garnet film, that were treated accordingly, yield a pattern of stable magnetic domains of down to 8 μm in diameter in 3-μm-thick layers. The effect of etched nuclear tracks on the magnetic-wall coercivity can be interpreted satisfactorily with present models on H/sup w//sub c/

  7. Observation of nuclear track in organic material by atomic force microscopy in real time during etching

    CERN Document Server

    Palmino, F; Labrune, J C

    1999-01-01

    The developments of Atomic Force Microscopy (AFM) allow to investigated solid surfaces with a nanometer scale. These techniques are useful methods allowing direct observation of surface morphologies. Particularly in the nuclear track fields, they offer a new tool to give many new informations on track formation. In this paper we present the preliminary results of a new use of this technique to characterize continuously the formation of the revealed track in a cellulose nitrate detector (LR115) after an alpha particle irradiation. For that, a specific cell has been used to observe, by nano-observations, the evolution of track shapes simultaneously with chemical treatment. Thus, the track shape evolution has been studied; visualizing the evolution of the tracks in real time, in situ during the chemical etching process.

  8. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  9. Solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Medeiros, J.A.; Carvalho, M.L.C.P. de

    1992-12-01

    Solid state nuclear track detectors (SSNTD) are dielectric materials, crystalline or vitreous, which registers tracks of charged nuclear particles, like alpha particles or fission fragments. Chemical etching of the detectors origin tracks that are visible at the optical microscope: track etching rate is higher along the latent track, where damage due to the charged particle increase the chemical potential, and etching rate giving rise to holes, the etched tracks. Fundamental principles are presented as well as some ideas of main applications. (author)

  10. Effect of neutron irradiation on etching, optical and structural properties of microscopic glass slide used as a solid state nuclear track detector

    International Nuclear Information System (INIS)

    Singh, Surinder; Kaur Sandhu, Amanpreet; Prasher, Sangeeta; Prakash Pandey, Om

    2007-01-01

    Microscopic glass slides are soda-lime glasses which are readily available and are easy to manufacture with low production cost. The application of these glasses as nuclear track detector will help us to make use of these glasses as solid-state nuclear track detector. The present paper describes the variation in the etching, optical and structural properties of the soda-lime microscopic glass slides due to neutron irradiation of different fluences. The color transformation and an increase in the optical absorption with neutron irradiation are observed. Both the bulk and track etch rates are found to increase with neutron fluence, thus showing a similar dependence on neutron fluence, but the sensitivity remains almost constant

  11. Mechanism of track formation by charged particles in inorganic and organic solid-state track detectors

    International Nuclear Information System (INIS)

    Doerschel, B.; Pretzsch, G.; Streubel, G.

    1979-01-01

    Knowledge of the individual phases of track formation mechanism is necessary in some applications of solid-state track detectors. The generation of latent tracks is described by energy transfer processes of the charged particles along their paths using several different models. Etchability of the latent tracks is discussed on the basis of some distinct criteria taking into account different fractions of energy release by the primary and secondary particles during track generation. If these etchability criteria for latent tracks are fulfilled, visual particle tracks can be produced by a chemical etching process. Etch pit formation depends on the etching conditions. The geometrical parameters of the etching pits are given on the basis of known etching rates. Evaluation of individual particle tracks or determination of track density yields results depending on both the properties of the particles and the etching conditions. Determination of particle energy and particle fluence is discussed as an example. (author)

  12. Visualization of boron in molybdenum by α-rays track etching method and tritium autoradiography

    International Nuclear Information System (INIS)

    Saito, Hideo; Morita, Fumio

    2003-01-01

    Molybdenum alloys addicted with < 0.02 ppm B to 160 ppm B were analyzed by α-rays track etching (ATE) method irradiated by thermal neutron for 12 hours using atomic reactor of Rikkyo University and Japan atomic reactor of JRR-4. It was found that boron was segregated along grain boundaries and in the matrix. We analyzed boron distribution in the vicinity of the triple junctions at grain boundaries and in the matrix by the statistical frequency of α-rays tracks. Also we studied tritium autoradiography by cathodic charging method. Visualization of boron distribution was confirmed along the grain boundary which seemed to be effective trapping sites of hydrogen. (author)

  13. Study on active faults in the Izu Peninsula using α track etch method

    International Nuclear Information System (INIS)

    Katoh, K.; Ikeda, K.; Takahashi, M.; Nagata, S.; Yanagihara, C.

    1981-01-01

    The α track etch method, which is one of the geochemical survey methods for the mapping and detection of active faults and the evaluation of their activities, has been applied to ten sites for the purpose of the earthquake prediction research program. The method conventionally measures relative radon concentration in the soil gas by counting the number of tracks per cm 2 .day on a small piece of plastic film (cellulose nitrate) which is sensitive to α-ray radiation. As the result of the track measurement on many survey lines crossing ten active faults including earthquake faults in the Izu Peninsula, the following was clarified: 1. The peak of track number appears mostly on fault lines but sometimes shifts from it. The line connecting peaks on the several survey lines corresponds to the strike of fault. 2. Relative position between the peak and the fault line on the surface suggests the type of fault, normal or reverse. 3. The track number observed on thin Quaternary strata is generally larger than that on thick Quaternary strata at an active fault concerned. This fact shows that the rising time of radon gas is controlled by the thickness of covering strata. (author)

  14. Application of solid state nuclear track detectors in measurement of natural alpha- radioactivity in environment

    Energy Technology Data Exchange (ETDEWEB)

    Maged, A F; El-Behay, A Z; Borham, E [National Center for Radiation Research and Technology, Atomic Energy Authority, Cairo (Egypt)

    1997-12-31

    The use of solid state nuclear track detectors (SSNTDs) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess radon gas and its daughters in buildings. Exposed SSNTD films are chemically etched in an alkali solution and alpha tracks are evaluated by using the image analyzer system. The detailed procedure for this study and the etched films for conversion of alpha track density to radon concentration in Bq m{sup -}3 are given and discussed in the text.1 fig., 3 tabs.

  15. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1977-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track-etching technique, mainly the etching situations related to detector geometry, and the relationship between registration sensitivity and the etching parameters are considered. Special attention is paid to the behaviour of track-revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization are discussed. (author)

  16. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1976-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track etching technique mainly the etching situations related to detector geometry and the relationship of registration sensitivity and the etching parameters are considered. A special attention is paid to the behaviour of track revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization is discussed. (orig.) [de

  17. Effect of Pore Geometry on Resistive-Pulse Sensing of DNA Using Track-Etched PET Nanopore Membrane

    International Nuclear Information System (INIS)

    Kaya, Dila; Dinler, Ali; San, Nevim; Kececi, Kaan

    2016-01-01

    We have investigated the effect of nanopore geometry on translocation properties of poly(ethylene terephthalate) (PET) membranes. In order to vary the cone angles of the conically shaped nanopores, which were obtained by track-etch method, we have added different volume fractions of methanol to the alkali etching solution. We have confirmed through the characterization of PET membranes that methanol has a promoting effect on cone angle. Additionally, we have reported the positive influence of a higher cone angle for resistive pulse sensing of 50-bp DNA. We have also shown the change in electric field as a function of cone angle by using finite element simulations and confirmed a higher electric field with increasing cone angle.

  18. Latent tracks in polymeric etched track detectors

    International Nuclear Information System (INIS)

    Yamauchi, Tomoya

    2013-01-01

    Track registration properties in polymeric track detectors, including Poly(allyl diglycol carbonate), Bispenol A polycarbonate, Poly(ethylen terephtarate), and Polyimide, have been investigated by means of Fourie transform Infararede FT-IR spectrometry. Chemical criterion on the track formation threshold has been proposes, in stead of the conventional physical track registration models. (author)

  19. Properties of polymer foils used as solid-state track detectors

    International Nuclear Information System (INIS)

    Spurny, F.

    1973-05-01

    Polymer foils were studied with a view to their application as solid-state alpha track detectors. The detection efficiency was determined as was its alpha energy dependence and the quality of the surface and the natural background of the foils were evaluated. The kinetics of etching was studied in three selected type of foils. Characteristic constants for the selected foils and methods of etching were calculated. The possible applications of the foils as track detectors are discussed and the effect is dealt with of the selected foil and of the method of chemical etching on the foil applicability in nuclear sciences, especially in fast neutron dosimetry and in alpha spectrometry. (author)

  20. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  1. Dosimetry and microdosimetry using LET spectrometer based on the track-etch detector: radiotherapy Bremsstrahlung beam, onboard aircraft radiation field

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2006-01-01

    The spectrometer of linear energy transfer (Let) based on the chemically etched poly-allyl-diglycol-carbonate (P.A.D.C.) track-etch detector was developed several years ago in our institute. This Let spectrometer enables determining Let of particles approximately from 10 to 700 keV/μm. From the Let spectra, dose characteristics can be calculated. The contribution presents the Let spectra and other dosimetric characteristics obtained onboard a commercial aircraft during more than 6 months long exposure and in the 18 MV radiotherapy Bremsstrahlung beam. (authors)

  2. Response of LET spectrometer based on track etching at some neutron sources

    International Nuclear Information System (INIS)

    Spurny, Frantisek; Brabcova, Katerina; Jadrnickova, Iva

    2008-01-01

    There is still need to develop upgrade, and test further methods able to characterise the external exposure to neutrons. This contribution presents further results obtained with the goal to enlarge and upgrade the possibility of neutron dosimetry and microdosimetry with a LET spectrometer based on the chemically etched track detectors (TED). As TED we have used several types of polyallyldiglycolcarbonates (PADC). The PADC detectors have been exposed in: high energy neutron beams at iThemba facility, Cape Town, South Africa, and in monoenergetic neutron beams at JRC Geel, Belgium. The studies have been performed in the frame of the ESA supported project DOBIES. (author)

  3. Electrochemical development of particle tracks in CR-39 polymer dosimeter

    International Nuclear Information System (INIS)

    Hadlock, D.E.; Parkhurst, M.A.; Yang, C.S.; Groeger, J.; Johnson, J.R.; Huang, S.J.

    1985-09-01

    Electrochemical etching of CR-39 polymeric track etch neutron detectors results in proton-recoil tracks can be distinguished from background tracks much better than tracks developed solely by chemical etching. A newly designed and constructed electrochemical etching apparatus allows large numbers of dosimeters to be processed simultaneously with consistent results. Many processing systems have been developed for chemical and electrochemical etching of the track etch dosimeters. Three systems specifically show great promise and are being studied extensively

  4. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    Czech Academy of Sciences Publication Activity Database

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurný, František; Yukihara, E.; Gaza, R.; McKeever, S.

    2006-01-01

    Roč. 120, 1- 4 (2006), s. 433-437 ISSN 0144-8420 R&D Projects: GA MŠk 1P05OC032 Institutional research plan: CEZ:AV0Z10480505 Keywords : bacteria l experiments * space flight * etched track detectors * thermoluminescent detectors Subject RIV: DN - Health Impact of the Environment Quality Impact factor: 0.446, year: 2006

  5. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  6. Growth and morphological analysis of segmented AuAg alloy nanowires created by pulsed electrodeposition in ion-track etched membranes

    Directory of Open Access Journals (Sweden)

    Ina Schubert

    2015-06-01

    Full Text Available Background: Multicomponent heterostructure nanowires and nanogaps are of great interest for applications in sensorics. Pulsed electrodeposition in ion-track etched polymer templates is a suitable method to synthesise segmented nanowires with segments consisting of two different types of materials. For a well-controlled synthesis process, detailed analysis of the deposition parameters and the size-distribution of the segmented wires is crucial.Results: The fabrication of electrodeposited AuAg alloy nanowires and segmented Au-rich/Ag-rich/Au-rich nanowires with controlled composition and segment length in ion-track etched polymer templates was developed. Detailed analysis by cyclic voltammetry in ion-track membranes, energy-dispersive X-ray spectroscopy and scanning electron microscopy was performed to determine the dependency between the chosen potential and the segment composition. Additionally, we have dissolved the middle Ag-rich segments in order to create small nanogaps with controlled gap sizes. Annealing of the created structures allows us to influence their morphology.Conclusion: AuAg alloy nanowires, segmented wires and nanogaps with controlled composition and size can be synthesised by electrodeposition in membranes, and are ideal model systems for investigation of surface plasmons.

  7. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  8. Sources of error in etched-track radon measurements and a review of passive detectors using results from a series of radon intercomparisons

    International Nuclear Information System (INIS)

    Ibrahimi, Z.-F.; Howarth, C.B.; Miles, J.C.H.

    2009-01-01

    Etched-track passive radon detectors are a well established and apparently simple technology. As with any measurement system, there are multiple sources of uncertainty and potential for error. The authors discuss these as well as good quality assurance practices. Identification and assessment of sources of error is crucial to maintain high quality standards by a measurement laboratory. These sources can be found both within and outside the radon measurement laboratory itself. They can lead to changes in track characteristics and ultimately detector response to radon exposure. Changes don't just happen during etching, but can happen during the recording or counting of etched-tracks (for example ageing and fading effects on track sensitivity, or focus and image acquisition variables). Track overlap means the linearity of response of detectors will vary as exposure increases. The laboratory needs to correct the calibration curve due to this effect if it wishes to offer detectors that cover a range of exposures likely to be observed in the field. Extrapolation of results to estimate annual average concentrations also has uncertainty associated with it. Measurement systems need to be robust, reliable and stable. If a laboratory is not actively and constantly monitoring for anomalies via internal testing, the laboratory may not become aware of a problem until some form of external testing occurs, eg an accreditation process, performance test, interlaboratory comparison exercise or when a customer has cause to query results. Benchmark standards of accuracy and precision achievable with passive detectors are discussed drawing on trends from the series of intercomparison exercises for passive radon detectors which began in 1982, organised by the National Radiological Protection Board (NRPB), subsequently the Health Protection Agency (HPA).

  9. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  10. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  11. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  12. Study of gamma irradiation effects on the etching and optical properties of CR-39 solid state nuclear track detector and its application to uranium assay in soil samples

    International Nuclear Information System (INIS)

    Amol Mhatre; Kalsi, P.C.

    2011-01-01

    The gamma irradiation effects in the dose range of 2.5-43.0 Mrad on the etching and optical characteristics of CR-39 solid state nuclear track detector (SSNTD) have been studied by using etching and UV-Visible spectroscopic techniques. From the measured bulk etch rates at different temperatures, the activation energies for bulk etching at different doses have also been determined. It is seen that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. The optical band gaps of the unirradiated and the gamma -irradiated detectors determined from the UV-Visible spectra were found to decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation. The present studies can be used for the estimation of gamma dose in the range of 2.5-43.0 Mrad and can also be used for estimating track registration efficiency in the presence of gamma dose. The CR-39 detector has also been applied for the assay of uranium in some soil samples of Jammu city. (author)

  13. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  14. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  15. Solid state nuclear track detection principles, methods and applications

    CERN Document Server

    Durrani, S A; ter Haar, D

    1987-01-01

    Solid State Nuclear Track Detection: Principles, Methods and Applications is the second book written by the authors after Nuclear Tracks in Solids: Principles and Applications. The book is meant as an introduction to the subject solid state of nuclear track detection. The text covers the interactions of charged particles with matter; the nature of the charged-particle track; the methodology and geometry of track etching; thermal fading of latent damage trails on tracks; the use of dielectric track recorders in particle identification; radiation dossimetry; and solid state nuclear track detecti

  16. Preparation of fluoropolymer-based ion-track membranes. Structure of latent tracks and pretreatment effect

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Nuryanthi, Nuryanthi; Koshikawa, Hiroshi; Sawada, Shinichi; Hakoda, Teruyuki; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari

    2012-01-01

    High-energy heavy-ion induced damage, called latent tracks m organic polymers can sometimes be etched out chemically to give submicro- and nano-sized pores. Our focus is placed on ion-track membranes of poly(vinylidene fluoride) (PVDF), a type of fluoropolymer, which were previously considered as a matrix of polymer electrolyte fuel-cell membranes. There have been no optimized methods of preparing the PVDF-based ion-track membranes. We thus examined chemical structures of the defects created in the track, and accordingly, presented a pretreatment technique for achieving more efficient track etching. A 25 μm-thick PVDF film was bombarded with 1.1 GeV 238 U or 450 MeV 129 Xe ions. In the multi-purpose chamber, degradation processes were monitored in-situ by FT-IR spectroscopy and residual gas analysis as a function of the fluence up to 6.0 x 10 11 ions/cm 2 . The films irradiated at 8 ions/cm 2 were etched in a 9 M KOH aqueous solution at 80degC. We also performed the conductometric etching, which allows monitoring of pore evolution versus etching time by recording the electrical conductance through the membrane. At fluences above 1 x 10 10 ions/cm 2 , the film showed two new absorption bands identified as double-bond stretching vibrations of in-chain unsaturations -CH=CF- and fluorinated vinyl groups -CF 2 CH=CF 2 . These defects would result from the evolution of HF. The knowledge of the solubility in a permanganate alkaline solution and our preliminary experiment suggested the importance of oxidized tracks for the easy introduction of the etching agent. We finally found that the pretreatment with ozone could oxidize the double bonds in the tracks, thereby vigorously promoting track etching before breakthrough. (author)

  17. Investigation of the various factors affecting the response of passive configuration track etch dosimeters to working level hour exposure in radon and radon daughter atmospheres. Final report

    International Nuclear Information System (INIS)

    Lovett, D.B.

    1976-01-01

    The work described in this report was directed toward identifying and devising methods to minimize the previously observed variability between working level hour exposures and the alpha particle track densities resulting on Track Etch films exposed to mine atmospheres containing radon and radon daughters. The disequilibrium between radon and its daughters was shown to directly affect the Track Etch film calibration factor. The wide range of disequilibrium states possible in mine situations amply explains the variability in the previous tests. A file of published mine atmosphere composition data was compiled and was used, along with atmospheric compositions derived from several theoretical models of the atmospheric behavior, to evaluate the variability of several dosimeter configurations and concepts

  18. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  19. Determining of the track parameters in solid state nuclear track detectors Cr 39 due to alpha particles

    International Nuclear Information System (INIS)

    Kostic, D.; Nikezic, D.

    1997-01-01

    An equation of the etch pit wall is proposed to be used for simulation of the track growth and calculating the major and the minor axis of etch pit opening. Dependence on the following parameters is set up: distance along a track from the point where the particle entered the detector, ratio of the track etch wall to the bulk etch rate, integration constant determined from particle penetration depth and normal distance from the particle trajectory to the etch pit wall. The corresponding computer program was written. The input parameters of this program are: alpha particles energy, incidence angle and removed layer; the output gives track parameters. The results obtained by this method are compared to another approach given by Somogy and Szalay (1973) and a reasonably good agreement is found. (author)

  20. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  1. Gamma dosimetry with CR-39 etch track detector

    International Nuclear Information System (INIS)

    Matiullah; Dogar, A.H.; Ahmad, N.; Amin, M.; Kudo, Katsuhisa

    1999-01-01

    To preserve and improve the safety of food for commercial purposes, it is exposed to high gamma-ray doses. The gamma-ray doses used for this purpose range from 0.15 kGy to 50 kGy. At such high doses, the etching characteristics of CR-39 are severely affected. This property, therefore, can be used to develop a CR-39-based gamma dosimeter. In this context, systematic studies were carried out and the bulk etching rate was determined as a function of gamma-ray dose using different methods. (author)

  2. Range measurements and track kinetics in Dielectric Nuclear Track Detectors (DNTDs)

    Energy Technology Data Exchange (ETDEWEB)

    Aframian, A

    1981-01-01

    Observations of nuclear track development profiles and the kinetics of etched tracks in sensitive dielectric nuclear track detectors indicate three separate phases: the inception phase or the cone phase, the transition phase and the sphere phase. Continued etching of the sphere phase to through-tracks yields accurate range data for particles of different masses and energies and minimum critical angles of registration for each particle. The present results show an energy resolution of 40 keV (fwhm) for 5.48 MeV alpha-particles emitted from Am-241.

  3. Radon and radon-daughter exposure measurements by through-etched track registration in cellulose nitrate

    International Nuclear Information System (INIS)

    Knoefell, T.M.J.; Silva Estrada, J.J. da; Tavares, O.A.P.; Martins, J.B.

    1981-01-01

    The use of cellulose nitrate films LR-115 type II (Kodak-Pathe) as a practical, exposure integrating device to measure the level of exposure to alpha particles in atmospheres which contain radon and radon-daughter products is investigated. The analysis of a number of cellulose nitrate films that have been exposed to calibrated radon test-chamber atmospheres has indicated good correlations between through-etched track density p and integrated alpha-particle exposure Σa (Working-Level-Hour). It is shown that the response of the cellulose nitrate detector to radon-daughter alpha-particle exposures is linear, and that reliable conservative estimations of the Working-Level-Hour can be obtained from Σa = 3.0(p-b), where p is expressed in tracks/mm 2 (b is the background level). These results recommend the use of the special red cellulose nitrate films as a convenient dosimeter for monitoring radioactive contaminants in mine atmospheres. (Author) [pt

  4. The spark counting of etched fission-fragment tracks in polycarbonate for a personal neutron dosimetry system

    International Nuclear Information System (INIS)

    Harrison, K.G.; Hancock, I.B.; Holt, P.D.; Wylie, J.W.

    1977-10-01

    A new type of personal neutron dosimeter, in which neutron-induced fissions in a thin 237 Np foil are detected by a polycarbonate track-detector, is under development at Harwell for use in a nuclear-fuel reprocessing plant. As part of the development programme, an experimental dosimeter, etching facility and spark counter have been used to study the spark-counting method for counting fission-fragment tracks in polycarbonate. Emphasis has been placed on developing operating procedures for the counter consistent with good overall reproducibility. Existing methods for the optimizing and testing of spark counters is briefly reviewed and a practical operational testing procedure is devised. The optimized system is found to be relatively foolproof in operation and gives good results in unskilled use as well as under carefully-controlled laboratory conditions. (author)

  5. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  6. Energy dependence of fast neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Morgan, K.Z.

    1978-01-01

    Registration of fast-neutron induced recoil tracks by the electrochemical etching technique as applied to sensitive Lexan polycarbonate foils provides a simple and inexpensive means of fast neutron personnel dosimetry. The sensitivity (tracks/neutron) of recoil particle registration is given as a function of neutron energy. Neutrons of 7 Li (p,n) 7 Be, 3 T (d,n) 4 He and 9 B, respectively. Results are compared with other studies using other neutron sources and conventional etching method

  7. On the application of solid state nuclear track detectors (SSNTD)

    International Nuclear Information System (INIS)

    Almasi, G.

    1983-01-01

    The thesis presented deals with theoretical and technical aspects of the use of SSNTDs for the registration of charged particles. For thin SSNTD relations between the geometrical parameters of the etch tracks, the etch rate ratio and the etched-off thickness are given which together with calculations on the particle range versus energy make an identification of the particles with respect to their energy as well as their nature possible. For the purpose of the measurement of Radon and α-emitting Radon daughters in living rooms the geometry of the cups has been optimized. The calibration factors have been calculated and verified experimentally. For the evaluation of the track density of thin SSNTD a conductance cell has been set up. Radon concentrations and equilibrium factors were measured over a period of half a year in rooms made of concrete, wood and bricks having identical geometrical dimensions and ventilation rates. The results obtained are discussed briefly. In a long series of experiments which showed the important role of the electroforetic forces involved the 'sequential electrical and chemical etching' technique proposed first by Tommasino and coworkers in 1979 was modified. By means of an optimized choice of the electrolytic solutions the development time could be reduced from about two weeks to two to three hours. Therefore this technique seems to be a useful method to obtain enlarged etch tracks without background interference. (Author)

  8. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  9. Development of a new approach to simulate a particle track under electrochemical etching in polymeric detectors

    International Nuclear Information System (INIS)

    Mostofizadeh, Ali; Huang, Yudong; Kardan, M. Reza; Babakhani, Asad; Sun Xiudong

    2012-01-01

    A numerical approach based on image processing was developed to simulate a particle track in a typical polymeric detector, e.g., polycarbonate, under electrochemical etching. The physical parameters such as applied voltage, detector thickness, track length, the radii of curvature at the tip of track, and the incidence angle of the particle were considered, and then the boundary condition of the problem was defined. A numerical method was developed to solve Laplace equation, and then the distribution of the applied voltage was obtained through the polymer volume. Subsequently, the electric field strengths in the detector elements were computed. In each step of the computation, an image processing technique was applied to convert the computed values to grayscale images. The results showed that a numerical solution to Laplace equation is dedicatedly an attractive approach to provide us the accurate values of electric field strength through the polymeric detector volume as well as the track area. According to the results, for a particular condition of the detector thickness equal to 445 μm, track length of 21 μm, the radii of 2.5 μm at track tip, the incidence angle of 90°, and the applied voltage of 2080 V, after computing Laplace equation for an extremely high population of 4000 × 4000 elements of detector, the average field strength at the tip of track was computed equal to 0.31 MV cm −1 which is in the range of dielectric strength for polymers. The results by our computation confirm Smythe’s model for estimating the ECE-tracks.

  10. Composition dependent thermal annealing behaviour of ion tracks in apatite

    Energy Technology Data Exchange (ETDEWEB)

    Nadzri, A., E-mail: allina.nadzri@anu.edu.au [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia); Schauries, D.; Mota-Santiago, P.; Muradoglu, S. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia); Trautmann, C. [GSI Helmholtz Centre for Heavy Ion Research, Planckstrasse 1, 64291 Darmstadt (Germany); Technische Universität Darmstadt, 64287 Darmstadt (Germany); Gleadow, A.J.W. [School of Earth Science, University of Melbourne, Melbourne, VIC 3010 (Australia); Hawley, A. [Australian Synchrotron, 800 Blackburn Road, Clayton, VIC 3168 (Australia); Kluth, P. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia)

    2016-07-15

    Natural apatite samples with different F/Cl content from a variety of geological locations (Durango, Mexico; Mud Tank, Australia; and Snarum, Norway) were irradiated with swift heavy ions to simulate fission tracks. The annealing kinetics of the resulting ion tracks was investigated using synchrotron-based small-angle X-ray scattering (SAXS) combined with ex situ annealing. The activation energies for track recrystallization were extracted and consistent with previous studies using track-etching, tracks in the chlorine-rich Snarum apatite are more resistant to annealing than in the other compositions.

  11. A parameterization of nuclear track profiles in CR-39 detector

    Science.gov (United States)

    Azooz, A. A.; Al-Nia'emi, S. H.; Al-Jubbori, M. A.

    2012-11-01

    on any theory of liability, whether in contract, strict liability, or tort (including negligence or otherwise) arising in any way out of the use of this software, even if advised of the possibility of such damage. No. of lines in distributed program, including test data, etc.: 15598 No. of bytes in distributed program, including test data, etc.: 3933244 Distribution format: tar.gz Programming language: MATLAB. Computer: Any Desktop or Laptop. Operating system: Windows 1998 or above (with MATLAB R13 or above installed). RAM: 512 Megabytes or higher Classification: 17.5. Nature of problem: A new semispherical parameterization of charged particle tracks in CR-39 SSNTD is carried out in a previous paper. This parameterization is developed here into a MATLAB based software to calculate the track length and track profile for any proton or alpha particle energy or etching time. This software is intended to compete with the TRACK_TEST [1] and TRACK_VISION [2] software currently in use by all people working in the field of SSNTD. Solution method: Based on fitting of experimental results of protons and alpha particles track lengths for various energies and etching times to a new semispherical formula with four free fitting parameters, the best set of energy independent parameters were found. These parameters are introduced into the software and the software is programmed to solve the set of equations to calculate the track depth, track etching rate as a function of both time and residual range for particles of normal and oblique incidence, the track longitudinal profile at both normal and oblique incidence, and the three dimensional track profile at normal incidence. Running time: 1-8 s on Pentium (4) 2 GHz CPU, 3 GB of RAM depending on the etching time value References: [1] ADWT_v1_0 Track_Test Computer program TRACK_TEST for calculating parameters and plotting profiles for etch pits in nuclear track materials. D. Nikezic, K.N. Yu Comput. Phys. Commun. 174(2006)160 [2] AEAF

  12. Precise small-angle X-ray scattering evaluation of the pore structures in track-etched membranes: Comparison with other convenient evaluation methods

    Energy Technology Data Exchange (ETDEWEB)

    Miyazaki, Tsukasa, E-mail: t_miyazaki@cross.or.jp [Neutron Science and Technology Center, Comprehensive Research Organization for Science and Society, 162-1, Shirakata, Tokai-mura, Naka-gun, Ibaraki 319-1106 (Japan); Takenaka, Mikihito [Department of Polymer Chemistry, Gradual School of Engineering, Kyoto University, Kyotodaigaku-katsura, Kyoto 615-8510 (Japan)

    2017-03-01

    Poly(ethylene terephthalate) (PET)-based track-etched membranes (TMs) with pore sizes ranging from few nanometers to approximately 1 μm are used in various applications in the biological field, and their pore structures are determined by small-angle X-ray scattering (SAXS). These TMs with the nanometer-sized cylindrical pores aligned parallel to the film thickness direction are produced by chemical etching of the track in the PET films irradiated by heavy ions with the sodium hydroxide aqueous solution. It is well known that SAXS allows us to precisely and statistically estimate the pore size and the pore size distribution in the TMs by using the form factor of a cylinder with the extremely long pore length relative to the pore diameter. The results obtained were compared with those estimated with scanning electron microscopy and gas permeability measurements. The result showed that the gas permeability measurement is convenient to evaluate the pore size of TMs within a wide length scale, and the SEM observation is also suited to estimate the pore size, although SEM observation is usually limited above approximately 30 nm.

  13. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    International Nuclear Information System (INIS)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-01-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H 2 O 2 under UV irradiation (H 2 O 2 /UV) and Fenton system under visible light (Fenton/H 2 O 2 /Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H 2 O 2 /UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H 2 O 2 /Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  14. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Science.gov (United States)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-12-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H2O2 under UV irradiation (H2O2/UV) and Fenton system under visible light (Fenton/H2O2/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H2O2/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H2O2/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  15. An improved technique for fission track dating

    International Nuclear Information System (INIS)

    Zhao Yunlong; Wu Zhaohui; Xia Yuliang

    1996-01-01

    The necessity of improving the fission track dating (FTD) technique both at home and abroad is illustrated. The ways of making such improvement are also proposed. It is suggested to calibrate the constant b value of the uranium standard glass by using the method of fission products activity. The 3 kinds of uranium standard glass which have been calibrated are NBS SRM962a, UB 1 and UB 2 . An established new method σ·Φ ρ d /b, to measure neutron fluence, avoids the influence of the varying neutron spectrum on measuring neutron fluence. The improved etching technique for fission tracks in zircon adopted a two-step method which includes the molten alkali system etching using NaOH + KOH and the mixed acid system etching using HNO 3 + HF; this technique results in adequate track etching, increased track clarity and less interference. In this way the intensity of tracks is authentically reflected. Dividing angular zone in accordance with the angular distribution of spontaneous fission track on the crystal surface of minerals to count the tracks and using the improved etching technique to remove the non-uniform angular distribution of spontaneous fission tracks in zircon, ensure the accuracy of tracks count. The improved FTD techniques were used to finish Laboratory Standardized Calibration. The tests using international FTD age standards samples have proved that above mentioned techniques are reliable and practical in obtaining the accurate FTD data. (8 tabs.; 3 figs.)

  16. Comparison of neutron dose measured by Albedo TLD and etched tracks detector at PNC plutonium fuel facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Momose, T.; Shinohara, K.; Ishiguro, H.

    1996-01-01

    Power Reactor and Nuclear Fuel Development Corporation (PNC) has fabricated Plutonium and Uranium Mixed OXide (MOX) fuel for FBR MONJU at Tokai works. In this site, PNC/Panasonic albedo TLDs/1/ are used for personnel neutron monitoring. And a part of workers wore Etched Tracks Detector (ETD) combined with TLD in order to check the accuracy of the neutron dose estimated by albedo TLD. In this paper, the neutron dose measured by TLD and ETD in the routine monitoring is compared at PNC plutonium fuel facilities. (author)

  17. Uranium trace and alpha activity characterization of coal and fly ash using particle track etch technique

    International Nuclear Information System (INIS)

    Chakravarti, S.K.

    1991-01-01

    Uranium is extensively found in carbonaceous components of sedimentary rocks and is considered to be accumulated in coals during the coalification process through the geological times. Burning of coal is mainly responsible for a manifold increase in the concentration of radioactive nuclides in atmosphere precipitates. Fly ash being an incombustible residue and formed from 90% of the inorganic material in coal, escapes into the atmosphere and constitutes a potential hazard. Also its use as one of the pozzolanic materials in the products of concrete, bricks etc and filling of ground cavities is even more hazardous because of the wall radioactivity, besides emission and diffusion of radon. This paper reports a simple method called Particle Track Etch (PTE) technique, for trace determination of uranium content in coal and fly ash samples by making use of low cost and versatile plastic detectors known as Solid State Nuclear Track Detectors (SSNTDs). Total alpha activity has also been estimated using these SSNTDs. The values of uranium concentration in coal samples are found to range from 1.1 to 3.6 ppm (uniform component) and 33 to 46 ppm (non-uniform part) whereas in fly ash, it varies from 8 to 11 ppm (uniform) and 55 to 71 ppm in non-uniform range. It is also observed that the alpha activity is a function of uranium concentration for most of the natural samples of coal studied except for mixtures of fly ash samples where relationship is found to be on higher side. (author). 13 refs., 2 tabs., 1 fig

  18. Coupled chemical reactions in dynamic nanometric confinement: V. The influence of Li+ and F- ions on etching of nuclear tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Ruiz, N. L.; Vacík, Jiří; Hnatowicz, Vladimír; Garcia-Arellano, H.; Alfonta, L.; Kiv, A.

    2014-01-01

    Roč. 169, č. 5 (2014), s. 396-417 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(XE) LM2011019 Institutional support: RVO:61389005 Keywords : tracks * biotechnology * nanostruct * ions * etching Subject RIV: BO - Biophysics Impact factor: 0.513, year: 2014

  19. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)]. E-mail: sbarriga@bessy.de; Lucas, M. [Technische Universitaet Berlin, Institut fuer Theoretische Physik, Hardenbergstr. 36, D-10623 Berlin (Germany); Rivero, G. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Marin, P. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Hernando, A. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)

    2007-05-15

    Arrays of Cobalt nanowires with a controlled length of 6{mu}m have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition.

  20. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    International Nuclear Information System (INIS)

    Sanchez-Barriga, J.; Lucas, M.; Rivero, G.; Marin, P.; Hernando, A.

    2007-01-01

    Arrays of Cobalt nanowires with a controlled length of 6μm have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition

  1. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  2. Coupled chemical reactions in dynamic nanometric confinement: IV. Ion transmission spectrometric analysis of nanofluidic behavior and membrane formation during track etching in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Arellano, H. G.; Kiv, A.; Alfonta, L.

    2015-01-01

    Roč. 170, č. 3 (2015), s. 155-174 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ions * etching * tracks Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.472, year: 2015

  3. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  4. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  5. Electrical Transport Through Micro Porous Track Etch Membranes of same Porosity

    Science.gov (United States)

    Garg, Ravish; Kumar, Vijay; Kumar, Dinesh; Chakarvarti, S. K.

    2012-12-01

    Porosity, pore size and thickness of membrane are vital factors to influence the transport phenomena through micro porous track etch membranes (TEMs) and affect the various applications like separations, drug release, flow control, bio-sensing and cell size detection etc. based on transport process. Therefore, a better understanding of transport mechanism through TEMs is required for new applications in various thrust areas like biomedical devices and packaging of foods and drugs. Transport studies of electrolytic solutions of potassium chloride, through porous polycarbonate TEMS having cylindrical pores of size 0.2 μm and 0.4 μm with same porosity of 15%, have been carried out using an electrochemical cell. In this technique, the etched filter is sandwiched between two compartments of cell in such a way that the TEM acts as a membrane separating the cell into two chambers. The two chambers are then filled with electrolyte solution (KCl in distilled water). The current voltage characteristics have been drawn by stepping the voltage ranging 0 to 10 V using Keithley 2400 Series Source Measurement Unit. The results indicate that rate of ion transport through cylindrical pores although is independent of pore size of TEMs of same porosity but there seems to be effect of TEM aperture size exposed to the electrolyte used in conducting cell on ion transport magnitude. From the experimental studies, a large deviation in the conduction through TEMs was observed when compared with theoretical consideration which led to the need for modification in the applicability of simple Ohm's law to the conduction through TEMs. It is found that ion transport increases with increase in area of aperture of TEM but much lower than the expected theoretically value.

  6. Study of the pore-opening process of latent tracks of light low-energetic ions in CR-39 by means of electrolytic etching

    International Nuclear Information System (INIS)

    Oganesyan, V.R.

    2005-01-01

    In this thesis the irradiation of 10-μm thick CR-39 foils with 5.5-7 MeV protons and of 22-400 μm thick CR-39 foils with 4.85-28 MeV α-particles with subsequent determination of the etching velocities is described. By means of scanning electron microscopy (SEM) the pore shape after irradiation was determined. From the analysis of the track profiles by means of SEM the track-nucleus diameter for 28 MeV α particles was determined to 1.4 nm. (HSI)

  7. Fission tracks diameters in glasses

    International Nuclear Information System (INIS)

    Garzon Ruiperez, L.; Veiguela, J.

    1974-01-01

    Standard glass microscope slides have been irradiated with fission fragments from the uranium. The etching track conditions have been the same for the series, having changed the etching time only for each specimen. For each glass, a minimum of 250 measurements of the tracks diameters have been made, the distributions of which are the bimodal type. Diameters-etching dependence with time is roughly lineal. Energy determinations have been made with the help of the diameters-energy relations. The calculated values agree very well with the know ones. (author) [es

  8. Electrostatic force microscopy and electrical isolation of etched few-layer graphene nano-domains

    Energy Technology Data Exchange (ETDEWEB)

    Hunley, D. Patrick; Sundararajan, Abhishek; Boland, Mathias J.; Strachan, Douglas R., E-mail: doug.strachan@uky.edu [Department of Physics and Astronomy, University of Kentucky, Lexington, Kentucky 40506 (United States)

    2014-12-15

    Nanostructured bi-layer graphene samples formed through catalytic etching are investigated with electrostatic force microscopy. The measurements and supporting computations show a variation in the microscopy signal for different nano-domains that are indicative of changes in capacitive coupling related to their small sizes. Abrupt capacitance variations detected across etch tracks indicates that the nano-domains have strong electrical isolation between them. Comparison of the measurements to a resistor-capacitor model indicates that the resistance between two bi-layer graphene regions separated by an approximately 10 nm wide etch track is greater than about 1×10{sup 12} Ω with a corresponding gap resistivity greater than about 3×10{sup 14} Ω⋅nm. This extremely large gap resistivity suggests that catalytic etch tracks within few-layer graphene samples are sufficient for providing electrical isolation between separate nano-domains that could permit their use in constructing atomically thin nanogap electrodes, interconnects, and nanoribbons.

  9. Electrostatic force microscopy and electrical isolation of etched few-layer graphene nano-domains

    International Nuclear Information System (INIS)

    Hunley, D. Patrick; Sundararajan, Abhishek; Boland, Mathias J.; Strachan, Douglas R.

    2014-01-01

    Nanostructured bi-layer graphene samples formed through catalytic etching are investigated with electrostatic force microscopy. The measurements and supporting computations show a variation in the microscopy signal for different nano-domains that are indicative of changes in capacitive coupling related to their small sizes. Abrupt capacitance variations detected across etch tracks indicates that the nano-domains have strong electrical isolation between them. Comparison of the measurements to a resistor-capacitor model indicates that the resistance between two bi-layer graphene regions separated by an approximately 10 nm wide etch track is greater than about 1×10 12  Ω with a corresponding gap resistivity greater than about 3×10 14  Ω⋅nm. This extremely large gap resistivity suggests that catalytic etch tracks within few-layer graphene samples are sufficient for providing electrical isolation between separate nano-domains that could permit their use in constructing atomically thin nanogap electrodes, interconnects, and nanoribbons

  10. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Güven, Olgun [Department of Chemistry, Hacettepe University, 06800 Beytepe, Ankara (Turkey); Zdorovets, Maxim V. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Taltenov, Abzal A. [The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan)

    2015-12-15

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H{sub 2}O{sub 2} under UV irradiation (H{sub 2}O{sub 2}/UV) and Fenton system under visible light (Fenton/H{sub 2}O{sub 2}/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H{sub 2}O{sub 2}/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H{sub 2}O{sub 2}/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  11. Comparison and limitations of three different bulk etch rate measurement methods used for gamma irradiated PM-355 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Fazal-ur-Rehman E-mail: fazalr@kfupm.edu.sa; Abu-Jarad, F.; Al-Jarallah, M.I.; Farhat, M

    2001-06-01

    Samples of Nuclear Track Detectors (PM-355) were exposed to high gamma doses from 1x10{sup 5} Gy (10 Mrad) up to 1.2x10{sup 6} Gy (120 Mrad) at an incremental dose of 1x10{sup 5} Gy (10 Mrad). The gamma source was a 9.03 PBq (244 kCi) Co-60 source used for sterilization of medical syringes. The bulk etch rate (V{sub b}) was measured for various high gamma doses by three different methods: 1--thickness change method; 2--mass change method; 3--fission track diametric method. The study gives a comparison and limitations of these three methods used for bulk etch rate measurements in the detectors as a function of high gamma doses. The track etch rate (V{sub t}) and the sensitivity (V) of the detector were also measured using the fission track diametric method. It was observed that V{sub b} increases with the increase of the gamma absorbed dose at a fixed etching time in each bulk etch measuring method. The bulk etch rate decreases exponentially with the etching time at a fixed gamma absorbed dose in all three methods. The thickness change and mass change methods have successfully been applied to measure V{sub b} at higher gamma doses up to 1.2x10{sup 6} Gy (120 Mrad). The bulk etch rate determined by the mass change and thickness change methods was almost the same at a certain gamma dose and etching time whereas it was quite low in the case of the fission track diametric method due to its limitations at higher doses. Also in this method it was not possible to measure the fission fragment track diameters at higher doses due to the quick disappearance of the fission tracks and therefore the V{sub b} could not be estimated at higher gamma doses.

  12. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  13. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  14. Dependence of yield of nuclear track-biosensors on track radius and analyte concentration

    Science.gov (United States)

    García-Arellano, H.; Muñoz H., G.; Fink, D.; Vacik, J.; Hnatowicz, V.; Alfonta, L.; Kiv, A.

    2018-04-01

    In swift heavy ion track-based polymeric biosensor foils with incorporated enzymes one exploits the correlation between the analyte concentration and the sensor current, via the enrichment of charged enzymatic reaction products in the track's confinement. Here we study the influence of the etched track radius on the biosensor's efficiency. These sensors are analyte-specific only if both the track radii and the analyte concentration exceed certain threshold values of ∼15 nm and ∼10-6 M (for glucose sensing), respectively. Below these limits the sensor signal stems un-specifically from any charge carrier. In its proper working regime, the inner track walls are smoothly covered by enzymes and the efficiency is practically radius independent. Theory shows that the measured current should be slightly sub-proportional to the analyte concentration; the measurements roughly reconfirm this. Narrower tracks (∼5-15 nm radius) with reduced enzyme coverage lead to decreasing efficiency. Tiny signals visible when the tracks are etched to effective radii between 0 and ∼5 nm are tentatively ascribed to enzymes bonded to surface-near nano-cracks in the polymer foil, resulting from its degradation due to aging, rather than to the tracks. Precondition for this study was the accurate determination of the etched track radii, which is possible only by a nanofluidic approach. This holds to some extent even for enzyme-covered tracks, though in this case most of the wall charges are compensated by enzyme bonding.

  15. Effect of gamma irradiation on the etching properties of Lexan and Makrofol-DE polycarbonate plastics

    International Nuclear Information System (INIS)

    Ashok Kumar; Jain, R.K.; Praveen Yadav; Chakraborty, R.N.; Singh, B.K.; Nayak, B.K.

    2013-01-01

    It is observed that for Lexan and Makrofol-DE polycarbonate plastic detectors the mean diameters of fission fragments from a 252 Cf source increases as a result of gamma-ray exposure. We have studied the bulk etching rate and track etching rate before and after gamma-ray irradiation on Lexan and Makrofol-DE polycarbonate plastics. The mechanism of Lexan and Makrofol-DE polycarbonate plastic detectors can be understood with the help of this exposures. It is also noted that degree of ordering of Lexan and Makrofol-DE polycarbonate is dependent on the gamma ray dose due to degradation and cross-linking processes. The results show that bulk and track etch rate increases with gamma dose while activation energy associated with bulk and track etch rates at a particular temperature and sensitivity decreases with gamma dose. (author)

  16. Interpretation of Mason's equation in terms of measurable electrochemical-etching parameters governing the dielectric breakdown phenomenon

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Durrani, S.A.

    1986-01-01

    A new interpretation of Mason's equation, as applicable to the electrochemical etching (ECE) of tracks in polymers, is presented in terms of physically meaningful track-etching parameters. The values of these parameters have been experimentally obtained by irradiating CR-39 foils with heavy ions ( 238 U and 129 Xe) and α-particles of various energies, and applying chemical pre-etching (CPE), followed by ECE in steps, with increasing electric field until the 'treeing' breakdown is initiated. A value of 1.19 MV cm -1 is obtained for the intrinsic electrical breakdown stress of CR-39. It is found that the track radius r used in Mason's equation is related to the radius rsub(Θ) of a sphere, inscribed within the etch cone, whose lowest point is at a fixed distance lsub(o) above the cone tip, with lsub(o) ≅ 1.75 μm for α-particle tracks of energies 2-5.5 MeV, such that r = 0.43 + rsub(Θ) (in μm). (author)

  17. Fabrication of nanoporous nuclear track membranes

    International Nuclear Information System (INIS)

    Peng Liangqiang; Wang Shicheng; Ju Xin; Masaru Yoshida; Yasunari Maekawa

    2001-01-01

    Polyethylene terephthalate (PET) and polycarbonate (PC) films were irradiated by S, Kr and Xe ions and were illuminated with ultraviolet light. The normalized track etch rate for PET and PC films etched in different conditions were measured by conductometric experiments. It is shown that normalized track etch rate can be over 1000 for PET films, 2000 for PC films under optimized condition. TEM photographs of copper nanowires electroplated into nanoporous nuclear track membranes show that the narrowest wire diameter of copper nanowires is 20 nm and that the pore diameter calculated by conductometric experiments is in agreement with the wire diameter measured by TEM when the pore diameter is over 30 nm

  18. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  19. Background tracks in CR-39 SSNTD sheets: their control and prediction through an interplay of etching parameters

    International Nuclear Information System (INIS)

    Bhagwat, A.M.; Naik, G.R.; Thampan, S.; Rudran, K.; Joshi, V.B.; Iyer, M.R.

    1992-01-01

    High sensitivity of CR-39 film in turn leads to higher and variable background track-densities. A two-step etching process, each consisting of CE and ECE, is therefore suggested which permits not only partial freezing of the background but also allows to know its level. The procedure identifies bad pieces with scratches and determines the minimum detection limit (MDL) of each film individually. Activities as low as 0.2 mBq (∼ 5 x 10 -15 curies) can thus be measured with low background films for exposure periods of 7-10 days (exposure is carried out after first processing). (author)

  20. Observations of fission-tracks in zircons by atomic force microscope

    International Nuclear Information System (INIS)

    Ohishi, Shinnosuke; Hasebe, Noriko

    2012-01-01

    The fission-track (FT) method is a dating technique based on the observation of damage (tracks) by spontaneous fission of 238 U left in a mineral. The date is calculated from the track density and the uranium concentration in the mineral. This is possible because the number of tracks is a function of uranium concentration and time since the start of track accumulation. Usually, the number of tracks is counted under an optical microscope after etching (chemical expansion of a track). However, as FT density per unit area rises, it becomes difficult to count the number of tracks. This is due to the fact that FTs overlap one another and are unable to be readily distinguished. This research examines the potential of atomic force microscope (AFM) for FT dating using zircons, which are likely to show higher FT density than other minerals due to their high U concentrations. To obtain an AFM image for a sample prepared for FT dating, removing the static electricity of the sample is essential to avoid an unexpected movement of the cantilever. A grain should be wider than about 30 μm to bring the cantilever on the mineral surface. Polishing with a fine grained compound is very important. There is not much difference in sharpness between images by AC mode (scanning with vibrating cantilever at a constant cycle) and Contact mode (scanning with the cantilever always in close contact with the surface). To confirm how tracks can be identified with the AFM, an AFM image was compared with an image obtained with the optical microscope. When change in the number of tracks and their shapes were observed through stepwise etching, the track expanded as the etching time increased. In addition, the etching rate was slower for large tracks than those for small tracks. This implied that the AFM can be used to observe etching of zircons with different degrees of nuclear fission damage. A track that could not be seen with the optical microscope due to insufficient etching could be observed by

  1. Polypropylene Track Membranes for Mikro and Ultrafiltration of Chemically Aggressive Agents

    CERN Document Server

    Kravets, L I; Apel, P Yu

    2000-01-01

    A production process for track membranes on the basis of chemically resistant polymer polypropylene has been developed. Research in all stages of the formation of the polypropylene track membranes has been conducted: the main principles of the process of chemical etching of polypropylene irradiated with accelerated ions have been investigated, the most effective structure of the etchant for a selective etching of the heavy ion tracks has been selected, the parameters of etching have been optimized. A method for sensibilization of latent tracks in polypropylene by effect of solvents has been developed. It helps to reach a significant increase in etching selectivity. A method for destruction of an absorbed chromocontaining layer on the surface of polypropylene track membranes formed during etching has been elaborated. Experimental samples of the membranes for micro and ultrafiltration have been obtained and their properties have been studied in course of their exploitation in chemically aggressive agents. For t...

  2. Thermal annealing of fission tracks in fluorapatite, chlorapatite, manganoanapatite, and Durango apatite: experimental results

    International Nuclear Information System (INIS)

    Ravenhurst, C.E.; Roden-Tice, M.K.; Miller, D.S.

    2003-01-01

    It is well known that the optically measured lengths of fission tracks in apatite crystals are a function of etching conditions, crystallographic orientation of the track, composition of the crystal, and the state of thermal annealing. In this study we standardize etching conditions and optimize track length measurability by etching until etch pits formed at the surface of each apatite crystal reached widths of about 0.74 μm. Etching times using 5M HNO 3 at 21 o C were 31 s for Otter Lake, Quebec, fluorapatite; 47 s for Durango, Mexico, apatite; 33 s for Portland, Connecticut, manganoanapatite; and 11 s for Bamle, Norway, chlorapatite. An etching experiment using two etchant strengths (5M and 1.6M HNO 3 ) revealed that, despite significant differences in etch pit shape, fission-track length anisotropy with respect to crystallographic orientation of the tracks is not a chemical etching effect. A series of 227 constant-temperature annealing experiments were carried out on nuclear reactor induced tracks in oriented slices of the apatites. After etching, crystallographic orientations of tracks were measured along with their lengths. The 200-300 track lengths measured for each slice were ellipse-fitted to give the major (c crystallographic direction) and minor (a crystallographic direction) semi-axes used to calculate equivalent isotropic lengths. The equivalent isotropic length is more useful than mean length for thermal history analysis because the variation caused by anisotropy has been removed. Using normalized etching procedures and equivalent isotropic length data, we found that the fluorapatite anneals most readily, followed by Durango apatite, manganoanapatite, and lastly chlorapatite. (author)

  3. An energy and direction independent fast neutron dosemeter based on electrochemically etched CR-39 nuclear track detectors

    International Nuclear Information System (INIS)

    James, K.; Matiullah; Durrani, S.A.

    1987-01-01

    A computer-based model is presented, which simulates the dose equivalent response of electrochemically etched CR-39 to fast neutrons of various energies and angles of incidence. Most previous calculations of the response of CR-39 have neglected the production of recoiling oxygen and carbon nuclei as well as α particles in the CR-39. We calculate that these 'heavy recoils' and α particles are the major source of electrochemically etchable tracks in bare CR-39 at neutron energies above approx. 2 MeV under typical etching conditions. Our calculations have been extended to predict the response of CR-39 used in conjunction with various combinations of polymeric front radiators and we have determined the radiator stack configuration with produces the most energy independent response. Again, the heavy recoils and α particles cannot be neglected and, for energies above approx. 2 MeV, these produce typically about 20% of the total response of our optimum stack. This type of fast neutron dosemeter is, however, strongly direction dependent. We have integrated the response over all appropriate angles to predict the dose equivalent response for two representative neutron fields, and we suggest a method for minimising the angular dependence. (author)

  4. Lignite and tin ores exploration in southern part of Thailand by using nuclear track-etch detectors

    International Nuclear Information System (INIS)

    Chittrakarn, T.; Boonnummar, R.; Pongsuwan, T.; Nuannin, P.; Kaew-On, C.

    1993-01-01

    Both lignite and tin mines in Southern of Thailand are associated with uranium ore. In lignite exploration, Bangpudum Lignite Mine at Krabi Province was chosen for this studied because we know the exact location and deposition of coal seam by using other geophysical technique and also confirm by borehole. The size 1x2 cm 2 of cellulose nitrate CN-85 films were used, each film was stuck at the inner bottom of a softdrink cup. Each cup was put up side down at the bottom of a borehole about 75 cm depth from the earth surface and laid about 10 m apart. All the cups were put in the hole along the line in order to cover about 280 metre in the cross sectional direction long of the known coal seam. After one month, all the film detectors were collected and etched with 6.25N NaOH at 60 o C about 25 minutes long in order to enlarge the latent alpha registration tracks. These alpha particles were emitted from radon gas (Rn-222) which was generated from uranium associated with lignite ore. The registration track density per area of each CN-85 film was studied by optical microscope at 400x magnifications. We found that the track densities of the films have high correlation with the depth of the known coal seam while high and low track densities will correspond to the shallow and deep coal seam respectively. Also, track density was significantly higher than background. A similar manner of experiment was designed for tin ore exploration at Ronpibul district, Nakorn Si Thammarat province. The result is in progress and will present at the conference. (Author)

  5. Use of CR-39 foils for personnel neutron dosimetry: improved electrochemical etching chambers and procedures

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1986-01-01

    The electrochemical etching procedures for the new dosimetry system that uses foils of CR-39 plastic has been improved. During 1985, the etching chambers were modified to correct several problems and the changes to the etching procedures were studied, which gave a more uniform track density and size. The currently recommended etch parameters are given. A new generation of CR-39 material from the manufacturer proved to have a considerably lower background track density and a higher sensitivity; the new foils are also more uniform in thickness, which eliminates the need to numerically compensate for thickness variations. The energy dependence of the CR-39 using monoenergetic neutrons from accelerators at Battelle Northwest Laboratories and at Los Alamos National Laboratory was determined. Some variation was found in the energy dependence, but it is believed this was caused by changes in the etching procedures and by uncertainties in the fluences of the neutrons from the accelerators. A means by which the counting of CR-39 tracks may be automated is suggested; this would be very useful in adapting the CR-39 dosimetry system to large-scale use

  6. Comparative catalytic activity of PET track-etched membranes with embedded silver and gold nanotubes

    Science.gov (United States)

    Mashentseva, Anastassiya; Borgekov, Daryn; Kislitsin, Sergey; Zdorovets, Maxim; Migunova, Anastassiya

    2015-12-01

    Irradiated by heavy ions nanoporous polyethylene terephthalate track-etched membranes (PET TeMs) after +15Kr84 ions bombardment (1.75 MeV/nucl with the ion fluency of 1 × 109 cm-2) and sequential etching was applied in this research as a template for development of composites with catalytically enriched properties. A highly ordered silver and gold nanotubes arrays were embedded in 100 nm pores of PET TeMs via electroless deposition technique at 4 °C during 1 h. All "as-prepared" composites were examined for catalytic activity using reduction of 4-nitrophenol (4-NP) to 4-aminophenol (4-AP) by sodium borohydride as a common reaction to test metallic nanostructures catalysts. The effect of temperature on the catalytic activity was investigated in range of 292-313 K and activation energy were calculated. Kapp of Ag/PET composites linearly increase with an increase of the temperature thus normal Arrhenius behavior have been seen and the activation energy was calculated to be 42.13 kJ/mol. Au/PET composites exhibit not only more powerful catalytic activity but also non-linear dependence of rate constant from temperature. Kapp increased with increasing temperature throughout the 292-308 K temperature range; the reaction had an activation energy 65.32 kJ/mol. In range 311-313 K rate constant dramatically decreased and the apparent activation energy at this temperature rang was -91.44 kJ/mol due some structural changes, i.e. agglomeration of Au nanoparticles on the surface of composite.

  7. Response of cellulose nitrate track detectors to electron doses

    CERN Document Server

    Segovia, N; Moreno, A; Vazquez-Polo, G; Santamaría, T; Aranda, P; Hernández, A

    1999-01-01

    In order to study alternative dose determination methods, the bulk etching velocity and the latent track annealing of LR 115 track detectors was studied during electron irradiation runs from a Pelletron accelerator. For this purpose alpha irradiated and blank detectors were exposed to increasing electron doses from 10.5 to 317.5 kGy. After the irradiation with electrons the detectors were etched under routine conditions, except for the etching time, that was varied for each electron dose in order to reach a fixed residual thickness. The variation of the bulk etching velocity as a function of each one of the electron doses supplied, was interpolated in order to obtain dosimetric response curves. The observed annealing effect on the latent tracks is discussed as a function of the total electron doses supplied and the temperature.

  8. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  9. Study of the characteristics of ionizing particles record of CR-39 track detectors

    International Nuclear Information System (INIS)

    Brandao, Luis Eduardo Barreira

    1983-01-01

    The bulk and track etching proprieties of a new Solid State Nuclear Track Detector CR-39 were investigated under different etching conditions. The discussion is based on results obtained using aqueous solutions of KOH with addition of alcoholic solvent to aqueous solutions. It was found that track registration sensitivity can be dramatically changed by using the proper chemical treatment. A method to enlarge and dye etch tracks to be viewed by simple projection on a screen is discussed. The applications of CR-39 in neutron fluence measurements are shown. Graphs are presented of the densities of the registered traces by the detector as a function of etch time both for samples with and without a polycarbonate radiator. (author)

  10. The oxidation of PET track-etched membranes by hydrogen peroxide as an effective method to increase efficiency of UV-induced graft polymerization

    OpenAIRE

    Il'ya Korolkov; Abzal Taltenov; Anastassiya Mashentseva; Olgun Guven

    2015-01-01

    In this article, we report on functionalization of track-etched membrane based on poly(ethylene terephthalate) (PET TeMs) oxidized by advanced oxidation systems and by grafting of acrylic acid using photochemical initiation technique for the purpose of increasing functionality thus expanding its practical application. Among advanced oxidation processes (H2O2/UV) system had been chosen to introduce maximum concentration of carboxylic acid groups. Benzophenone (BP) photo-initiator was first im...

  11. Nuclear track detector kit for use in teaching

    Energy Technology Data Exchange (ETDEWEB)

    Medveczky, L.; Somogyi, G.; Nagy, M.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations.

  12. Study on the etching conditions of polycarbonate detectors for particle analysis of safeguards environmental samples

    International Nuclear Information System (INIS)

    Iguchi, K.; Esaka, K.T.; Lee, C.G.; Inagawa, J.; Esaka, F.; Onodera, T.; Fukuyama, H.; Suzuki, D.; Sakurai, S.; Watanabe, K.; Usuda, S.

    2005-01-01

    The fission track technique was applied to the particle analysis for safeguards environmental samples to obtain information about the isotope ratio of nuclear materials in individual particles. To detect the particles containing nuclear material with high detection efficiency and less particle loss, the influence of uranium enrichments on etching conditions of a fission track detector made of polycarbonate was investigated. It was shown that the increase in uranium enrichment shortened the suitable etching time both for particle detection and for less particle loss. From the results obtained, it was suggested that the screening of the uranium particles according to the enrichment is possible by controlling the etching time of the detector

  13. A comparative study of track registration response of Makrofol-(KG, KL and N) polycarbonate to sup 4 sup 0 Ar ions

    CERN Document Server

    Kumar, A

    1999-01-01

    In the present work a comparative study of track registration response of sup 4 sup 0 Ar ions in different types of Makrofol polycarbonates viz. Makrofol-KG, KL and N have been done. The etched track parameters viz. bulk etch rate, track etch rate, etch rate ratio, cone angle and etching efficiency were calculated. The variation of etching rates with temperature were found to be exponential and follow the Arrhenius equation. The values of activation energy for bulk and track etching were also calculated. Maximum etchable track length/range were also obtained and compared with the theoretical values obtained from computer program RANGE. From the results it is found that the polycarbonates having same chemical composition manufactured by different chemical processes have slightly different behavior

  14. Track detection methods of radium measurements

    International Nuclear Information System (INIS)

    Somogyi, G.

    1986-06-01

    The principles of tack formation and processing including the description of etching and etch-track evaluation for the preferably used plastic track detectors are discussed. Measuring methods to determine 226 Ra activity based either on the mapping of alpha-decaying elements in the complete U-Ra series by alpha-radiography, or on the measurement of uranium alone by neutron induced fissionography, or on the alpha-decay measurement of 222 Rn, the first daughter element of radium, and finally on the measurement of alpha-tracks originating from radium itself, which is separated from its parent nuclides are described in detail. (V.N.)

  15. Alpha-recoil tracks in natural dark mica: Dating geological samples by optical and scanning force microscopy

    International Nuclear Information System (INIS)

    Glasmacher, U.A.; Lang, M.; Klemme, S.; Moine, B.; Barbero, L.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ART) are lattice defects caused by the α-decay of 238 U, 235 U, 232 Th, and daughter products. Visualization of etched ARTs in dark mica by phase-contrast microscopy allows dating of Quaternary geological as well as archaeological materials. Visualization of etched ARTs by Nomarski-differential-interference-contrast microscopy (NDICM) and scanning force microscopy (SFM) enables the access to areal densities (ρ a ) of ART etch pits beyond 10 4 mm -2 and thus the extension of the new ART-dating technique to an age range >1 Ma. The successful application of SFM as a new tool in geochronology could open the way to a field to be characterized as nanogeochronology. In order to visualize ARTs by NDICM and SFM, dark mica was etched with 4% HF at 21 deg. C for 5-107 min. A linear relationship between ρ a and etching time (t e ) was observed for phlogopites from the Kerguelen Islands (French territory, Indian Ocean), and the Kovdor magmatic complex (Russia). The volume density (ρ v ) of ART is a function of etching speed (v eff ) and slope of the ρ a -growth curve. The ART-age equation allows the calculation of an individual ρ v -growth curve for the phlogopite analysed by us using the uranium and thorium content. The ART-ages were determined by combining the experimentally obtained volume density with the individual ρ v -growth curve

  16. Kinetics and mechanism of the formation and etching of particle tracks in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Lueck, H.B.

    1982-05-01

    The physical and chemical processes initiated by a particle passing through a polymer are reviewed. Particular attention is devoted to the processes in PETP. The influence of the material parameters and environmental effects on the subsequent reactions in PETP is discussed. Models of the mechanism and kinetics of the alkaline degradation on the surface and in the etch channel are presented. The character and the effect of the relevant species has been taken into consideration. The mechanism of the photo-oxidative sensitivity enhancement is discussed. The models mentioned above are taken as a basis to interpret the empirical response function. It is shown, that the response function can be applied to bulk-irradiated polymers as well. Treeing in electrically stressed particle tracks assisted by an etchant can be attributed to the electrostatic pressure. However, the differences in the behaviour of the structures give evidence, that the formation of craze structures and bubbles in the presence of a nonetching electrolyte is the result of the electroosmotic pressure. (author)

  17. Electrodeposited Ni nanowires-track etched P.E.T. composites as selective solar absorbers

    Science.gov (United States)

    Lukhwa, R.; Sone, B.; Kotsedi, L.; Madjoe, R.; Maaza, M.

    2018-05-01

    This contribution reports on the structural, optical and morphological properties of nanostructured flexible solar-thermal selective absorber composites for low temperature applications. The candidate material in the system is consisting of electrodeposited nickel nano-cylinders embedded in track-etched polyethylene terephthalate (PET) host membrane of pore sizes ranging between 0.3-0.8µm supported by conductive nickel thin film of about 0.5µm. PET were irradiated with 11MeV/u high charged xenon (Xe) ions at normal incidence. The tubular and metallic structure of the nickel nano-cylinders within the insulator polymeric host forms a typical ceramic-metal nano-composite "Cermet". The produced material was characterized by the following techniques: X-ray diffraction (XRD) for structural characterization to determine preferred crystallographic structure, and grain size of the materials; Scanning electron microscopy (SEM) to determine surface morphology, particle size, and visual imaging of distribution of structures on the surface of the substrate; Atomic force microscopy (AFM) to characterize surface roughness, surface morphology, and film thickness, and UV-Vis-NIR spectrophotometer to measure the reflectance, then to determine solar absorption

  18. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  19. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Energy Technology Data Exchange (ETDEWEB)

    Fink, Dietmar, E-mail: fink@xanum.uam.mx [Nuclear Physics Institute, 25068 Řež (Czech Republic); Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Vacik, Jiri; Hnatowicz, V. [Nuclear Physics Institute, 25068 Řež (Czech Republic); Muñoz Hernandez, G. [Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Garcia Arrelano, H. [Departamento de Ciencias Ambientales, División de Ciencias Biológicas y de la Salud, Universidad Autónoma Metropolitana-Lerma, Av. de las Garzas No. 10, Col. El Panteón, Lerma de Villada, Municipio de Lerma, Estado de México CP 52005 (Mexico); Alfonta, Lital [Avram and Stella Goldstein-Goren Department of Biotechnology Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel); Kiv, Arik [Department of Materials Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel)

    2017-05-01

    Highlights: • Application of swift heavy ion tracks in biosensing. • Obtaining yet unknown diffusion coefficients of organic matter across etched ion tracks. • Obtaining diffusion coefficients of organics in etched ion tracks of biosensors. • Comparison with Renkin’s equation to predict the effective etched track diameter in the given experiments. - Abstract: For understanding of the diffusion kinetics and their optimization in swift heavy ion track-based biosensors, recently a diffusion simulation was performed. This simulation aimed at yielding the degree of enrichment of the enzymatic reaction products in the highly confined space of the etched ion tracks. A bunch of curves was obtained for the description of such sensors that depend only on the ratio of the diffusion coefficient of the products to that of the analyte within the tracks. As hitherto none of these two diffusion coefficients is accurately known, the present work was undertaken. The results of this paper allow one to quantify the previous simulation and hence yield realistic predictions of glucose-based biosensors. At this occasion, also the influence of the etched track radius on the diffusion coefficients was measured and compared with earlier prediction.

  20. Nuclear track detector kit for use in teaching

    International Nuclear Information System (INIS)

    Medveczky, L.; Somogyi, G.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations. (author)

  1. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  2. Development and application of the electrochemical etching technique

    International Nuclear Information System (INIS)

    Sanders, M.E.

    1984-07-01

    This report documents the advances achieved in the development and application of several etched damage track plastic dosimeters that can be used to measure dose-equivalent from neutrons with energies from thermal to 20 MeV. The project was initiated with the design of a rem-responding dosimeter that measured fast (> 1 MeV) neutron dose-equivalent as a function of the damage track density directly induced within the volume of polycarbonate foils amplified by electrochemical etching. Stillwagon (1978) adapted electrochemical etching of polycarbonate foils (ECEPF) to alpha dosimetry and used the technique to determine Pu-239 uptake in human bone. Su (1979) extended the usefulness of the ECEPF neutron dosimetry technique to encompass thermal neutron dose measurement. The thermal neutron dosimeter was composed of an external radiator tablet made of 7 LiF in contact with a polycarbonate foil and utilized the thermal neutron-induced 6 Li(n, α) 3 H reaction to give a dose-equivalent response as a function of alpha track density registered in the detector foil. An intermediate (1 eV-1 MeV) neutron dosimeter was developed and has been shown to give an approximately dose-equivalent response to neutrons with energies from 1 eV to 17 MeV. The intermediate neutron dosimeter consists of 6 LiF-Teflon/CR-39 Polymer foil assembly which is enclosed by a (Cd + In) neutron filter. The neutron dose-equivalent is measured as a variable function of the damage track density registered in the CR-39 detector foil due to alpha particles from the 1/v dependent 6 Li(n, α) 3 H reaction, recoil H, C, O nuclei from neutron-induced elastic scattering within the foil volume, and protons from the 6 Li(n, p) reaction for neutron energies above 2 MeV. 46 figs., 6 tabs

  3. Low-level radon measurements by nuclear track detectors

    International Nuclear Information System (INIS)

    Koksal, E. M.; Goksel, S. A.; Alkan, H.

    1985-01-01

    In the work to be described here we have developed a passive nuclear track dosimeter to measure the integrated value of indoor radon (Rn-222) over a long period of time. Passive radon dosimeter which we have developed in our laboratories makes use of two small pieces of CR-39 plastic (Allyl diglycol carbonate) as detectors for registering tracks of alpha particles emitted by radon. These CR-39 plastic detectors are fixed on the inside bottom of a cup-shaped polystrene enclosure which is closed at the top by a tissue permeable for gases only. CR-39 detectors exposed to radon gas in the indoor air for a period of six months then are removed and chemically etched to make the alpha particle tracks visible under the microscope. The counts of tracks are evaluated to determine the radon concentration in the air in comparison with the number of tracks produced by a known concentration of radon gas. By using the passive dosimeters developed and the chemical etching procedure descriped here, measurements of indoor radon concentrations were carried out in 45 houses in different districts of the city of Istanbul. In this pilot experiment mean radon concentrations between 0.7 and 3.5 pCi/l have been found in these houses. In order to improve the counting of alpha tracks produced on the detectors a prototype electrochemical etching system in addition to chemical etching, is being developed. (author)

  4. Studies on the characteristics of nuclear track spark counting for neutron monitoring

    International Nuclear Information System (INIS)

    Kawai, H.; Koga, T.; Morishima, H.; Niwa, T.; Nishiwaki, Y.

    1979-01-01

    The fission-track counting method using polycarbonate foil is known to be very effective for neutron monitoring. The detection system consists of a track detector foil placed in contact with a thin layer of fissile material. When the unit is irradiated with neutrons, fission fragments from the fissile material produce tracks in the foil. The foil is then etched chemically to enlarge the tracks to etch-pits, which are counted by an automatic spark counting method suggested by Cross and Tommasino. Spark counting characteristics of the above system using polycarbonate detector foils of different thicknesses and aluminized polyester sheets having different thicknesses of the aluminium which serves as an electrode, and etch-pit sizes in relation to spark counting have been studied. The results obtained are summarized as follows: 1. A growth in etch-pit sizes was observed for etching times of 10 to 50 minutes with Makrofol KG (10 μm thick) and Panlite foils (18 μm thick). As the etching time increased, the number of etch-pits which appeared as round pits increased and the number which appeared rod-like decreased; 2. Round etch-pits seemed to be easily spark-punched; 3. Major and minor diameters of etch-pits produced by fission fragments from the uranium fissile target were larger than those from the thorium target; 4. As the thickness of the Makrofol KG foil increased, the minor diameters of the etch-pits appeared to become smaller and major diameters larger; 5. The foils that appeared to be best suited for routine use were 10 μm thick Makrofol KG or 6 μm thick Lumirror foils. (author)

  5. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  6. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  7. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  8. Single charged-particle damage to living cells: a new method based on track-etch detectors

    International Nuclear Information System (INIS)

    Durante, M.; Grossi, G.F.; Pugliese, M.; Manti, L.; Nappo, M.; Gialanella, G.

    1994-01-01

    Biological effects of ionizing radiation are usually expressed as a function of the absorbed dose. Low doses of high-LET radiation correspond to one or few particle traversals through the cell. In order to study the biological effectiveness of single charged particles, we have developed a new method based on solid state nuclear track detectors. Cells are seeded on mylar and a LR-115 film is stuck below the mylar base. After irradiation, the LR-115 film is etched and cells observed at a phase contrast microscope connected to a video camera and an image analyzer. In this way, it is possible to measure the number of traversals through the cell nucleus or cytoplasm. Coordinates of each cell on the microscope bench are saved. After incubation for about one week, cells are fixed and stained and the colonies observed at the microscope. The fate of each irradiated cell is therefore correlated to the number of traversals. We have tested this method with two different rodent embryo fibroblast cell lines, C3H 10T1/2 and V79, exposed to 3.2 MeV accelerated α-particles (LET =124 keV/μm). The studied endpoint was cell killing. Preliminary biological results suggest that few α-particle tracks in V79 hamster cells are sufficient to reduce surviving fraction. ((orig.))

  9. Etching behavior of poly (vinylidene fluoride) thin films irradiated with ion beams. Effect of irradiated ions and pretreatment

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Rohani, Rosiah; Koshikawa, Hiroshi; Takahashi, Shuichi; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari; Voss, Kay-Obbe; Neumann, Reinhard

    2008-01-01

    Poly (vinylidene fluoride) thin films irradiated with four kinds of ion beams were exposed to a 9M KOH aqueous solution after their storage in air for 30 or 90 days at different temperatures. According to the conductometry, the heating at 120degC was found to enhance the etch rate in the latent track without changing that in the bulk, thereby enabling us to obtain very high etching sensitivity for the preparation of nano-sized through-pores. The formation of hydroperoxides during this pretreatment should facilitate the introduction of the etching agent to improve etchability. Additionally, the irradiation of higher-LET ions, causing each track to contain more activated sites (like radicals), was preferable to achieve high sensitivity of the etching. (author)

  10. LLL development of a combined etch track: albedo dosimeter

    International Nuclear Information System (INIS)

    Griffith, R.V.; Fisher, J.C.; Harder, C.A.

    1977-01-01

    The addition of polycarbonate sheet to albedo detectors for electrochemical etching provides a simple, inexpensive way to reduce the spectral sensitivity of the personnel dosimeter without losing the albedo features of sensitivity and ease of automation. The ECEP technique also provides the dosimetrist with the potential for identifying conditions of body orientation that might otherwise lead to significant error in dosimeter evaluation

  11. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  12. Calibration of Nuclear Track Detectors

    International Nuclear Information System (INIS)

    Vukovic, J.B; Antanasijevic, R.; Novakovic, V.; Tasic, M.

    1998-01-01

    In this work we compare some of our preliminary results relating to the calibration Nuclear Track Detectors (NTD) with corresponding results obtained from other participants at the First International Intercomparison of Image Analyzers (III 97/98). Thirteen laboratories from Algeria, China, Czech Rep., France. Germany, Greece, Hungary, India, Italy, Mexico, Saudi Arabia, Slovenia and Yugoslavia participated in the III A 97/98. The NTD was 'Tustrack', Bristol. This type of CR-39 detector was etched by the organizer (J.Paltarey of al, Atomic Energy Research Institute, HPD, Budapest, Hungary). Etching condition was: 6N NaOH, 70 0C . Seven series detectors were exposed with the sources: B(n,a)Li, Am-241, Pu-Be(n,p), Radon and Am-Cm-Pu. Following parameters of exposed detectors were measured: track density of different sorts of tracks (circular, elliptical, track overlapping, their diameters, major and minor axis and other). (authors)

  13. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  14. Fast neutron dosimetry using CR-39 track detectors with polyethylene as radiator

    International Nuclear Information System (INIS)

    Castillo, F.; Espinosa, G.; Golzarri, J.I.; Osorio, D.; Rangel, J.; Reyes, P.G.; Herrera, J.J.E.

    2013-01-01

    The chemical etching parameters (etching time, temperature, normality of etchant, etc.) for the use of CR-39 (allyl diglycol carbonate – Lantrack ® ) as a fast neutron dosimeter have been optimized. The CR-39 chips, placed under a 1.5 mm polyethylene radiator, were exposed for calibration to an 241 Am-Be source at different time intervals for a given neutron fluence. After several chemical etching processes of the detectors with different conditions, the optimum characteristics for the chemical etching were found at 6N KOH solution, 60 ± 1 °C, for 12 h. An accurate relationship between the dose and fluence calculations was obtained as a function of the track density. - Highlights: ► Optimum etching time for fast neutron irradiated CR-39 track detectors is found. ► Relationship between dose and fluence obtained as a function of the track density. ► Results are consistent with those reported elsewhere, and extend the dose range

  15. Automatic spark counting of alpha-tracks in plastic foils

    International Nuclear Information System (INIS)

    Somogyi, G.; Medveczky, L.; Hunyadi, I.; Nyako, B.

    1976-01-01

    The possibility of alpha-track counting by jumping spark counter in cellulose acetate and polycarbonate nuclear track detectors was studied. A theoretical treatment is presented which predicts the optimum residual thickness of the etched foils in which completely through-etched tracks (i.e. holes) can be obtained for alpha-particles of various energies and angles of incidence. In agreement with the theoretical prediction it is shown that a successful spark counting of alpha-tracks can be performed even in polycarbonate foils. Some counting characteristics, such as counting efficiency vs particle energy at various etched foil thicknesses, surface spark density produced by electric breakdowns in unexposed foils vs foil thickness, etc. have been determined. Special attention was given to the spark counting of alpha-tracks entering thin detectors at right angle. The applicability of the spark counting technique is demonstrated in angular distribution measurements of the 27 Al(p,α 0 ) 24 Mg nuclear reaction at Ep = 1899 keV resonance energy. For this study 15 μm thick Makrofol-G foils and a jumping spark counter of improved construction were used. (orig.) [de

  16. Longitudinally Controlled Modification of Cylindrical and Conical Track-Etched Poly(ethylene terephthalate) Pores Using an Electrochemically Assisted Click Reaction

    International Nuclear Information System (INIS)

    Coceancigh, Herman; Tran-Ba, Khanh-Hoa; Columbia University, New York, NY; Siepser, Natasha; Baker, Lane A.; Ito, Takashi

    2017-01-01

    Here in this study, the longitudinally controlled modification of the inner surfaces of poly(ethylene terephthalate) (PET) track-etched pores was explored using an electrochemically assisted Cu(I)-catalyzed azide–alkyne cycloaddition (CuAAC) click reaction. Cylindrical or conical PET track-etched pores were first decorated with ethynyl groups via the amidation of surface -COOH groups, filled with a solution containing Cu(II) and azide-tagged fluorescent dye, and then sandwiched between comb-shaped and planar gold electrodes. Cu(I) was produced at the comb-shaped working electrode by the reduction of Cu(II); it diffused along the pores toward the other electrode and catalyzed CuAAC between an azide-tagged fluorescent dye and a pore-tethered ethynyl group. The modification efficiency of cylindrical pores (ca. 1 μm in diameter) was assessed from planar and cross-sectional fluorescence microscope images of modified membranes. Planar images showed that pore modification took place only above the teeth of the comb-shaped electrode with a higher reaction yield for longer Cu(II) reduction times. Cross-sectional images revealed micrometer-scale gradient modification along the pore axis, which reflected a Cu(I) concentration profile within the pores, as supported by finite-element computer simulations. The reported approach was applicable to the asymmetric modification of cylindrical pores with two different fluorescent dyes in the opposite directions and also for the selective visualization of the tip and base openings of conical pores (ca. 3.5 μm in base diameter and ca. 1 μm in tip diameter). Lastly, the method based on electrochemically assisted CuAAC provides a controlled means to fabricate asymmetrically modified nanoporous membranes and, in the future, will be applicable for chemical separations and the development of sequential catalytic reactors.

  17. Personal neutron dosimeter using solid-state track detector

    International Nuclear Information System (INIS)

    Mettripan, S.

    1980-01-01

    A cellulose nitrate film coated on both sides with lithium tetraborate was used as a neutron dosimeter for surveillance of personnel exposed to thermal and epithermal neutron. It was found that the optimum etching conditions used were 10% solution of sodium hydroxide, 60 degrees C and 20 minutes etching time and the alpha track densities from the (n,α) reaction on the films were proportional to thermal and epithermal neutron fluxes. The response of the film was found to be 1.068 x 10 -3 tracks per thermal neutron and 3.438 x 10 -4 tracks per epithermal neutron

  18. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  19. Charged particle spectroscopy with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Hunyadi, I.; Somogyi, G.

    1984-01-01

    Some of earlier and recent methods for differentiation of charged particles according to their energy, based on the use of polymeric etch-track detectors (CN, CA, PC and CR-39) are outlined. The principle of three track methods suitable for nuclear spectroscopy is discussed. These are based on the analysis of the diameter, surface size and shape of etch-track 'cones' produced by charged particles in polymers, after using shorter or longer chemical etching processes. Examples are presented from the results of the last decade in ATOMKI, Debrecen, Hungary, concerning the application of nuclear track spectroscopy to different low-energy nuclear reaction studies, angular distribution and excitation function measurements. These involve the study of (d,α) reaction on sup(14)N, sup(19)F and sup(27)Al nuclei, (sup(3)He,α) reactions on sup(15)N, (p,α) reaction on sup(27)Al and the process sup(12)C(sup(12)C, sup(8)Be)sup(16)O. (author)

  20. Track sensitivity and the surface roughness measurements of CR-39 with atomic force microscope

    CERN Document Server

    Yasuda, N; Amemiya, K; Takahashi, H; Kyan, A; Ogura, K

    1999-01-01

    Atomic Force Microscope (AFM) has been applied to evaluate the surface roughness and the track sensitivity of CR-39 track detector. We experimentally confirmed the inverse correlation between the track sensitivity and the roughness of the detector surface after etching. The surface of CR-39 (CR-39 doped with antioxidant (HARZLAS (TD-1)) and copolymer of CR-39/NIPAAm (TNF-1)) with high sensitivity becomes rough by the etching, while the pure CR-39 (BARYOTRAK) with low sensitivity keeps its original surface clarity even for the long etching.

  1. Activation energy of etching for CR-39 as a function of linear energy transfer of the incident particles

    CERN Document Server

    Awad, E M

    1999-01-01

    In this work, we have studied the effect of the radiation damage caused by the incident particles on the activation energy of etching for CR-39 samples. The damage produced by the incident particle is expressed in terms of the linear energy transfer (LET). CR-39 samples from American Acrylic were irradiated to three different LET particles. These are N (LET sub 2 sub 0 sub 0 = 20 KeV/mu m) as a light particle, Fe (LET sub 2 sub 0 sub 0 = 110 KeV/mu m) as a medium particle and fission fragments (ff) from a sup 2 sup 5 sup 2 Cf source as heavy particles. In general the bulk etch rate was calculated using the weight difference method and the track etch rate was determined using the track geometry at various temperatures (50-90 deg. C) and concentrations (4-9 N) of the NaOH etchant. The average activation energy E sub b related to the bulk etch rate v sub b was calculated from 1n v sub b vs. 1/T. The average activation energy E sub t related to the track etch rate v sub t was estimated from 1n v sub t vs. 1/T. It...

  2. The shape of ion tracks in natural apatite

    Science.gov (United States)

    Schauries, D.; Afra, B.; Bierschenk, T.; Lang, M.; Rodriguez, M. D.; Trautmann, C.; Li, W.; Ewing, R. C.; Kluth, P.

    2014-05-01

    Small angle X-ray scattering measurements were performed on natural apatite of different thickness irradiated with 2.2 GeV Au swift heavy ions. The evolution of the track radius along the full ion track length was estimated by considering the electronic energy loss and the velocity of the ions. The shape of the track is nearly cylindrical, slightly widening with a maximum diameter approximately 30 μm before the ions come to rest, followed by a rapid narrowing towards the end within a cigar-like contour. Measurements of average ion track radii in samples of different thicknesses, i.e. containing different sections of the tracks are in good agreement with the shape estimate.

  3. The shape of ion tracks in natural apatite

    International Nuclear Information System (INIS)

    Schauries, D.; Afra, B.; Bierschenk, T.; Lang, M.; Rodriguez, M.D.; Trautmann, C.; Li, W.; Ewing, R.C.; Kluth, P.

    2014-01-01

    Small angle X-ray scattering measurements were performed on natural apatite of different thickness irradiated with 2.2 GeV Au swift heavy ions. The evolution of the track radius along the full ion track length was estimated by considering the electronic energy loss and the velocity of the ions. The shape of the track is nearly cylindrical, slightly widening with a maximum diameter approximately 30 μm before the ions come to rest, followed by a rapid narrowing towards the end within a cigar-like contour. Measurements of average ion track radii in samples of different thicknesses, i.e. containing different sections of the tracks are in good agreement with the shape estimate

  4. Latent track structure in polymers as observed by a highly sensitive electrolytical conductivity measurement

    International Nuclear Information System (INIS)

    Danziger, M.; Schulz, A.; Trofimov, V.V.; Prokert, K.

    1994-01-01

    First results of a new electrolytical conductivity cell are reported concerning the initial stage of the pore opening process during track etching in vitreous solids. On the basis of the soft mode (low energy excitation) model for track etching, a distribution function for the number of performed micropores as function of time is calculated. The results account for the radial etch rate as function of the effective pore radius. ((orig.))

  5. Modifications of radiation detection response of PADC track detectors by photons

    CERN Document Server

    Sinha, D

    1998-01-01

    Photon induced modifications in polyalyldiglycol carbonate (PADC) track detectors have been studied in the dose range of 10 sup 1 -10 sup 6 Gy. It was found that some of the properties like bulk-etch rate, track-etch rate got enhanced at the dose of 10 sup 6 Gy. Activation energy for bulk-etching has been determined for different gamma doses. In order to correlate the high etch rate with the chemical modifications, UV-Vis, IR and ESR studies were carried out. These studies clearly give the indication that radiation damage results into radical formation through bond cleavage. TGA study was performed for understanding the thermal resistance of this detector. The results are presented and discussed.

  6. Solid state nuclear track detectors in the measurement of alpha to fission branching ratios of heavy actinides

    International Nuclear Information System (INIS)

    Pandey, A.K.; Sharma, R.C.; Padalkar, S.K.; Kalsi, P.C.; Iyer, R.H.

    1992-01-01

    A sequential etching procedure for revelation of alpha and fission tracks in CR-39 was developed and optimized. Using this technique alpha and fission tracks can be differentiated unambiguously because of significant differences in their sizes and etching times. This registration and revelation procedure for alpha and fission tracks may be used for the studies of half lives, alpha to fission branching ratios and identification of radionuclides based on their decay schemes. It has the added advantage that both alpha decay and fission events can be studied using one detector and hence uncertainties related to efficiency, registration geometry, registration times, amount of radionuclides etc can be eliminated or minimized. The effects of neutron, gamma and alpha radiations on the alpha and fission fragment tracks registration and revelation properties of CR-39 detectors [CR-39, CR-39 (DOP)] were also studied. The IR spectra were also studied to find out the nature of chemical changes produced by these radiations on CR-39. (author). 32 refs., 7 figs., 4 tabs

  7. Plastic nuclear track detectors as high x-ray and gamma dosimeters

    International Nuclear Information System (INIS)

    Chong Chon Sing

    1995-01-01

    A brief review of recent studies on the effects of high doses of x-ray and gamma ray on the track registration properties of several plastic track detectors is presented. The bulk etching rates and the etched track sizes have been found to increase with the dose in the range up to 100 Mrad. These results suggest that the changes in track registration characteristics can be employed as an index of the radiation dose in the megarad region. In particular, recent results on the effect of X-ray irradiation on two types of cellulose nitrate track detectors obtained in our laboratory are reported in this paper. (author)

  8. Image formation in track-etch detectors: Pt. 4

    International Nuclear Information System (INIS)

    Ilic, Radomir; Najzer, Mitja

    1990-01-01

    The radiographic performance of solid state nuclear track detectors was analysed with respect to image quality. Image quality is expressed in terms of three image quality factors: contrast or gradient of the detector, image unsharpness and detail discernment. Equations for the image quality factors were derived from the radiographic transfer function, taking into account image inhomogeneity caused by statistical fluctuations of track density. To find optimal radiographic conditions for a given application, a single quantity called the figure of radiographic merit was defined. It is expressed as the weighted product of the image quality factors. It was found that optimum image quality of a balanced image, characterized by equal importance of all three image quality factors, is obtained at an exposure value (defined as the product of the average visible track area and track density) of unity. (author)

  9. Measuring depths of sub-micron tracks in a CR-39 detector from replicas using Atomic Force Microscopy

    International Nuclear Information System (INIS)

    Yu, K.N.; Ng, F.M.F.; Nikezic, D.

    2005-01-01

    One of the challenging tasks in the application of solid-state nuclear track detectors (SSNTDs) is the measurement of the depth of the tracks, in particular, the shallow ones resulting from short etching periods. In the present work, a method is proposed to prepare replicas of tracks from α particles in the CR-39 SSNTDs and to measure their heights using atomic force microscopy (AFM). After irradiation, the detectors were etched in a 6.25N aqueous solution of NaOH maintained at 70 deg. C. The etched detectors were immersed into a beaker of the replicating fluid, which was placed in a water bath under ultrasonic vibration and maintained at room temperature to facilitate the filling of the etched tracks with the replicating fluid. As an example of application, these results have been used to derive a V function for the CR-39 detectors used in the present study (for the specified etching conditions)

  10. Long-term measurements of equilibrium factor with electrochemically etched CR-39 SSNTD

    International Nuclear Information System (INIS)

    Ng, F.M.F.; Nikezic, D.; Yu, K.N.

    2007-01-01

    Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222 Rn, 218 Po and 214 Po were the same, which made possible measurements of a proxy equilibrium factor F p that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 o C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50 deg., the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply

  11. Creation of nanoscale objects by swift heavy ion track manipulations

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.; Stolterfoht, N.

    2003-01-01

    In this work we give an overview of the possibilities to create new objects with nanoscale dimensions with ion tracks, for future applications. This can be realized in two ways: by manipulation of latent swift heavy ion (SHI) tracks, or by embedding specific structures within etched SHI tracks. In the first case one can make use of irradiation effects such as phase transitions and chemical or structural changes along the tracks. In the latter case, one can fill etched SHI tracks with metals, semiconductors, insulating and conducting polymers, fullerite, or colloides. Wires and tubules with outer diameters, between about 50 nm and 5 μm and lengths of up to about 100 μm can be obtained. The most important production techniques are galvanic and chemical depositions. Ion Transmission Spectrometry has turned out to be an especially useful tool for the characterisation of the produced objects. Present studies aim at the construction of condensers, magnets, diodes, and sensors in etched tracks. An obstacle for the practical realization of smallest-size polymeric ion track devices is the statistical distribution of the ion tracks on the target areas, which yields some pixels without any track, and other pixels even with overlapping tracks on a given sample. In a first test experiment we demonstrate that one can, in principle, overcome that problem by taking self-ordered porous foils as masks for subsequent high-fluence SHI irradiation. (author)

  12. Disintegration constant of uranium-238 by spontaneous fission redetermined by glass track method

    International Nuclear Information System (INIS)

    Lima Medeiros, E. de.

    1978-01-01

    The disintegration constant of U 238 by spontaneous fission using glass as fission fragment detector was redetermined. A film of natural uranium (UO 3 ) prepared by chemical methods on the glass lamina was used in a long time experience of exposure (about 16 years). The good conditions of sample preparation and storage allow to observe, after chemical etching, fission fragment tracks. (M.C.K.) [pt

  13. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  14. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  15. The relationship between fission track length and track density in apatite

    International Nuclear Information System (INIS)

    Laslett, G.M.; Gleadow, A.J.W.; Duddy, I.R.

    1984-01-01

    Fission track dating is based upon an age equation derived from a random line segment model for fission tracks. This equation contains the implicit assumption of a proportional relationship between the true mean length of fission tracks and their track density in an isotropic medium. Previous experimental investigation of this relationship for both spontaneous and induced tracks in apatite during progressive annealment model in an obvious fashion. Corrected equations relating track length and density for apatite, an anisotropic mineral, show that the proportionality in this case is between track density and a length factor which is a generalization of the mean track length combining the actual length and crystallographic orientation of the track. This relationship has been experimentally confirmed for induced tracks in Durango apatite, taking into account bias in sampling of the track lengths, and the effect of the bulk etching velocity. (author)

  16. Efficient alpha particle detection by CR-39 applying 50 Hz-HV electrochemical etching method

    International Nuclear Information System (INIS)

    Sohrabi, M.; Soltani, Z.

    2016-01-01

    Alpha particles can be detected by CR-39 by applying either chemical etching (CE), electrochemical etching (ECE), or combined pre-etching and ECE usually through a multi-step HF-HV ECE process at temperatures much higher than room temperature. By applying pre-etching, characteristics responses of fast-neutron-induced recoil tracks in CR-39 by HF-HV ECE versus KOH normality (N) have shown two high-sensitivity peaks around 5–6 and 15–16 N and a large-diameter peak with a minimum sensitivity around 10–11 N at 25°C. On the other hand, 50 Hz-HV ECE method recently advanced in our laboratory detects alpha particles with high efficiency and broad registration energy range with small ECE tracks in polycarbonate (PC) detectors. By taking advantage of the CR-39 sensitivity to alpha particles, efficacy of 50 Hz-HV ECE method and CR-39 exotic responses under different KOH normalities, detection characteristics of 0.8 MeV alpha particle tracks were studied in 500 μm CR-39 for different fluences, ECE duration and KOH normality. Alpha registration efficiency increased as ECE duration increased to 90 ± 2% after 6–8 h beyond which plateaus are reached. Alpha track density versus fluence is linear up to 10 6  tracks cm −2 . The efficiency and mean track diameter versus alpha fluence up to 10 6  alphas cm −2 decrease as the fluence increases. Background track density and minimum detection limit are linear functions of ECE duration and increase as normality increases. The CR-39 processed for the first time in this study by 50 Hz-HV ECE method proved to provide a simple, efficient and practical alpha detection method at room temperature. - Highlights: • Alpha particles of 0.8 MeV were detected in CR-39 by 50 Hz-HV ECE method. • Efficiency/track diameter was studied vs fluence and time for 3 KOH normality. • Background track density and minimum detection limit vs duration were studied. • A new simple, efficient and low-cost alpha detection method

  17. Characterization of CR 39 nuclear track detector for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.

    1988-02-01

    For the estimation of radon, thoron and their short-lived daughter products in air radon diffusion chambers with passive α-track etch detectors have been used. The report describes the properties of CR 39 track etch detectors in particular with respect to the spectrometric detection of α-particles in the energy range up to 8.77 MeV using chemical and a combination of chemical-electrochemical etching technique. In order to optimize the etching conditions for an α-energy discrimination in the energy range up to 8.77 MeV, the ECE track size diameter and the track density have been investigated as a function of the chemical pre-etching time using three electrical field strengths. In a mixed α-spectrum the contributions of various α-particles with energies between 4.6 to 8.77 MeV have been determined experimentally in CR 39 and compared with the spectral measurement using a surface barrier detector and the same irradiation geometry. Beside CR 39 detectors etched chemically and electrochemically, in addition surface barrier detectors and a Monte Carlo calculation have been used to evaluate the α-energy spectrum for thoron and its daughter products emitted by α-decays in the air volume and the plate-out of daughters at the inner surface on the diffusion chamber. (orig./HP) [de

  18. Measurement of low neutron-fluences using electrochemically etched PC and PET track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1979-01-01

    Systematic investigations have been carried out to study different properties of electrochemically etched (ECE) polycarbonate (PC) and polyethylene-terephthalate (PET) foils. The dependence of the density of background discharge spots on surface-thickness removal, electrical field strength and frequency of voltage is given. The effect of these parameters on the neutron sensitivity of polycarbonate and polyethylene-terephthalate foils irradiated at right angles to 14.7 MeV, 241 Am-Be and 252 Cf neutrons is also studied. With knowledge of the background and sensitivity data, the etching and electrical parameters are optimized for low neutron-fluence measurements. (author)

  19. Response of CR-39 to 0.9-2.5 MeV protons for KOH and NaOH etching solutions

    Science.gov (United States)

    Bahrami, F.; Mianji, F.; Faghihi, R.; Taheri, M.; Ansarinejad, A.

    2016-03-01

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94-2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  20. Fast neutron personnel dosimetry by CR-39 plastics a new electrochemical etching procedure

    International Nuclear Information System (INIS)

    Djeffal, S.

    1984-07-01

    In the first part of this work a brief description of solid state nuclear track detectors, the principles of track registration and the different reading techniques are given. In the experimental part of the present work we systematically analysed different etching procedures and set a new electrochemical etching method, which enables us to develop a new fast neutron dosimeter. This fast neutron dosimeter makes possible the measurement of low neutron doses in the energy range from 10 Kev to 20 Mev with a reasonably flat energy response. These new developments are very attractive in personnel neutron dosimetry where nuclear emulsions are still used despite their insensitivity to neutron energies down to 500 Kev (i.e. the energy range one often encounters around nuclear facilities)

  1. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  2. Application of solid state track detector to neutron dosimetry

    International Nuclear Information System (INIS)

    Tsuruta, Takao

    1979-01-01

    Though solid state track detectors (SSTD) are radiation measuring instrument for heavy charged particles by itself, it can be used as radiation measuring instrument for neutrons, if nuclear reactions such as (n, f) or (n, α) reaction are utilized. Since the means was found, which permits to observe the tracks of heavy charged particles in a solid with an optical microscope by chemically etching the tracks to enlarge them to etch pits, various types of detectors have been developed for the purpose of measuring neutron dose. The paper is described on the materials and construction of the SSTDs for neutron dosimetry, and the sensitivity is explained with mathematical equations. The features of neutron dosimetry with SSTDs are as follows: They are compact, and scarcely disturb neutron field, thus delicate dose distribution can be known; integration measurement is possible regardless of dose rate values because of integrating type detectors; it is not influenced by β-ray or γ-ray except the case when there is high energy radiation such as causing photonuclear reactions or high dose such as degrading solids, it has pretty high sensitivity; track fading is negligible during the normal measuring time around room temperature; and the etching images of tracks are relatively clear, and various automatic counting systems can be employed. (Wakatsuki, Y.)

  3. Application of solid state nuclear track detectors in radiation protection

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Subba Ramu, M.C.; Mishra, U.C.

    1989-01-01

    This article reviews the current status of the application of nuclear track detectors with emphasis on recent developments in the field of radiation protection. Track etch detectors have been used for the measurements of low level radiation in the environment, fast neutron and radon daughter inhalation dose. Recent developments in the field of dosimetry seem to be promising. In fast neutron dosimetry, track etch detectors can be used without inclusion of fissile materials by using the electrochemical etching technique. These detectors can provide important information in the energy range upto 250 keV. Survey of this range of energy with TLD is difficult because they are extremely energy dependent and over-respond to low energy neutrons. Measurement of radon using track detectors can help to lower the cost of the radon dosimeters. Certain detectors are sensitive to alpha particles from radon and their progeny. Higher sensitivity permits their use in a passive type of personnel dosimeter, which does not require the troublesome aspects of air sampling for the collection of radon daughter samples. (author), 38 refs., 8 tabs., 12 figs

  4. Measurement of track opening contours of oblique incident 4He and 7Li-ions in CR-39: Relevance for calculation of track formation parameters

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Reichelt, U.

    2010-01-01

    Solid State Nuclear Track Detectors (SSNTD) irradiated in realistic radiation fields exhibits after chemical etching very complex track images resulting from different species of particles and their energy spectra and randomly distributed angles of incidence or emission. Reading out such an etched detector surface with a light microscope, quite different track opening contours are observed. Beside the number of tracks, typically their major and minor axes are measured. In this work following problems arising from such experimental situations will be investigated: ·the measurement of track contour parameters for oblique incident 4 He and 7 Li-ions of different energies and angles in CR-39 detectors ·the theoretical description of the angular variation of both axes. ·the possibility to extract physical and spectroscopic information from major and minor track axes. This analysis is based on an intensive experimental program and the comprehensive study of theoretical models available for description of track revealing processes in CR-39.

  5. Fission track dating of volcanic glass: experimental evidence for the validity of the Size-Correction Method

    International Nuclear Information System (INIS)

    Bernardes, C.; Hadler Neto, J.C.; Lattes, C.M.G.; Araya, A.M.O.; Bigazzi, G.; Cesar, M.F.

    1986-01-01

    Two techniques may be employed for correcting thermally lowered fission track ages on glass material: the so called 'size-correcting method' and 'Plateau method'. Several results from fission track dating on obsidian were analysed in order to compare the model rising size-correction method with experimental evidences. The results from this work can be summarized as follows: 1) The assumption that mean size of spontaneous and induced etched tracks are equal on samples unaffected by partial fading is supported by experimental results. If reactor effects such as an enhancing of the etching rate in the irradiated fraction due to the radiation damage and/or to the fact that induced fission releases a quantity of energy slightly greater than spontaneous one exist, their influence on size-correction method is very small. 2) The above two correction techniques produce concordant results. 3) Several samples from the same obsidian, affected by 'instantaneous' as well as 'continuous' natural fading to different degrees were analysed: the curve showing decreasing of spontaneous track mean-size vs. fraction of spontaneous tracks lost by fading is in close agreement with the correction curve constructed for the same obsidian by imparting artificial thermal treatements on induced tracks. By the above points one can conclude that the assumptions on which size-correction method is based are well supported, at least in first approximation. (Author) [pt

  6. Uranium fission track length distribution modelling for retracing chronothermometrical history of minerals

    International Nuclear Information System (INIS)

    Rebetez, M.

    1987-01-01

    Spontaneous fission of uranium 238 isotope contained in certain minerals creates damage zones called latent tracks, that can be etched chemically. The observation of these etched tracks and the measurement of their characteristics using an optical microscope are the basis of several applications in the domain of the earth sciences. First, the determination of their densities permits dating a mineral and establishing uranium mapping of rocks. Second, the measurement of their lengths can be a good source of information for retracing the thermal and tectonic history of the sample. The study of the partial annealing of tracks in apatite appears to be the ideal indicator for the evaluation of petroleum potential of a sedimentary basin. To allow the development of this application, it is necessary to devise a theoretical model of track length distributions. The model which is proposed takes into account the most realistic hypotheses concerning registration, etching and observation of tracks. The characteristics of surface tracks (projected lengths, depths, inclination angles, real lengths) and confined tracks (Track IN Track and Track IN Cleavage) are calculated. Surface tracks and confined tracks are perfectly complementary for chrono-thermometric interpretation of complex geological histories. The method is applied to the case of two samples with different tectonic history, issued from the cretaceous alcalin magmatism from the Pyrenees (Bilbao, Spain). A graphic method of distribution deconvolution is proposed. Finally, the uranium migration, depending on the hydrothermal alteration, is studied on the granite from Auriat (France) [fr

  7. UV-induced graft polymerization of acrylic acid in the sub-micronchannels of oxidized PET track-etched membrane

    Science.gov (United States)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Taltenov, Abzal A.

    2015-12-01

    In this article, we report on functionalization of track-etched membrane based on poly(ethylene terephthalate) (PET TeMs) oxidized by advanced oxidation systems and by grafting of acrylic acid using photochemical initiation technique for the purpose of increasing functionality thus expanding its practical application. Among advanced oxidation processes (H2O2/UV) system had been chosen to introduce maximum concentration of carboxylic acid groups. Benzophenone (BP) photo-initiator was first immobilized on the surfaces of cylindrical pores which were later filled with aq. acrylic acid solution. UV-irradiation from both sides of PET TeMs has led to the formation of grafted poly(acrylic acid) (PAA) chains inside the membrane sub-micronchannels. Effect of oxygen-rich surface of PET TeMs on BP adsorption and subsequent process of photo-induced graft polymerization of acrylic acid (AA) were studied by ESR. The surface of oxidized and AA grafted PET TeMs was characterized by UV-vis, ATR-FTIR, XPS spectroscopies and by SEM.

  8. Response of CR-39 to 0.9–2.5 MeV protons for KOH and NaOH etching solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bahrami, F. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Mianji, F., E-mail: fmianji@aeoi.org.ir [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of); Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Faghihi, R. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Taheri, M. [Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Ansarinejad, A. [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of)

    2016-03-21

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94–2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  9. Further development of a track detector as the spectrometer of linear energy transfer

    International Nuclear Information System (INIS)

    Spurny, F.; Bednar, J.; Vlcek, B.; Botollier-Depois, J.F.

    1998-01-01

    Track revealing in a track etch detector is a phenomenon related to the linear energy transfer (LET) of the particle registered. The measurements of track parameters permit to determine the LET corresponding to each revealed track, i.e. LET spectrum. We have recently developed a spectrometer of LET based on the chemically etched polyallyldiglycolcarbonate (PADC). In this contribution the results obtained with such spectrometer in some neutron fields are presented, analyzed and discussed. Several radionuclide neutron sources have been used, LET spectrometer has been also exposed in high energy neutron reference fields at CERN and JINR Dubna, and on board aircraft. (author)

  10. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  11. Triton, deuteron and proton responses of the CR-39 track detector

    Energy Technology Data Exchange (ETDEWEB)

    Yamauchi, Tomoya; Matsumoto, Hiroyoshi; Oda, Keiji [Kobe Univ. of Mercantile Marine (Japan)

    1996-07-01

    In the present study, we assessed the response of the CR-39 detector to proton, deuteron and triton from their etch-pit growth curves obtained by multi-step etching technique and the difference among their track registration properties was discussed. In order to avoid incorrect evaluation due to the missing track effect, particle irradiation was performed at various incident energies. The response function, S(R), etch rate ratio, S, as a function of the residual range, R, was experimentally evaluated for all hydrogen isotopes by this method. In the next, we obtained another form of response functions of S(E), S({beta}) and S(LET{sub 200}), which were presented as functions of the particle energy, E, the particle velocity, {beta}(=v/c), and the linear energy transfer in the case where the cut-off energy is 200 eV, LET{sub 200}, respectively. These information will be useful also in understanding the fundamentals of the latent track formation mechanism in the plastic track detectors. (J.P.N.)

  12. Influence of tracks densities in solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Guedes O, S.; Hadler N.; Lunes, P.; Saenz T, C.

    1996-01-01

    When Solid State Nuclear Track Detectors (SSNTD) is employed to measure nuclear tracks produced mainly by fission fragments and alpha particles, it is considered that the tracks observation work is performed under an efficiency, ε 0 , which is independent of the track density (number of tracks/area unit). There are not published results or experimental data supporting such an assumption. In this work the dependence of ε 0 with track density is studied basing on experimental data. To perform this, pieces of CR-39 cut from a sole 'mother sheet' were coupled to thin uranium films for different exposition times and the resulting ratios between track density and exposition time were compared. Our results indicate that ε 0 is constant for track densities between 10 3 and 10 5 cm -2 . At our etching conditions track overlapping makes impossible the counting for densities around 1.7 x 10 5 cm -2 . For track densities less than 10 3 cm -2 , ε 0 , was not observed to be constant. (authors). 4 refs., 2 figs

  13. Progress in the application of solid-state track recorders to reactor physics experiments

    International Nuclear Information System (INIS)

    Besant, C.B.; Ipson, S.S.

    1969-03-01

    Heavily ionising particles passing through insulating materials cause tracks due to damage. These strain energy fields may be observed directly with an electron microscope or, after etching, the tracks may be seen with an optical microscope. The etching solution penetrates the damaged portion of the material and enlarges the hole if the etching is continued. This technique has been applied to detecting fission fragments from fissions taking place in a thin source of fissile material. The source, together with the insulating material is called a Solid-State Track Recorder and has been applied to the measurement of fission ratios in the fast critical assembly ZEBRA at Winfrith. The main disadvantage of the technique has been in counting tracks by eye which is laborious and subject to the human element. This has been overcome by counting tracks with a 'Quantimet' Computer Image Analyser. Absolute fission rate measurements are also discussed and it has been shown that measurements to an accuracy of better than ± 1% should be possible with this technique. (author)

  14. UV-irradiation effects on polyester nuclear track detector

    International Nuclear Information System (INIS)

    Agarwal, Chhavi; Kalsi, P.C.

    2010-01-01

    The effects of UV irradiation (λ=254 nm) on polyester nuclear track detector have been investigated employing bulk-etch technique, UV-visible spectrophotometry and infra-red spectrometry (FTIR). The activation energy values for bulk-etching were found to decrease with the UV-irradiation time indicating the scission of the polymer. Not much shift in the absorption edge due to UV irradiation was seen in the UV-visible spectra. FTIR studies also indicate the scission of the chemical bonds, thereby further validating the bulk-etch rate results.

  15. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  16. Alpha particle track coloration in CR-39: Improved observability

    CERN Document Server

    Oezguemues, A

    1999-01-01

    A comparative study of the observability of alpha particle tracks in CR-39 was performed with an optical microscope before and after coloration. The implantation of ink helped in observing the damage zones. At first glance through the microscope, the coloration makes the tracks stand out right away. This coloration is helpful, from the start, in the morphological study of the tracks (size, area, orientation, shape, perimeter). This operation is advantageous in distinguishing the alpha particle tracks from stains or scratches. Thus, the routine counting of the tracks is more easily performed. Consequently, this procedure allowed us: to decrease significantly the standard deviation of the approximate total of the parameters given from the image analysis system (Olympus CUE2); to envision the possibility of reasonably decreasing the etching time in order to limit the loss of information caused by the destruction of the CR-39 during chemical etching and to use a weaker enlarging lens in order to cover a larger fi...

  17. Continuous wave ultraviolet radiation induced frustration of etching in lithium niobate single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Mailis, S.; Riziotis, C.; Smith, P.G.R.; Scott, J.G.; Eason, R.W

    2003-02-15

    Illumination of the -z face of congruent lithium niobate single crystals with continuous wave (c.w.) ultraviolet (UV) laser radiation modifies the response of the surface to subsequent acid etching. A frequency doubled Ar{sup +} laser ({lambda}=244 nm) was used to illuminate the -z crystal face making it resistive to HF etching and thus transforming the illuminated tracks into ridge structures. This process enables the fabrication of relief patterns in a photolithographic manner. Spatially resolved Raman spectroscopy indicates preservation of the good crystal quality after irradiation.

  18. Sensitivity of chemically and electrochemically etched CR 39 polymers to the neutrons of AmBe source

    International Nuclear Information System (INIS)

    Turek, K.; Spurny, F.; Dajko, G.; Somogyi, G.

    1981-01-01

    Seven samples of polymers by different manufacturers were used in a study of the sensitivity of CR 39 polymers to Am-Be neutrons. In the polymer, proton tracks for a relatively broad energy range can also be recorded. The following characteristics were studied: the sample background for different etching methods, the dependence of sensitivity on the etched thickness and on neutron fluence, the effect of type and thickness of external proton emitters, and the effect of the choice of electric parameters on the resulting sensitivity in electrochemical etching. Good results were obtained when chemical and electrochemical etching was used in combination. It was found that with electrochemical etching, sensitivity decreases for neutron fluence exceeding 10 8 cm -2 . The sensitivity of the studied CR 39 polymer samples only little differed. When the most sensitive polymer was used, the minimum dose equivalent in the human body for Am-Be neutrons which could be determined using combination etching was 0.4 mSv (ie., 40 mrems). (B.S.)

  19. Field and laboratory tests of etched track detectors for 222Rn: summer-vs-winter variations and tightness effects in Maine houses

    International Nuclear Information System (INIS)

    Hess, C.T.; Fleischer, R.L.; Turner, L.G.

    1985-01-01

    Effects of tightness of homes of bedrock character on indoor 222 Rn concentrations were sought in 70 homes in the state of Maine by means of four 6- to 8-month-long surveys over a 1.5-yr period. Laboratory experiments were also performed that document the reliability of the track etching system used for the measurements. In this survey the Rn in tight homes was on the average 3.5 times that in drafty ones, and areas with granitic bedrock led to homes having 2.3 times the Rn as for homes on chlorite-biotite-rich bedrock. Winter-to-summer ratios ranged from 0.5-7, and averaged 1.5, implying that surveys of individual homes require a full year of monitoring

  20. Charged-particle track analysis, thermoluminescence and microcratering studies of lunar samples

    International Nuclear Information System (INIS)

    Durrani, S.A.

    1977-01-01

    Studies of lunar samples (from both Apollo and Luna missions) have been carried out, using track analysis and thermoluminescence (t.l.) techniques, with a view to shedding light on the radiation and temperature histories of the Moon. In addition, microcraters in lunar glasses have been studied in order to elucidate the cosmic-dust impact history of the lunar regolith. In tracks studies, the topics discussed include the stabilizing effect of the thermal annealing of fossil tracks due to the lunar temperature cycle; the 'radiation annealing' of fresh heavy-ion tracks by large doses of protons (to simulate the effect of lunar radiation-damage on track registration); and correction factors for the anisotropic etching of crystals which are required in reconstructing the exposure history of lunar grains. An abundance ratio of ca. (1.1 + 0.3) x 10 -3 has been obtained, by the differential annealing technique, for the nuclei beyond the iron group to those within that group in the cosmic rays incident on the Moon. The natural t.l. of lunar samples has been used to estimate their effective storage temperature and mean depth below the surface. The results of the study of natural and artificially produced microcraters have been studied. (author)

  1. Efficiency calibration of solid track spark auto counter

    International Nuclear Information System (INIS)

    Wang Mei; Wen Zhongwei; Lin Jufang; Liu Rong; Jiang Li; Lu Xinxin; Zhu Tonghua

    2008-01-01

    The factors influencing detection efficiency of solid track spark auto counter were analyzed, and the best etch condition and parameters of charge were also reconfirmed. With small plate fission ionization chamber, the efficiency of solid track spark auto counter at various experiment assemblies was re-calibrated. The efficiency of solid track spark auto counter at various experimental conditions was obtained. (authors)

  2. Effect of certain production parameters and post-production treatments on the etching characteristics of CR-39 sheets

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, G.; Toth-Szilagyi, M.; Hunyadi, I.; Hafez, A.-F.

    1986-01-01

    There is a permanent need in producing track recording detectors of well defined behaviour from CR-39 monomer. Therefore, more studies on the role of production parameters determining the stability of main etching characteristics (bulk etch rate, Vsub(B);etch rate ratio, Vsub(T)/Vsub(B);opaqueness after longer etching periods) are highly required. In our studies we have examined different commercial CR-39 sheets (Homalite, Pershore, MA-ND) to compare their registration sensitivity to selected alpha and proton energies. In addition, we have investigated the main etching parameters of CR-39 sheets manufactured under different casting conditions varying the concentration of initiator and certain additives. Finally, the effect of some post-production treatments, annealing and storage in vacuum, on the Vsub(B) and Vsub(T)/Vsub(B) values is studied.

  3. Track detectors in particle accelerator environment: an overview on existing and new methods

    International Nuclear Information System (INIS)

    Tripathy, S.P.; Sarkar, P.K.

    2011-01-01

    The advent of high energy, high intensity particle accelerators, with increasing applications in various fields has lead to the involvement of more users and operators. The complex (secondary) radiation field in an accelerator environment, generated by the primary beam hitting a target, is highly directional, dynamic, pulsed and mixed in nature, which poses a unique challenge for the radiological safety aspects, specially the neutrons contributing to a significant dose even beyond the shields. Solid polymeric track detectors (SPTDs), due to their insensitivity to low LET radiations and integrating nature of signal registration, are found to be effective and convenient for neutron measurements. This paper reviews some of the existing and frequently used methods of neutron spectrometry and dosimetry using SPTDs and explores new approaches as well. The paper elaborates on the extended energy response and rapid etching techniques of SPTDs along with some new results. An overview on the recently introduced microwave-induced chemical etching (MICE) technique is also presented. (author)

  4. Fission track dating of zircon: a multichronometer

    International Nuclear Information System (INIS)

    Carpena, J.

    1992-01-01

    Scattering in Fission Track ages of zircons of a single rock is possible when they present morphological and geochemical variations, if the greatest care is not taken in the choice of the etching conditions and the counting of tracks. The Fission Track study of two heterogeneous populations of zircons from the Mont Blanc granite and from the Gran Paradiso gneisses allows to show that zircon may work as a multichronometer

  5. Temperature responsive track membranes

    International Nuclear Information System (INIS)

    Omichi, H.; Yoshido, M.; Asano, M.; Tamada, H.

    1994-01-01

    A new track membrane was synthesized by introducing polymeric hydrogel to films. Such a monomer as amino acid group containing acryloyl or methacryloyl was either co-polymerized with diethylene glycol-bis-ally carbonate followed by on beam irradiation and chemical etching, or graft co-polymerized onto a particle track membrane of CR-39. The pore size was controlled in water by changing the water temperature. Some films other than CR-39 were also examined. (author). 11 refs, 7 figs

  6. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    Energy Technology Data Exchange (ETDEWEB)

    Jaleh, B. [Physics Department, Bu- Alisina University, Hamadan (Iran, Islamic Republic of); Parvin, P. [Physics Department, Amirkabir University, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of) and Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of) ]. E-mail: parvin@aut.ac.ir; Katoozi, M. [National Radiation Protection Department, AEOI, Tehran (Iran, Islamic Republic of); Zamani, Z. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of); Zare, A. [Laser Research Center, Atomic Energy Organization of Iran, AEOI, Tehran (Iran, Islamic Republic of)

    2005-11-15

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than {phi}{sub th}5.2J/cm{sup 2}, at 32mJ/cm{sup 2} fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for {phi}>{phi}{sub th}, whereas the polymer experiences hardening effect due to crosslinking when {phi}<{phi}{sub th}. Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC.

  7. Etching microscopic defects in polycarbonate due to high dose ArF or KrF laser exposure

    International Nuclear Information System (INIS)

    Jaleh, B.; Parvin, P.; Katoozi, M.; Zamani, Z.; Zare, A.

    2005-01-01

    The ArF or KrF excimer laser exposure on the polycarbonate (PC) with corresponding doses higher than φ th 5.2J/cm 2 , at 32mJ/cm 2 fluence per pulse and 5Hz pulse repetition rate (PRR), induces regular defects leading to self assembled defect structure following electrochemical etching (ECE). We have observed the conical-like structure for φ>φ th , whereas the polymer experiences hardening effect due to crosslinking when φ th . Subsequently, conical-like, structure turns into track-like pits developing under ECE multiple treeing. Self assembled defect structure may be seen by naked eye as white spots, despite SEM illustrates a type of periodic pit formation-morphology. The exact explanation of the effect is not well understood yet. It looks like alpha tracks in the polymer surface, however the PC pieces were simply treated by excimer lasers at high doses, and they have not been exposed to the nuclear particles afterwards. We could not observe those effects at 308nm (XeCl laser) or longer wavelengths at 351nm (XeF laser) where UV photoablation does not occur. It indicates that UV ablation establishes surface degradation at shorter wavelengths, leading to laser micro etching. The mean track (defect) density is about one order of magnitude greater than the normal alpha tracks. Increasing UV doses, polymer undergoes a plateau, corresponding to etched defect saturation on PC

  8. A novel method for observation by unaided eyes of nitrogen ion tracks and angular distribution in a plasma focus device using 50 Hz–HV electrochemically-etched polycarbonate detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Habibi, M.; Roshani, G.H.; Ramezani, V.

    2012-01-01

    A novel ion detection method has been developed and studied in this paper for the first time to detect and observe tracks of nitrogen ions and their angular distribution by unaided eyes in the Amirkabir 4 kJ plasma focus device (PFD). The method is based on electrochemical etching (ECE) of nitrogen ion tracks in 1 mm thick large area polycarbonate (PC) detectors. The ECE method employed a specially designed and constructed large area ECE chamber by applying a 50 Hz–high voltage (HV) generator under optimized ECE conditions. The nitrogen ion tracks and angular distribution were efficiently (constructed for this study) amplified to a point observable by the unaided eyes. The beam profile and angular distribution of nitrogen ion tracks in the central axes of the beam and two- and three-dimensional iso-ion track density distributions showing micro-beam spots were determined. The distribution of ion track density along the central axes versus angular position shows double humps around a dip at the 0° angular positions. The method introduced in this paper proved to be quite efficient for ion beam profile and characteristic studies in PFDs with potential for ion detection studies and other relevant dosimetry applications.

  9. Status of development in the field of Cr-39 track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1982-01-01

    The present situation concerning the manufacture and etching characteristics of the CR-39 nuclear track detector is surveyed. Especial attention is paid to the trends in research and to the outstanding questions related to the subject. The requirements and procedures in connection with the manufacture of high-quality, thick and thin detector foils are discussed. The main bulk and track etching characteristics are presented, involving the effects of various environmental parameters as well. A statistical account of the typical directions in application is given. (author)

  10. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  11. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    International Nuclear Information System (INIS)

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurny, F.; Yukihara, E. G.; Gaza, R.; McKeever, S. W. S.

    2006-01-01

    The laboratory of Microbiology at SCK.CEN, in collaboration with different universities, participates in several ESA programmes with bacterial experiments that are carried out in the International Space Station (ISS). The main objective of these programmes is to study the effects of space flight conditions such as microgravity and cosmic radiation on the general behaviour of model bacteria. To measure the radiation doses received by the bacteria, different detectors accompanied the microbiological experiments. The results obtained during two space flight missions are discussed. This dosimetry experiment was a collaboration between different institutes so that the doses could be estimated by different techniques. For measurement of the high linear energy transfer (LET) doses (>10 keV μm -1 ), two types of etched track detectors were used. The low LET part of the spectrum was measured by three types of thermoluminescent detectors ( 7 LiF:Mg,Ti; 7 LiF:Mg,Cu,P; Al 2 O 3 :C) and by the optically stimulated luminescence technique using Al 2 O 3 :C detectors. (authors)

  12. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  13. Response of LR-115 type II and CR-39 plastic track detectors to Am-Be and 14.1-MeV neutrons

    International Nuclear Information System (INIS)

    Bradley, D.A.; Chong, C.S.; Saat, Ahmat; Sidik, A.G.; Ghose, A.M.

    1987-01-01

    The fast-neutron response of the plastic LR-115 type II and CR-39 track detectors have been compared, using a 14.1-MeV neutron generator and a radionuclide Am-Be neutron source (effective primary neutron energy 4.5-MeV). The distribution of track diameters for a range of etching times has been evaluated, taking into account track registration efficiency and the relevant fast neutron scattering cross-sections. The efficiency of etched-track formation in LR-115 type II due to neutron irradiation is approximately double that in CR-39. The 14.1-MeV neutrons also tend to produce tracks in both materials with somewhat greater efficiency than do the lower energy neutrons from the radionuclide source, for a given etching time. (author)

  14. Influence of etching conditions on the efficiency and critical angle of plastic detector Makrofol-N

    International Nuclear Information System (INIS)

    Bhatia, R.K.; Singh, R.C.; Virk, H.S.

    1989-01-01

    The effect of concentration and temperature of the etching agent on Makrofol-N is studied using 54 129 Xe ion beam (7.5 MeV/n) at normal as well as oblique incidence. The critical angle for track revelation in Makrofol-N and the efficiency of track registration is estimated using standard formulation proposed by Somogyi and Hunyadi (1979). (author). 8 r efs

  15. Change in the sensitivity of CR-39 for alpha-tracks after the storage at different temperatures

    International Nuclear Information System (INIS)

    Enomoto, Hiroko; Ishigure, Nobuhito

    2000-01-01

    The effect of storage on track registration property of CR-39 has been investigated. Pieces of CR-39 plate were irradiated with normally incident α-particles and fission fragments using a 252 Cf source prior and posterior to the storage of them in air for one year at different temperatures of -80degC, -23degC, 4degC, 23degC and 35degC. Periodical etching was performed for the pieces stored at different temperatures using the solution of NaOH with 7 mol+l -1 at 70degC for 4 hours. Bulk etch rate (V b ) was obtained from the etch pit diameter (D f ) of fission tracks using the equation: V b =D f /(2t), where t is etching time (h). The sensitivity for α-tracks (S) was obtained from the ratio of the etch pit diameters between α-tracks (D a ) and fission-tracks using the equation: S={1+(D a /D f ) 2 }/{1-(D a /D f ) 2 }-1. The present results show that both the bulk etch rate and α-track sensitivity are not constant, which tend to decrease with storage times and storage temperatures. At -80degC, -23degC and 4degC the change in the sensitivity was negligible. On the other hand, the storage at 23degC for 1 year decreased the sensitivity down to 74%. The most significant effect was observed on the α-track sensitivity at 35degC, which was reduced to 80% for one month, 61% for three months, 42% for six months and 32% for one year. By the comparison of experiments between fading and ageing it is shown that such storage effect is attributed not to fading of latent tracks but mainly to some changes in the detector itself. When CR-39 is used of radon monitoring or neutron monitoring, the following points should be paid attention to: (1) the detector should be stored in a refrigerator before exposure and until etching after the exposure, (2) the change in the sensitivity between the time of calibration and the time of use should be evaluated and the counting efficiency at the measurement should be corrected and (3) for comparison or for interpretation of experimental results

  16. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  17. Radon measurements using track detector in Wadi Sannur cave

    International Nuclear Information System (INIS)

    Shahin, F.; Eissa, M.F.; Mostafa, R.M.

    2008-01-01

    The most important contributors to the committed effective dose received by population due to natural sources are the short-lived decay products of radon ( 222 Rn). In natural voids, such as caves, most radon will enter the system from diffusion across the rock-air interface. It is well known that factors such as air pressure and temperature control the distribution of radon. The radon concentration measurements in the Wadi Sannur cave in Beni-Suef governorate in the period from 14 th of July 2005 to 17 th of October 2005. The average radon concentrations in the right, left and whole first cave are 916.12 ± 179.09, 819.63 ± 54.72 and 873.90± 147.11 Bq m -3 respectively. The measurements were performed using track etch detector of type Cr-39. After exposure, all detectors were etched chemically in 6.25 M NaOH solution at 70 C degrees for 6 h. The tracks were counted with an optical microscope magnifying 400 times. The average temperature inside the first cave during the period of measurements is 25-26 C degrees. The annual effective doses for the workers and visitors in the cave have been calculated. The average radon concentration in the Wadi Sannur cave, was 873.90 ± 147.11 Bq m -3 . The annual effective doses for worker and visitor in the cave were 1.33 ± 0.24 and 0.041 ± 0.007 mSv respectively. The doses are within the international recommended dose of 1.15 mSv. (author)

  18. Investigations of heavy ion tracks in polyethylene naphthalate films

    CERN Document Server

    Starosta, W; Sartowska, B; Buczkowski, M

    1999-01-01

    The heavy ion beam (with fluence 3x10 sup 8 ion/cm sup 2) from a cyclotron has been used for irradiation of thin polyethylene naphthalate (PEN) films. Latent tracks in these polymeric films have been sensitized by UV radiation and then chemically etched in NaOH solution. The etching process parameters have been controlled by the electroconductivity method. After etching, parameters of samples have been examined by SEM and bubble point methods (Coulter[reg] Porometer II instrument). Results have shown good quality of PEN track membranes with pore sizes in the range: 0.1 - 0.5 mu m. The described procedure is known for thin polyethylene terephthalate (PET) films. Taking into consideration that PEN films have got better mechanical, thermal, gas barrier as well as better chemical resistance properties in comparison with PET films, the possibility of application of such membranes is much wider.

  19. Poly(vinylidene fluoride)-based ion track membranes with different pore diameters and shapes. SEM observations and conductometric analysis

    International Nuclear Information System (INIS)

    Nuryanthi, Nunung; Yamaki, Tetsuya; Koshikawa, Hiroshi; Asano, Masaharu; Enomoto, Kazuyuki; Sawada, Shin-ichi; Maekawa, Yasunari; Voss, Kay-Obbe; Trautmann, Christina; Neumann, Reinhard

    2010-01-01

    Poly(vinylidene fluoride) (PVDF) membranes with conical and cylindrical nanopores were prepared in a controlled manner by the ion-track technique, which involved heavy-ion beam irradiation and subsequent alkaline etching. The etching behavior mainly depended on the energy deposition of the ion beams, and thus its depth distribution, estimated by theoretical simulation, was successfully applied to control the shapes and diameters of the etched pores. Scanning electron microscopy (SEM) and electrolytic conductometry provided an insight into the critical experimental parameters. Interestingly, applying a higher voltage to the conductometry cell promoted track etching up to breakthrough probably because electrophoretic migration of the dissolved products occurred out of each pore. (author)

  20. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  1. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  2. Thermoset plastics for the nuclear track detection

    International Nuclear Information System (INIS)

    Fujii, M.

    1984-01-01

    Characteristics of thermoset plastics for the nuclear track detection have been studied. Some of the samples show good etching properties and will be useful for observations of super heavy primaries. (author)

  3. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  4. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  5. Detection of heavy nuclei in the plastic track detector CR-39

    International Nuclear Information System (INIS)

    Fumuro, F.; Ihara, R.; Ohta, I.; Sato, Y.; Tezuka, I.; Tasaka, S.; Sugimoto, H.

    1982-01-01

    Relativistic cosmic ray nuclei were detected in an emulsion chamber composed of a pile of solid state track detectors (CR-39) and the emulsion plates. The 460 heavy nuclei were observed by scanning of emulsion and CR-39 plastic plates. The normalized track etch rate (Vsub(t)/Vsub(b))-1 for relativistic iron group was measured as 1.3+-0.05 and bulk etch rate Vsub(b) as 1.63+-0.08 μm/hour after 32 hours etch in 6.8 N NaOH at 70 0 C. The charge detection threshold was obtained to be Z=6 for β=1 with the dip angle larger than 75 degrees. The charge resolution was estimated to be Δ Z=0.7 for iron, and Δ Z=0.4 for magnesium and silicon nuclei

  6. Measurement of nanosize etched pits in SiO2 optical fiber conduit using AFM

    International Nuclear Information System (INIS)

    Espinosa, G.; Golzarri, J.I.; Vazquez, C.; Fragoso, R.

    2003-01-01

    Fission fragment tracks from 252 Cf have been observed in SiO 2 optical fiber, using an atomic force microscope (AFM), after a very short chemical etching in hydrofluoric acid solution at normal temperature. The nuclear track starting and evolution process is followed by the AFM direct measurements on the material surface and beyond a fine layer of the surface material. The images of the scanned cones were determined observing the two predominant energies from 252 Cf fission fragments and the development of the tracks in the 150 μm diameter optical fiber conduit

  7. Bulk etch rate of LR-115 cellulose nitrate film

    International Nuclear Information System (INIS)

    Harris, M.J.; Schlenker, R.A.

    1977-01-01

    Bulk etch rate (V/sub b/) of Kodak LR-115 cellulose nitrate film has been studied, and values for the parameter are presented. An interesting variability of V/sub b/ has been found which has implications for microdosimetry using this type of integrating nuclear track detector. Short-term and longer-term thickness changes have been observed which may increase the uncertainty in estimations of dose using this type of detector

  8. Calibration of a solid state nuclear track detector for the measurements of volumic activity of Radon

    International Nuclear Information System (INIS)

    HAKAM, O.K.; LFERDE, M.; BERRADA, M.

    1994-01-01

    Time - integrated measurements of environmental radiation activity are commonly carried out using solid state nuclear track detectors ( SSNTD ). These detectors should be calibrated of volumic activity of radon. This paper reports the results of experiments conducted to calibrate cellulose nitrate films LR - 115 type II used for measurements of volumic activity of radon in indoor air in dwellings and enclosed work areas in Morocco. Calibration measurements were made in laboratory using a calibration chamber and a radon source. The calibration chamber is a cylindric box ( 2613,6 cm sup 3)which we have manufactured of aluminium. The radon source is a natural sample rich of aluminium (17,29 + 0 ,12) Bq/g. The films are placed in detector holder with membrane and exposed inside the calibration chamber to varying concentrations of radon. Following the exposure, the films were chemically etched in sodium hydroxide (2,5 N) at 60 C for 120 minutes. The number of registered alpha particle tracks were counted with an optical microscope. In the used etching conditions, the removed mean thickness is in the order of 6 micro m. Therefore, we have normalized the track density to this value . We obtained a calibration factor of 0, 58 tracks . cm sup -2/ K Bq . h . m sup -3 . 1 tab.; 1 fig.; 2 refs. (author)

  9. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  10. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  11. Modifications in track registration response of PADC detector by energetic protons

    CERN Document Server

    Dwivedi, K K; Fink, D; Mishra, R; Tripathy, S P; Kulshreshtha, A; Khathing, D T

    1999-01-01

    It has been well established that different ionising radiations modify the track registration properties of dielectric solids. In an effort to study the response of Polyallyl diglycol carbonate (PADC Homalite) detector towards fission fragment, PADC detectors were exposed to 10 sup 4 Gy dose of 62 MeV protons and then one set of samples were exposed to fission fragments from a sup 2 sup 5 sup 2 Cf source. Two of these detectors were containing a thin layer of Buckminsterfullerene (C sub 6 sub 0). The study of the etched tracks by Leitz Optical Microscope reveals that the track diameters are enhanced by more than 70% in the proton irradiated zone as compared to that in the unirradiated zone. Scanning Electron Microscopy was performed after etching the sample in 6 N NaOH at 55 deg. C for different etching times, to study the details of the surface modifications due to proton irradiation of PADC detectors with and without C sub 6 sub 0 layer. Our observations revealed that the diameters and density of proton tra...

  12. Dose-rate effects on the bulk etch-rate of CR-39 track detector exposed to low-LET radiations

    CERN Document Server

    Yamauchi, T; Oda, K; Ikeda, T; Honda, Y; Tagawa, S

    1999-01-01

    The effect of gamma-rays and pulsed electrons has been investigated on the bulk etch rate of CR-39 detector at doses up to 100 kGy under various dose-rate between 0.0044 and 35.0 Gy/s. The bulk etch rate increased exponentially with the dose at every examined dose-rates. It was reveled to be strongly depend on the dose-rate: the bulk etch rate was decreased with increasing dose-rate at the same total dose. A primitive model was proposed to explain the dose-rate effect in which oxygen dissolved was assumed to dominate the damage formation process.

  13. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  14. Effect of [gamma]-irradiation on latent tracks of polyethylene terephthalate (PET) film

    Science.gov (United States)

    Hiroki, A.; Asano, M.; Yamaki, T.; Yoshida, M.

    2005-04-01

    The pre-treatment effect of γ-irradiation on latent tracks of polyethylene terephthalate (PET) films bombarded with swift heavy ions was investigated by electric conductometry and scanning electron microscope (SEM) observation. The Xe-ion bombarded PET films were etched for 6 h in 0.2 M NaOH aqueous solution at 70 °C to prepare track-etched membranes. As γ-irradiation doses increased in the range of 0-160 kGy, the surface pore diameter obtained by SEM observation decreased while that obtained by conductometry became large. This inconsistent result between the two methods was due to an increase in the crosslinked region in the latent tracks caused by γ-irradiation.

  15. Calibration of PM-355 nuclear track detectors for low-energy deuterons

    International Nuclear Information System (INIS)

    Malinowski, K.; Skladnik-Sadowska, E.; Sadowski, M.J.; Czaus, K.

    2008-01-01

    A dependence of track diameters on deuteron energy was investigated for PM-355 nuclear track detectors. Deuteron streams were obtained from RPI-IBIS facility at the pulsed injection of deuterium. Mass and energy analysis was performed with a Thomson-type spectrometer and PM-355 samples. An etched deuteron parabola extended from about 20 keV to about 500 keV. The energy resolution of measurements on the parabola at 20 keV was ±0.2keV, and at 500 keV amounted to ±50keV. Accuracy of the determination of deuteron energies decreased for higher energy values. Results are presented in diagrams showing the track diameters as a function of deuteron energy for chosen etching times (1-8 h)

  16. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  17. Registration of alpha particles in Makrofol-E nuclear track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Rammah, Y.S. [Physics Department, Faculty of Science, Menoufia University, Shebin El-Koom (Egypt); Abdalla, Ayman M., E-mail: aymanabdalla62@hotmail.com [Physics Department, Faculty of Sciences and Arts, Najran University, P. O. Box. 11001, Najran (Saudi Arabia); Promising Centre for Sensors and Electronic Devices, Faculty of Arts and Sciences, Najran University (Saudi Arabia); Ashraf, O., E-mail: osama.ashraf@edu.asu.edu.eg [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt); Ashry, A.H. [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt)

    2016-06-15

    Highlights: • Makrofol-E detectors have been irradiated with alpha particles and fission fragments. • Fast detection of alpha particles in Makrofol-E detectors. • Bulk etching rate was calculated from fission track diameters. - Abstract: Fast detection of alpha particles in the range from 1 to 5 MeV in Makrofol-E polycarbonate nuclear track detectors (PCTDs) using a new chemical etchant was investigated. {sup 252}Cf and {sup 241}Am-thin open sources were used for irradiating Makrofol-E detectors with fission fragments and alpha particles in air at normal pressure and temperature (NPT). A chain of experimental work has been carried out using new etchants to register alpha particle in short time in Makrofol-E polycarbonate detectors. The etching efficiency were exhibited a clear dependence on the amount of methanol in the etching solution and etching time. The optimized chemical condition obtained at this stage of development for 200 μm Makrofol-E detectors are (8 ml of 10 N NaOH + 2 ml CH{sub 3}OH) etching solutions at 60 °C for 3 h. In this study; it is possible to observe energy detection windows for Makrofol-E detectors according to applied etching duration. Makrofol-E introduced the characteristic Bragg peak, which indicates the advantages of this detector as alpha spectrometer. Consequently, the suggested new etchant can be developed for heavy ions detection and monitoring radon levels and its daughters.

  18. Measurements of diameters of selectively etchable tracks produced in polymer by heavy ions

    International Nuclear Information System (INIS)

    Apel', P.Yu.

    1981-01-01

    The process of pore formation in polyethyleneterephtalate films irradiated by the 136 Xe, 84 Kr, 40 Ar ions was investigated by measuring the conductivity of the samples during etching. The diameters of the damaged tracks within which the local etching rate was larger than etching rate for non-destroyed polymer were determined. In the case of the 136 Xe ions measurements have been carried out at different ion energies [ru

  19. Etched track technique to measure sup 2 sup 2 sup 2 Rn and sup 2 sup 2 sup 0 Rn fluxes on soil surface

    CERN Document Server

    Csige, I

    2003-01-01

    sup 2 sup 2 sup 2 Rn and sup 2 sup 2 sup 0 Rn in the human environment are considered to be a risk factor because of the radiation dose due to the inhalation of their short-lived daughters. Main source of radon is usually the soil; therefore the measurement of fluxes of sup 2 sup 2 sup 2 Rn and sup 2 sup 2 sup 0 Rn on soil surfaces is often a relevant parameter to characterise building site radon potential. An etched track detector technique was developed to measure long-time average sup 2 sup 2 sup 2 Rn and sup 2 sup 2 sup 0 Rn fluxes. (R.P.)

  20. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  1. Nuclear track-based biosensors with the enzyme laccase

    Energy Technology Data Exchange (ETDEWEB)

    García-Arellano, H. [Departamento de Ciencias Ambientales, División de Ciencias Biológicas y de la Salud, Universidad Autónoma Metropolitana-Lerma, Av. de las Garzas No. 10, Col. El Panteón, Lerma de Villada, Municipio de Lerma, Estado de México, C.P. 52005 (Mexico); Fink, D., E-mail: fink@xanum.uam.mx [Division de Ciencias Naturales e Ingeneria, Universidad Autónoma Metropolitana-Cuajimalpa, Artificios 40, Col. Hidalgo, Del. Álvaro Obregón C.P. 01120, México, D.F. (Mexico); Nuclear Physics Institute, 25068 Řež (Czech Republic); Muñoz Hernández, G. [Division de Ciencias Naturales e Ingeneria, Universidad Autónoma Metropolitana-Cuajimalpa, Artificios 40, Col. Hidalgo, Del. Álvaro Obregón C.P. 01120, México, D.F. (Mexico); Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, D.F. (Mexico); Vacík, J.; Hnatowicz, V. [Nuclear Physics Institute, 25068 Řež (Czech Republic); Alfonta, L. [Avram and Stella Goldstein-Goren Department of Biotechnology Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel)

    2014-08-15

    Highlights: • We construct a biosensor using polymer foils with laccase-clad etched nuclear tracks. • We use the biosensor for quantitation of phenolic compounds. • The biosensor can detect picomolar concentrations for some phenolic compounds. - Abstract: A new type of biosensors for detecting phenolic compounds is presented here. These sensors consist of thin polymer foils with laccase-clad etched nuclear tracks. The presence of suitable phenolic compounds in the sensors leads to the formation of enzymatic reaction products in the tracks, which differ in their electrical conductivities from their precursor materials. These differences correlate with the concentrations of the phenolic compounds. Corresponding calibration curves have been established for a number of compounds. The sensors thus produced are capable to cover between 5 and 9 orders of magnitude in concentration – in the best case down to some picomoles. The sensor's detection sensitivity strongly depends on the specific compound. It is highest for caffeic acid and acid blue 74, followed by ABTS and ferulic acid.

  2. Dependence of yield of nuclear track-biosensors on track radius and analyte concentration

    Czech Academy of Sciences Publication Activity Database

    Garcia-Arellano, H.; Munoz, G. H.; Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Alfonta, L.; Kiv, A.

    2018-01-01

    Roč. 420, č. 4 (2018), s. 69-75 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : biosensor * ion track * etching * enzyme * nanofluidics Subject RIV: BG - Nuclear, Atomic and Molecular Physics , Colliders OBOR OECD: Nuclear physics Impact factor: 1.109, year: 2016

  3. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  4. Personnel neutron dosimetry using electrochemically etched CR-39 foils

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.; Westermark, J.

    1986-01-01

    A personnel neutron dosimetry system has been developed based on the electrochemical etching of CR-39 plastic at elevated temperatures. The doses obtained using this dosimeter system are more accurate than those obtained using other dosimetry systems, especially when varied neutron spectra are encountered. This Cr-39 dosimetry system does not have the severe energy dependence that exists with albedo neutron dosimeters or the fading and reading problems encountered with NTA film. The dosimetry system employs an electrochemical etch procedure that be used to process large numbers of Cr-39 dosimeters. The etch procedure is suitable for operations where the number of personnel requires that many CR-39 dosimeters be processed. Experience shows that one full-time technician can etch and evaluate 2000 foils per month. The energy response to neutrons is fairly flat from about 80 keV to 3.5 MeV, but drops by about a factor of three in the 13 to 16 MeV range. The sensitivity of the dosimetry system is about 7 tracks/cm 2 /mrem, with a background equivalent to about 8 mrem for new CR-39 foils. The limit of sensitivity is approximately 10 mrem. The dosimeter has a significant variation in directional dependence, dropping to about 20% at 90 0 . This dosimeter has been used for personnel neutron dosimetry at the Lawrence Livermore National Laboratory for more tha 18 months. 6 refs., 23 figs., 2 tabs

  5. Effect of gamma-ray and electron irradiation on the response of solid-state track detectors

    International Nuclear Information System (INIS)

    Fukuda, Kyue

    1980-01-01

    Specimens of muscovite mica were first exposed to fission fragments and then to various gamma-ray fields from a 60 Co source ranging from 1.9 x 10 3 to 1.6 x 10 4 Mrad dose. The results show that the average etched width of fission-fragment tracks decreases with increasing gamma-ray dose. Shallow pits were observed in etched specimens when the gamma-ray dose exceeded 5 x 10 3 Mrad. Numerous shallow etch pits caused by the gamma-ray irradiation interfered with the observation of fission tracks in the specimens. No shallow etch pits were observed in the specimen annealed for 100 min at 600 0 C before the gamma-ray irradiation. Pre-annealing extends the ''safety limits'' of gamma background below which muscovite mica can be used to observe fission tracks without any gamma-ray interference. Gamma-ray and electron irradiation caused significant increase of the resistance to thermal decomposition of muscovite mica. The resistance increased markedly in the dose range from 5 x 10 3 to 8 x 10 3 Mrad. These phenomena suggest the use of mica to assess radiation doses of gamma rays and electrons up to several thousand megarads. (author)

  6. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  7. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  8. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  9. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  10. Glucose determination using a re-usable enzyme-modified ion track membrane sensor

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Klinkovich, I.; Bukelman, O.; Marks, R.S.; Kiv, A.; Fuks, D.; Fahrner, W. R.; Alfonta, L.

    2009-01-01

    Roč. 24, č. 8 (2009), s. 2702-2706 ISSN 0956-5663 Institutional research plan: CEZ:AV0Z10480505 Keywords : Glucose sensor * etched tracks * Ion track membranes Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 5.429, year: 2009

  11. Commercial bacterial colony counter for semiautomatic track counting

    International Nuclear Information System (INIS)

    Griffith, R.V.; McMahon, T.A.; Espinosa, G.

    1983-01-01

    Bacterial colony counters have not been widely used for track counting. However, they do provide an economical alternative to sophisticated optical analyzers for applications that require reproducible track density measurements for large numbers of samples. Simple measurements of size characteristics can be made when there is little need for high resolutions. Such systems are particularly well suited for neutron and alpha dosimetry work, particularly if electrochemical etching or some other track enhancement method has been used. 5 refs., 3 figs

  12. Fission-track ages and their geological interpretation

    International Nuclear Information System (INIS)

    Wagner, G.A.

    1981-01-01

    In fission-track dating, experimental procedures such as etching and thermal pre-treatment may strongly affect the age values determined and their geological interpretation. This peculiarity is due to the common phenomenon of partial fading of fossil (spontaneous-) fission tracks during a sample's geological history. The proper geological interpretation of the age data must take into account the specific experimental conditions, the stability characteristics and size distribution of fission tracks in the sample, the ages of co-existing minerals, and the independent information about the thermal history of the geological region. (author)

  13. Fast neutron detection using solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Vilela, E.C.

    1990-01-01

    CR-39 and Makrofol-E solid state nuclear track detectors were studied aiming their application to fast neutron detection. Optimum etching conditions of those two kinds of materials were determined the followings - the Makrofol-E detector is electrochemically etched in a PEW solution (15% KOH, 40% ethilic alcohol and 45% water) for 2 h., with an applied electric field strength of 30 kV/cm (r/m/s/) and frequency of 2 kHz, at room temperature; - the CR-39 detector is chemically pre-etched during 1 h in a 20% (w/v) NaOH solution at 70 sup(0)C, followed by 13 h electrochemical etch using the same solution at room temperature and an electric field strength of 30 kV/cm (r.m.s.) and frequency of 2 kHz.(E.G.)

  14. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  15. Multiparametric electronic devices based on nuclear tracks

    Energy Technology Data Exchange (ETDEWEB)

    Fink, D. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany)], E-mail: FINK@HMI.DE; Saad, A. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); Basic Science Department, Faculty of Science, Al Balqa University, Salt (Jordan); Dhamodaran, S. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); School of Physics, University of Hyderabad, Hyderabad 500 046 (India); Chandra, A. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India); Fahrner, W.R. [Chair of Electronic Devices, Institute of Electrotechnique, Fernuniversitaet, Hagen (Germany); Hoppe, K. [South Westfalia University of Applied Sciences, Hagen (Germany); Chadderton, L.T. [Institute of Advanced Studies, ANU Canberra, GPO Box 4, ACT (Australia)

    2008-08-15

    An overview is given on a family of novel electronic devices consisting of an insulating layer containing conducting or semiconducting nuclear tracks, deposited on a semiconducting substrate, and connected by at least one back and two surface contacts. Conducting and semiconducting latent tracks may emerge directly from swift heavy ion irradiation. Etched tracks in insulators can be filled with adequate materials to make them conducting or semiconducting. For this purpose metallic or semiconducting nanoclusters were deposited. We have denoted termed these devices made with latent tracks as 'tunable electronic anisotropic material on semiconductor' (TEAMS), if based on latent ion tracks, and as 'tunable electronic material in pores in oxide on semiconductor' (TEMPOS), if based on etched tracks. Depending on the band-to-band transition between tracks and substrate and on the ratio of surface to track conductivity, the current/voltage characteristics of TEAMS and TEMPOS structures can be modified in many different ways leading to tunable resistors, capacitors and diodes. Both devices show negative differential resistances. This should enable tunable tunneldiodes. TEAMS or TEMPOS structures can be controlled by various external physical and/or chemical parameters leading to sensors. It is even possible to combine different input currents and/or external parameters according to AND/OR logics. The currents through a clustered layer on a TEMPOS structure can be described by the Barbasi-Albert model of network theory enabling to calculate a 'radius of influence'r{sub ROI} around each surface contact, beyond which neighboring contacts do not influence each other. The radius of influence can be well below 1{mu}m leading to nanometric TEMPOS structures.

  16. Multiparametric electronic devices based on nuclear tracks

    International Nuclear Information System (INIS)

    Fink, D.; Saad, A.; Dhamodaran, S.; Chandra, A.; Fahrner, W.R.; Hoppe, K.; Chadderton, L.T.

    2008-01-01

    An overview is given on a family of novel electronic devices consisting of an insulating layer containing conducting or semiconducting nuclear tracks, deposited on a semiconducting substrate, and connected by at least one back and two surface contacts. Conducting and semiconducting latent tracks may emerge directly from swift heavy ion irradiation. Etched tracks in insulators can be filled with adequate materials to make them conducting or semiconducting. For this purpose metallic or semiconducting nanoclusters were deposited. We have denoted termed these devices made with latent tracks as 'tunable electronic anisotropic material on semiconductor' (TEAMS), if based on latent ion tracks, and as 'tunable electronic material in pores in oxide on semiconductor' (TEMPOS), if based on etched tracks. Depending on the band-to-band transition between tracks and substrate and on the ratio of surface to track conductivity, the current/voltage characteristics of TEAMS and TEMPOS structures can be modified in many different ways leading to tunable resistors, capacitors and diodes. Both devices show negative differential resistances. This should enable tunable tunneldiodes. TEAMS or TEMPOS structures can be controlled by various external physical and/or chemical parameters leading to sensors. It is even possible to combine different input currents and/or external parameters according to AND/OR logics. The currents through a clustered layer on a TEMPOS structure can be described by the Barbasi-Albert model of network theory enabling to calculate a 'radius of influence'r ROI around each surface contact, beyond which neighboring contacts do not influence each other. The radius of influence can be well below 1μm leading to nanometric TEMPOS structures

  17. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  18. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  19. Investigation of optical properties of Cu/Ni multilayer nanowires embedded in etched ion-track template

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Lu [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Yao, Huijun, E-mail: Yaohuijun@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Duan, Jinglai; Chen, Yonghui [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Lyu, Shuangbao [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Maaz, Khan [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Nanomaterials Research Group, Physics Division, PINSTECH, Nilore 45650, Islamabad (Pakistan); Mo, Dan [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Liu, Jie, E-mail: J.Liu@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Sun, Youmei; Hou, Mingdong [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-01

    Graphical abstract: The schematic diagram of measurement of extinction spectra of Cu/Ni multilayer nanowire arrays embedded in the template after removing the gold/copper substrate. - Highlights: • The optical properties of Cu/Ni multilayer nanowire arrays were first investigated by UV/Vis/NIR spectrometer and it was confirmed that the extinction peaks strongly related to the periodicity of the multilayer nanowire. • The Ni segment was thought as a kind of impurity which can change the surface electron distribution and thereby the extinction peaks of nanowire. • Current work supplied the clear layer thickness information of Cu and Ni in Cu/Ni multilayer nanowire with TEM and EDS line-scan profile analysis. - Abstract: For understanding the interaction between light and noble/magnetism multilayer nanowires, Cu/Ni multilayer nanowires are fabricated by a multi-potential step deposition technique in etched ion-track polycarbonate template. The component and the corresponding layer thickness of multilayer nanowire are confirmed by TEM and EDS line-scan analysis. By tailoring the nanowire diameter, the Cu layer thickness and the periodicity of the nanowire, the extinction spectral of nanowire arrays exhibit an extra sensitivity to the change of structural parameters. The resonance wavelength caused by surface plasmon resonance increases obviously with increasing the nanowire diameter, the Cu layer thickness and the periodicity. The observations in our work can be explained by the “impurity effect” and coupled effect and can also be optimized for developing optical devices based on multilayer nanowires.

  20. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  1. Measurement of the energy spectrum of {sup 252}Cf fission fragments using nuclear track detectors and digital image processing

    Energy Technology Data Exchange (ETDEWEB)

    Espinosa, G.; Golzarri, J. I. [UNAM, Instituto de Fisica, Circuito Exterior, Ciudad Universitaria, 04510 Mexico D. F. (Mexico); Castano, V. M. [UNAM, Centro de Fisica Aplicada y Tecnologia Avanzada, Boulevard Juriquilla 3001, Santiago de Queretaro, 76230 Queretaro (Mexico); Gaso, I. [ININ, Carretera Mexico-Toluca s/n, Ocoyoacac 52750, Estado de Mexico (Mexico); Mena, M.; Segovia, N. [UNAM, Instituto de Geofisica, Circuito de la Investigacion Cientifica, Ciudad Universitaria, 04510 Mexico D. F. (Mexico)

    2010-02-15

    The energy spectrum of {sup 252}Cf fission fragments was measured using nuclear track detectors and digital image analysis system. The detection material was fused silica glass. The detectors were chemically etched in an 8% HF solution. After experimenting with various etching time, it was found that the best resolution of the track diameter distribution was obtained after 30 minutes of etching. Both Gaussian and Lorentzian curves were fit to the track diameter distribution histograms and used to determine the basic parameters of the distribution of the light (N{sub L}) and heavy (N{sub H}) formed peaks and the minimum of the central valley (N{sub V}). Advantages of the method presented here include the fully-automated analysis process, the low cost of the nuclear track detectors and the simplicity of the nuclear track method. The distribution resolution obtained by this method is comparable with the resolution obtained by electronic analysis devices. The descriptive variables calculated were very close to those obtained by other methods based on the use of semiconductor detectors. (Author)

  2. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  3. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  4. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  5. New approach of modeling charged particles track development in CR-39 detectors

    International Nuclear Information System (INIS)

    Azooz, A.A.; Hermsdorf, D.; Al-Jubbori, M.A.

    2013-01-01

    In this work, previous modeling of protons and alpha particles track length development in CR-39 solid state nuclear track detectors SSNTD is modified and further extended. The extension involved the accommodation of heavier ions into the model. These ions include deuteron, lithium, boron, carbon, nitrogen and oxygen ions. The new modeling does not contain any case sensitive free fitting parameters. Model calculation results are found to be in good agreement with both experimental data and SRIM software range energy dependence predictions. The access to a single unified and differentiable track length development equation results in the ability to obtain direct results for track etching rates. - Highlights: • New modeling of ions track length evolution measured by different authors. • Ions considered are p, d, α, Li, B, C, N, O. • Equations obtained to describe L(t) and etch rate for all ions at wide energy range. • Equations obtained do not involve any free fitting parameters. • Ions range values obtained compare well with results of SRIM software

  6. Effect of Metal Ion Etching on the Tribological, Mechanical and Microstructural Properties of TiN-COATED d2 Tool Steel Using Cae Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah Binti; Hj. Mohd Toff, Mohd Radzi

    A study has been made on TiN coatings deposited on D2 tool steel substrates by using commercially available cathodic arc evaporation, physical vapor deposition technique. The goal of this work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness, coefficient of friction and surface roughness of TiN coating deposited on tool steel, which is vastly use in tool industry for various applications. A pin-on-disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating at various ion etching rates. The tribo-test showed that the minimum value recorded for friction coefficient was 0.386 and 0.472 with standard deviation of 0.056 and 0.036 for the coatings deposited at zero and 16 min ion etching. The differences in friction coefficient and surface roughness was mainly associated with the macrodroplets, which was produced during etching stage. The coating deposited for 16 min metal ion etching showed the maximum hardness, i.e., about five times higher than uncoated one and 1.24 times to the coating deposited at zero ion etching. After friction test, the wear track was observed by using field emission scanning electron microscope. The coating deposited for zero ion etching showed small amounts of macrodroplets as compared to the coating deposited for 16 min ion etching. The elemental composition on the wear scar were investigated by means of energy dispersive X-ray, indicate no further TiN coating on wear track. A considerable improvement in TiN coatings was recorded as a function of various ion etching rates.

  7. A numerical model for the thermal history of rocks based on confined horizontal fission tracks

    DEFF Research Database (Denmark)

    Jensen, Peter Klint; Hansen, Kirsten; Kunzendorf, Helmar

    1992-01-01

    A numerical model for determination of the thermal history of rocks is presented. It is shown that the thermal history may be uniquely determined as a piece-by-piece linear function on the basis of etched confined, horizontal fission track length distributions, their surface densities, and the ur......A numerical model for determination of the thermal history of rocks is presented. It is shown that the thermal history may be uniquely determined as a piece-by-piece linear function on the basis of etched confined, horizontal fission track length distributions, their surface densities...

  8. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  9. Thermosetting resins for nuclear track detection

    International Nuclear Information System (INIS)

    Fujii, M.

    1985-01-01

    Several new thermosetting resins with a three dimensional network structure like CR-39 were polymerized to study their characteristics for use as nuclear track detectors. During the course of this study, thermosetting resins with good etching properties and various sensitivities have been obtained. The comparison of the molecular structures of these resins gives up an important clue for the development of highly sensitive polymeric track detectors. They will also be useful for observations of ultra-heavy cosmic rays and heavily ionizing particles at low energies. (orig.)

  10. Thermosetting resins for nuclear track detection

    International Nuclear Information System (INIS)

    Fujii, Masami; Yokota, Rikio

    1985-01-01

    Several new thermosetting resins with a three dimensional network structure like CR-39 were polymerized to study their characteristics for use as nuclear track detectors. During the course of this study, thermosetting resins with good etching properties and various sensitivities have been obtained. The comparison of the molecular structures of these resins gives us an important clue for the development of highly sensitive polymeric track detectors. They will also be useful for observations of ultra-heavy cosmic rays and heavily ionizing particles at low energies. (author)

  11. A new dyed ECE track identification method for nuclear particle detection

    International Nuclear Information System (INIS)

    Sohrabi, M.; Bojd, S.S.

    1990-01-01

    A new procedure for obtaining highly contrasted red-dyed electrochemically etched recoil tracks in polymers such as polycarbonate (PC) and CR-39 has been successfully developed for spectrophotometry as applied to neutron dosimetry. The principal rationale in this method has been the provision of highly contrasted, photon-absorbing, large, dyed recoil tracks in an unaffected bulk material. The method consists of: (a) exposing the polymer to charged particles or neutrons; (b) electrochemical etching of the tracks; (c) acid sensitization; (d) dyeing with an appropriate dye. By investigation of the type, concentration, duration and temperature of the acid and the dye, optimized values of 20% by weight acrylic acid at 75 0 C for 3.5 h for sensitization, and 3% by weight eosin bluish dye at 95 0 C for 4 h for dyeing, provided a nearly 100% dyed-track efficiency. Spectrophotometry by UV and infrared radiation track counting, and optical densitometry were applied to the dyed samples. The results have shown some promise for UV absorbance measurements in routine large-scale applications. In this paper, the results of optimization studies and preliminary application of the technique to neutron dosimetry are presented and discussed. (author)

  12. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  13. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  14. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  15. Optical efficiency for fission fragment track counting in Muscovite solid state track recorders

    International Nuclear Information System (INIS)

    Roberts, J.H.; Ruddy, F.H.; Gold, R.

    1984-01-01

    In order to determine absolute fission rates from thin actinide deposits placed in direct contact with Muscovite Solid State Track Recorders, it is necessary to know the efficiency with which fission fragment tracks are recorded. In this paper, a redetermination of the 'optical efficiency', i.e. the fraction of fission events recorded and observed in the Muscovite is reported. The value obtained from a well-calibrated thin deposit of 252 Cf and Muscovite etched about 90 min. in 49% HF at room temperature, is 0.9875 +- 0.0085. Manual counting was used. Preliminary results from a deposit of 242 Pu are also reported, along with preliminary comparisons of track counting with an automated system. Reasons for the discrepancy of the optical efficiency reported here with an earlier measurement are also reported. (author)

  16. Neutron-induced complex reaction analysis with 3D nuclear track simulation

    International Nuclear Information System (INIS)

    Sajo-Bohus, L.; Palfalvi, J.K.; Akatov, Yu.; Arevalo, O.; Greaves, E.D.; Nemeth, P.; Palacios, D.; Szabo, J.; Eoerdoegh, I.

    2005-01-01

    Complex (multiple) etched tracks are analysed through digitised images and 3D simulation by a purpose-built algorithm. From a binary track image an unfolding procedure is followed to generate a 3D track model, from which several track parameters are estimated. The method presented here allows the deposited energy, that originated from particle fragmentation or carbon spallation by means of induced tracks in commercially available PADC detectors, to be estimated. Results of evaluated nuclear tracks related to 12 C (n,3αn ' ) reaction are presented here. The detectors were exposed on the ISS in 2001

  17. Radiation induced deposition of copper nanoparticles inside the nanochannels of poly(acrylic acid)-grafted poly(ethylene terephthalate) track-etched membranes

    Science.gov (United States)

    Korolkov, Ilya V.; Güven, Olgun; Mashentseva, Anastassiya A.; Atıcı, Ayse Bakar; Gorin, Yevgeniy G.; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2017-01-01

    Poly(ethylene terephthalate) PET, track-etched membranes (TeMs) with 400 nm average pore size were UV-grafted with poly(acrylic acid) (PAA) after oxidation of inner surfaces by H2O2/UV system. Carboxylate groups of grafted PAA chains were easily complexed with Cu2+ ions in aqueous solutions. These ions were converted into metallic copper nanoparticles (NPs) by radiation-induced reduction of copper ions in aqueous-alcohol solution by gamma rays in the dose range of 46-250 kGy. Copper ions chelating with -COOH groups of PAA chains grafted on PET TeMs form polymer-metal ion complex that prevent the formation of agglomerates during reduction of copper ions to metallic nanoparticles. The detailed analysis by X-Ray diffraction technique (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX) confirmed the deposition of copper nanoparticles with the average size of 70 nm on the inner surface of nanochannels of PET TeMs. Samples were also investigated by FTIR, ESR spectroscopies to follow copper ion reduction.

  18. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Science.gov (United States)

    Fink, Dietmar; Vacik, Jiri; Hnatowicz, V.; Muñoz Hernandez, G.; Garcia Arrelano, H.; Alfonta, Lital; Kiv, Arik

    2017-05-01

    For understanding of the diffusion kinetics and their optimization in swift heavy ion track-based biosensors, recently a diffusion simulation was performed. This simulation aimed at yielding the degree of enrichment of the enzymatic reaction products in the highly confined space of the etched ion tracks. A bunch of curves was obtained for the description of such sensors that depend only on the ratio of the diffusion coefficient of the products to that of the analyte within the tracks. As hitherto none of these two diffusion coefficients is accurately known, the present work was undertaken. The results of this paper allow one to quantify the previous simulation and hence yield realistic predictions of glucose-based biosensors. At this occasion, also the influence of the etched track radius on the diffusion coefficients was measured and compared with earlier prediction.

  19. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  20. The past and future roles of solid state nuclear tracks detectors

    International Nuclear Information System (INIS)

    Fleischer, R.L.

    1976-01-01

    Nuclear tracks in solids can be revealed by a variety of techniques, the simplest and most widely used of which is that of preferential chemical attack. Particle track etching has been used in a diversity of fields, both scientific and applied. This report first reviews the applications and then hazards some predictions for the future. (orig.) [de

  1. Beam profile measurement with CR-39 track detector for low-energy ions

    CERN Document Server

    Sato, F; Tanaka, T; Iida, T; Yamauchi, T; Oda, K

    1999-01-01

    A CR-39 track detector was successfully used to measure the outline of thin low-energy ion beams. After the etching, the surface of the detector was examined with an observation system composed of a Normarski microscope, a CCD camera and a digital image processing computer. Beam images obtained with the system were in good agreement on the outline of the beam formed with a beam aperture. Also, the resolving power in the beam outline measurement was roughly explained from the consideration of the ion range and the etch-pit growth in the chemical etching for the CR-39 detector.

  2. New method of transmission of substances through membranes with nuclear tracks

    International Nuclear Information System (INIS)

    Fernandez, M.A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Mazzei, Ruben; Garcia Bermudez, Gerardo; Torres, A.

    2007-01-01

    In order to produce membranes with pores that react selectively to changes in the environment allowing the transmission of substances and continuing with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then were etched and grafted with acrylic acid (AA) monomer. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grafting yield as a function of the fluence and etching time was obtained. In addition transmission of solutions, with different pH, through PP grafted foils was measured. (author) [es

  3. Determination of Nuclear Track Parameters for LR-115 Detector by Using of MATLAB Software Technique

    International Nuclear Information System (INIS)

    AL-Jomaily, F.M.; AL-joburi, H.A.; Mheemeed, A.K.

    2013-01-01

    The nuclear track detector parameters, such as nuclear track diameter D(μm), number of track N T and area of track A T were determined by using MATLAB software technique for IR-115 detector irradiated by alpha particle from 241 Am source under 1.5, 2.5 and 3.5 MeV at etching time T B of 90, 120, 150 and 180 min.By using the image analysis of MATLAB software for nuclear track, the full width at half maximum FWHM and relative resolution R% were calculated for each energy of alpha particles.In this study, it was shown that increasing the alpha energy on the IR-115 detector leads to increased etching time T B and the dropping of R% to minimum value, and then reach a stable value before dropping at values 1.5, 2.5 MeV and unstable at 3.5 MeV. Imaging analysis by MATLAB technique which used in this study reflect good and accurate results for nuclear track detector parameters and we recommend using this technique for determination of these parameters

  4. The oxidation of PET track-etched membranes by hydrogen peroxide as an effective method to increase efficiency of UV-induced graft polymerization

    Directory of Open Access Journals (Sweden)

    Il'ya Korolkov

    2015-12-01

    Full Text Available In this article, we report on functionalization of track-etched membrane based on poly(ethylene terephthalate (PET TeMs oxidized by advanced oxidation systems and by grafting of acrylic acid using photochemical initiation technique for the purpose of increasing functionality thus expanding its practical application. Among advanced oxidation processes (H2O2/UV system had been chosen to introduce maximum concentration of carboxylic acid groups. Benzophenone (BP photo-initiator was first immobilized on the surfaces of cylindrical pores which were later filled with aq. acrylic acid solution. UV-irradiation from both sides of PET TeMs has led to the formation of grafted poly(acrylic acid (PAA chains inside the membrane nanochannels. Effect of oxygen-rich surface of PET TeMs on BP adsorption and subsequent process of photo-induced graft polymerization of acrylic acid (AA were studied by ESR. The surface of oxidized and AA grafted PET TeMs was characterized by UV-vis, ATR-FTIR, XPS spectroscopies and by SEM.

  5. Establishment of the observing system for boron in steels by alpha-particle track etching method using JAERI reactor

    International Nuclear Information System (INIS)

    Asakura, Kentaro; Shibata, Koji; Sawahata, Hiroyuki; Kawate, Minoru; Harasawa, Susumu

    2003-01-01

    Alpha-particle track etching (ATE) method is most effective in observing boron distribution in steels. Previously, in Japan, neutron irradiation for this method was carried out in the reactor at the Institute of Atomic Energy, Rikkyo University. This reactor, however, was shut down in 1999. Therefore, the establishment of a new system for ATE method has been required and experimental research was performed using the reactor at the Japan Atomic Energy Research Institute (JAERI). It was clarified that the irradiation equipment for medical treatment of the reactor JRR-4 was most suitable for ATE method. The specimen trestle for low radioactive exposure was newly-developed. ATE image obtained by 12h irradiation using this trestle showed a good quality similar to that obtained using Rikkyo's reactor and that obtained using the trestle of the old model. Using this new trestle, the amount of neutron which the worker suffers during the operation at the irradiation equipment decreases from 4μSv/h to 0-1 μSv/h compared with the trestle of the old model. The total amount of thermal neutron after 12 h irradiation was almost same as that under the recommended condition of the reactor at Rikkyo University, 6.5 x 10 14 n cm -2 . (author)

  6. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  7. Kit with track detectors aiming at didactic

    International Nuclear Information System (INIS)

    Cesar, M.F.; Koskinas, M.F.

    1988-01-01

    The kit intends to improve the possibilities in performing experiments of Nuclear Physics in Modern Physics Laboratories of Physics Course introducing the solid state nuclear track detectors. In these materials the passage of heavily ionizing nuclear particles creates paths (tracks) that may be revealed and made visible in an optical microscope. By the help of the kit several experiments and/or demonstrations may be performed. The kit contains solid state nuclear track detectors unirradiated and irradiated, irradiated etched and uneteched sheets; an alpha source of 241 Am and an instrution text with photomicrographs. To use the kit the laboratory must have an ordinary optical microscope. (author) [pt

  8. Nuclear track-based biosensing: an overview

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Arellano, H. G.; Vacík, Jiří; Havránek, Vladimír; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2016-01-01

    Roč. 171, 1-2 (2016), s. 173-185 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk LM2015056 Institutional support: RVO:61389005 Keywords : swift heavy ions * polymers * irradiation * ion tracks * etching * nanopores * enzymes * biosensors * biotechnology Subject RIV: EI - Biotechnology ; Bionics Impact factor: 0.443, year: 2016

  9. Optical efficiency for fission-fragment track counting in Muscovite Solid-State Track Recorders

    International Nuclear Information System (INIS)

    Roberts, J.H.; Ruddy, F.H.; Gold, R.

    1983-07-01

    In order to determine absolute fission rates from thin actinide deposits placed in direct contact with Muscovite Solid-State Track Recorders, it is necessary to know the efficiency with which fission-fragment tracks are recorded. In this paper, a redetermination of the optical efficiency, i.e., the fraction of fission events recorded and observed in the Muscovite, is reported. The value obtained from a well-calibrated thin deposit of 252 Cf and Muscovite etched about 90 min. in 49% HF at room temperature, is 0.9875 +- 0.0085. Manual counting was used. Preliminary results from a deposit of 242 Pu are also reported, along with preliminary comparisons of track counting with an automated system. Reasons for the discrepancy of the optical efficiency reported here with an earlier measurement are also reported. 5 references, 1 figure, 3 tables

  10. Tracking of Polycarbonate Films using Low-energy Ions Final Report CRADA No. TC-774-94

    Energy Technology Data Exchange (ETDEWEB)

    Musket, R. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2018-01-24

    Ion tracking is performed almost exclusively using ions with energies near or above the maximum in electronic stopping. For the present study, we have examined the results of etching ion tracks created by ions bombarding polycarbonate films with energies corresponding to stopping well below the maximum and just above the anticipated threshold for creating etchable latent tracks. Low-energy neon and argon ions with 18-60 keV /amu and fluences of about 108/cm2 were used to examine the limits for producing etchable tracks in polycarbonate films. By concentrating on the early stages of etching (i.e., -20 nm < SEM hole diameter < -100 nm), we can directly relate the energy deposition calculated for the incident ion to the creation of etchable tracks. The experimental results will be discussed with regard to the energy losses of the ions in the polycarbonate films and to the formation of continuous latent tracks through the entire thickness the films. These results have significant implications with respect to the threshold for formation of etchable tracks and to the use of low-energy ions for lithographic applications.

  11. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  12. Mapping of transuranic elements in soil by nuclear track methodology

    International Nuclear Information System (INIS)

    Espinosa, G.

    2001-01-01

    An alternative method is presented to map the distribution of transuranic elements, which is characterized by its simplicity in both implementation and instrumentation. The method is based on the interaction of alpha particles in polymeric materials and the formation of tracks, which become visible after chemical etching. Nuclear track detectors are placed on the soil in order to evaluate the distribution of the radioactive material and its relative intensity for transuranic contaminants. CR-39 polycarbonate was used as a nuclear track detector in this study. Chemical etching was done with a 6.25M KOH solution in a closed system for 16 hours. The readings were performed in an automatic system using digital image analysis. The results show the distribution of the contaminants and their location, identifying the zones with large intensities. This method is attractive for use in areas contaminated with alpha particles, and specially transuranic elements, because it involves in situ measurements, generates very low amounts of radioactive waste, and the detectors are easily handled. (author)

  13. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  14. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  15. Some characteristics of the CR-39 solid state nuclear - Track Detector for register of protons and low energy alpha particles

    International Nuclear Information System (INIS)

    Fonseca, E.S. da.

    1983-01-01

    Experimental results related to registration properties of the CR-39 Solid State Nuclear Track Detector for charged particles are presented and discussed. The existence of an inverse proportion between the induction time and the temperature as well as normal concentration of solutions, is showed by the study of CR-39 chemical etching characteristics in NaOH and KOH solutions, comprising varied concentration and temperature. The bulk-etch rate and activation energy of the process were obtained. The critical energy and critical energy-loss rate of CR-39 track-detectors for registration of protons were experimentally determined. Samples were exposed to 24 Mev proton beams in the IEN/CNEN Cyclotron (CV-28), using scattering chamber with a tantalum thin target and aluminium absorbers in contact with the samples, in order to provide the required fluctuation in the scattered beam energy. From the mean track-diameter plotted against incident proton energy the critical energy was obtained. From the calculated energy-loss rate vs. energy curve, the critical energy loss rate were evaluated. The CR-39 response for low energy alpha particles (E = 7h) under the conditions of 6.25 N NaOH at 70 0 C. It is shown that successive chemical etchings do not produce the same track geometry as obtained by means of a continous revelation with the same total etching time. (Author) [pt

  16. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  17. Modification of the poly(ethylene) terephthalate track membrane structure and surface in the plasma of non-polymerized gases

    International Nuclear Information System (INIS)

    Kravets, L.I.; Dmitriev, S.N.; Apel, P.Y.

    1999-01-01

    An investigation of the properties of poly(ethylene) terephthalate track membranes (PETTMs) treated with a plasma RF-discharge in non-polymerized gases has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes has been studied. It was arranged that the effect of non-polymerized gases plasma on the PETTMs results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by gas discharge etching

  18. Fission track dating and estimation of uranium in some garnets of Rajasthan (India)

    Energy Technology Data Exchange (ETDEWEB)

    Singh, S; Virk, H S [Punjabi Univ., Patiala (India). Dept. of Physics

    1978-09-01

    The experimental procedure, involving the preparation, etching, thermal neutron irradiation and scanning of the garnet samples, is described. The calculated fission track ages and uranium concentration are tabulated.

  19. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  20. Development of hybrid track detector using CR39 and photographic plate

    International Nuclear Information System (INIS)

    Kuge, Kenichi; Endo, Yusuke; Hayashi, Kentaro; Hasegawa, Akira; Kumagai, Hiroshi

    2004-01-01

    Hybrid track detector using CR39 and color photography was prepared by coating multi-layered color photographic emulsions on one side of CR39. Etch pits and color tracks were observed at the same time. Photographic plate with different sensitivity emulsions and couplers were exposed to light, α-, β- and γ-rays. We observed sensitivity difference to the radiation by color changes on one plate. (authors)

  1. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  2. Ion track annealing in quartz investigated by small angle X-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Schauries, D.; Afra, B.; Rodriguez, M.D. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 2601 (Australia); Trautmann, C. [GSI Helmholtz Centre for Heavy Ion Research, Planckstrasse 1, 64291 Darmstadt (Germany); Technische Universität Darmstadt, 64287 Darmstadt (Germany); Hawley, A. [Australian Synchrotron, 800 Blackburn Road, Clayton, VIC 3168 (Australia); Kluth, P. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 2601 (Australia)

    2015-12-15

    We report on the reduction of cross-section and length of amorphous ion tracks embedded within crystalline quartz during thermal annealing. The ion tracks were created via Au ion irradiation with an energy of 2.2 GeV. The use of synchrotron-based small angle X-ray scattering (SAXS) allowed characterization of the latent tracks, without the need for chemical etching. Temperatures between 900 and 1000 °C were required to see a notable change in track size. The shrinkage in cross-section and length was found to be comparable for tracks aligned perpendicular and parallel to the c-axis.

  3. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    Science.gov (United States)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  4. Interaction of 80 MeV PI+ with different targets: Track detector studies

    Energy Technology Data Exchange (ETDEWEB)

    Tabassum, L; Chohan, A S [Government Coll., Lahore. (Pakistan) Deptt. of Physics Khan, H.A. (Pakistan Inst. of Nuclear Science and Technology, Islamabad (Pakistan). Nuclear Engineering Div.)

    1990-04-01

    CR-39 and mica track detectors have been used in the study of the interactions of 80 MeV PI+ with thin targets of Bi, Pb, Ho, Sb. The binary fission cross sections, the length and angular distributions of etched tracks have been obtained. The cross-section values obtained by using CR-39 are higher as compared to those obtained with mica. (author).

  5. Interaction of 80 MeV PI+ with different targets: Track detector studies

    International Nuclear Information System (INIS)

    Tabassum, L.; Chohan, A.S.

    1990-01-01

    CR-39 and mica track detectors have been used in the study of the interactions of 80 MeV PI+ with thin targets of Bi, Pb, Ho, Sb. The binary fission cross sections, the length and angular distributions of etched tracks have been obtained. The cross-section values obtained by using CR-39 are higher as compared to those obtained with mica. (author)

  6. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  7. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  8. A novel track density measurement method by thermal neutron activation of DYECETs

    International Nuclear Information System (INIS)

    Sohrabi, M.; Mahdi, Sh.

    1995-01-01

    A novel track density evaluation method based on thermal neutron activation of some elements of dyed electrochemically etched tracks (DYECETs) of charged particles in detectors like polycarbonate (PC) followed by measurements of gamma activity of the activated detectors is introduced. In this method, the tracks of charged particles like fast neutron-induced recoils in PC detectors were electrochemically etched, dyed by ''QuicDYECET'' methods as recently introduced by us, activated by thermal neutrons and counted for gamma activity determination to be correlated with track density. The activities of elements such as bromine-82 ( 82 Br) and sodium-24 ( 24 Na) on dyes such as Eosin Yellowish, Eosin Bluish, etc. determined by a hyper-pure germanium detector, were found to be in good correlation with DYECET density and thus particle fluence or dose. The effects of different types of dyes and their elements, dye concentration, neutron fluences and ECE durations on the DYECET density responses were studied. This new development is a method of scientific interest, potentially possessing some interesting features, as an alternative method for ECE track density determination using a gamma activity measuring system. It also has the drawback of being applicable only in centres having thermal neutron facilities. The results of the above studies are presented and discussed. (Author)

  9. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  10. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  11. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  12. Study of /sup 210/Pb and /sup 210/Po distributions in environmental samples by CR-39 track detector

    Energy Technology Data Exchange (ETDEWEB)

    Hunyadi, I.; Somogyi, G.; Szilagyi, S. (Magyar Tudomanyos Akademia, Debrecen. Atommag Kutato Intezete)

    1984-01-01

    Activity concentration distributions of long-lived alpha-emitters in aerosol samples are analysed by high-resolution autoradiography in CR-39. A study of the alpha-activity attached to aerosols of different particulate sizes separated by a cascade impactor is also performed. It is found that, in the majority of samples, the alpha-activity can be dominantly related to the presence of /sup 210/Po produced by its beta-active precursor /sup 210/Pb. In our studies we have applied the following methods: 1) analysis of alpha-decay properties by means of autoradiographs taken at different post-sampling times, 2) spectroscopical study of individual alpha-tracks and track clusters by a method developed by us for high-resolution alpha-energy determination. In the second method the parameters to be measured are the major axis of surface track opening, the diameter of etched out track end, the total length measurable on the surface along the projected track, and the thickness of layer etched away from the detector surface.

  13. The effects of radiation damage accumulation and annealing on fission-track dating of titanite

    International Nuclear Information System (INIS)

    Enkelmann, Eva; Jonckheere, Raymond; Ratschbacher, Lothar

    2005-01-01

    Fission-track dating of titanite is hindered by the fact that track etching is anisotropic in fresh titanites and becomes isotropic with increasing radiation damage. Independent age determinations with the population method are problematic due to different track counting efficiencies (Q) for ρ s in unannealed and ρ i in annealed titanite. Independent age determinations with the external detector method depend on correction factors for the track registration geometries (G = 0.5), counting efficiencies (Q) and range deficit (R = 1.38); however, Q is unaffected by annealing. It was attempted to determine GQR through calculation, direct experiment and on the basis of age standards. The direct experiment involves measurements of the ratio of the induced-track densities in titanite and a co-irradiated external detector. The track densities in the internal titanite surfaces could not be measured but the results for the external surfaces confirm that this approach leads to a significant overestimation of GQR, due to prior annealing. The GQR-values determined on the basis of age standards are consistent with that obtained by calculation assuming that Q ∼ 1, although there is no experimental confirmation for this fact apart from their isotropic etching characteristics. The fact that identical GQR-factors were obtained on standards of different age and uranium content suggests that a single GQR-value is appropriate for dating titanites within a broad range of radiation damage. In terms of the ζ-calibration this implies that a single ζ-factor is also suitable for dating different titanites. These findings suggest that other factors besides the accumulation of alpha-recoil damage, such as a phase transition, could be co-responsible for the different etching characteristics of annealed and unannealed titanites

  14. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  15. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  16. A study of CR-39 track response to charged particles from NOVA implosions

    International Nuclear Information System (INIS)

    Phillips, T.W.; Cable, M.D.; Hicks, D.G.; Li, C.K.; Petrasso, R.D.; Seguin, F.H.

    1996-01-01

    We have exposed CR-39 track recording material to a number of NOVA implosions. Radiation from the implosion passed through an array of ranging filters, which aided identification of the incident particles and their energies. The etching procedure was calibrated by including a piece of track exposed to DD protons from a small accelerator. For the same shots, we quantitatively compare the DD neutron yield with the DD proton yield determined from the track. In DT implosions, tracks produced by neutron interactions prevent observation of charged-particle tracks that are produced by the processes of knock-on, secondary or tertiary fusion

  17. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  18. Alpha-particle dosimetry using solid state nuclear track detectors. Application to 222Rn and its daughters

    International Nuclear Information System (INIS)

    Barillon, R.; Chambaudet, A.

    2000-01-01

    A methodology for the determination of the detection efficiency of a solid state nuclear track detector for radon and its short-lived daughters was presented. First, particular attention is paid to the α-particles having energies and angles of incidence that lead to observable tracks after an adapted chemical etching. The results are then incorporated in a mathematical model to determine the theoretical radon detection efficiency of a polymeric detector placed in a cylindrical cell. When applied to LR115 and CR39 detectors, the model reveals the influence of the position of the radon daughters inside the cell. Radon daughters tend to link up with natural atmospheric aerosols and then settle on the cell's inside wall. This model allows to determine, among other things, the cell size for which the detector response is independent of the fraction daughters plated out. (author)

  19. Strategies towards advanced ion track-based biosensors

    Czech Academy of Sciences Publication Activity Database

    Alfonta, L.; Bukelman, O.; Chandra, A.; Fahrner, W. R.; Fink, D.; Fuks, D.; Golovanov, V.; Hnatowicz, Vladimír; Hoppe, K.; Kiv, A.; Klinkovich, I.; Landau, M.; Morante, J.R.; Tkachenko, N.V.; Vacík, Jiří; Valden, M.

    2009-01-01

    Roč. 164, 7-8 (2009), s. 431-437 ISSN 1042-0150. [2nd International Meeting on Recent Developments in the Study of Radiation Effects in Matters. Fodele, 07.09.2008-11.09.2008] Institutional research plan: CEZ:AV0Z10480505 Keywords : biosensors * etched tracks * enzymes Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.550, year: 2009

  20. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  1. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  3. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  4. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  5. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  6. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  7. A study of commercially-available polyethylene terephthalate (PET) and polycarbonate as nuclear track detector materials

    Science.gov (United States)

    Espinosa, G.; Golzarri, J. I.; Vazquez-Lopez, C.; Trejo, R.; Lopez, K.; Rickards, J.

    2014-07-01

    In the study of the sensitivity of materials to be used as nuclear track detectors, it was found that commercial polyethylene terephthalate (PET) from Ciel® water bottles, commercial roof cover polycarbonate, and recycled packaging strips (recycled PET), can be used as nuclear track detectors. These three commercial materials present nuclear tracks when bombarded by 2.27 MeV nitrogen ions produced in a Pelletron particle accelerator, and by fission fragments from a 252Cf source (79.4 and 103.8 MeV), after a chemical etching with a 6.25M KOH solution, or with a 6.25M KOH solution with 20% methanol, both solutions at 60±1°C. As an example, the nitrogen ions deposit approximately 1 keV/nm in the form of ionization and excitation at the surface of PET, as calculated using the SRIM code. The fission fragments deposit up to 9 keV/nm at the surface, in both cases generating sufficient free radicals to initiate the track formation process. However, 5 MeV alpha particles, typical of radon (222Rn) emissions, deposit only 0.12 keV/nm, do not present tracks after the chemical etching process. This valuable information could be very useful for further studies of new materials in nuclear track methodology.

  8. On the fission track dating and annealing behaviour of accessory minerals of Eastern Ghats (Andhra Pradesh, India)

    International Nuclear Information System (INIS)

    Koul, S.L.

    1978-01-01

    Use of the etching of fission fragment damage tracks for an estimation of the uranium content of apatite and zircon crystals is described. The etching conditions have been studied for which visible tracks are developed. Fission track determined ages of 25 samples of apatite and zircon crystals from four widely separated regions of India; the Borra mines (Vishakapatanam), Kashipatnam (Vishakapatnam), the Khamam area (Andhra Pradesh) and the Kodrama mines (Bihar) have been determined. Mean ages for these regions are 456 +- 5, 389 +- 4, 486 +- 7 and 664 +- 7 million years respectively. It is concluded that the fission track ages of the minerals date the last metamorphic event of the Eastern Ghats, known as the Indian Ocean Cycle. Annealing studies confirm that radiation damaged fossil tracks can be erased in minerals under intense metamorphic episodes, thus resetting the geological clock. Extrapolation of the experimentally determined temperatures for annealing suggest that a temperature of 170 0 C in 10 6 years will erase all the tracks in the apatite mineral. The uranium concentration has been estimated to be approximately 10 -8 gm/gm in apatite and approximately 10 -6 gm/gm in zircon. (Auth.)

  9. Science and technology with nuclear tracks in solids

    CERN Document Server

    Buford-Price, P

    2005-01-01

    Fission track dating has greatly expanded its usefulness to geology over the last 40 years. It is central to thermochronology—the use of shortened fission tracks to decipher the thermal history, movement, and provenance of rocks. When combined with other indicators, such as zircon color and (U–Th)/He, a range of temperatures from C to C can be studied. Combining fission track analysis with cosmogenic nuclide decay rates, one can study landscape development and denudation of passive margins. Technological applications have expanded from biological filters, radon mapping, and dosimetry to the use of ion track microtechnology in microlithography, micromachining by ion track etching, microscopic field emission tips, magnetic nanowires as magnetoresistive sensors, microfluidic devices, physiology of ion channels in single cells, and so on. In nuclear and particle physics, relatively insensitive glass detectors have been almost single-handedly responsible for our knowledge of cluster radioactivity, and plastic ...

  10. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  11. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  12. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  13. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  14. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  15. Tracking an oil slick from multiple natural sources, Coal Oil Point, California

    International Nuclear Information System (INIS)

    Leifer, Ira; Luyendyk, Bruce; Broderick, Kris

    2006-01-01

    Oil slicks on the ocean surface emitted from natural marine hydrocarbon seeps offshore from Coal Oil Point in the Santa Barbara Channel, California were tracked and sampled over a 2-h period. The objectives were to characterize the seep oil and to track its composition over time using a new sampling device, a catamaran drum sampler (CATDRUMS). The sampler was designed and developed at UCSB. Chromatograms showed that oil originating from an informally named, very active seep area, Shane Seep, primarily evolved during the first hour due to mixing with oil originating from a convergence zone slick surrounding Shane Seep. (author)

  16. Tracking an oil slick from multiple natural sources, Coal Oil Point, California

    Energy Technology Data Exchange (ETDEWEB)

    Leifer, Ira [Marine Sciences Institute, University of California, Santa Barbara, CA 93106 (United States); Luyendyk, Bruce [Department of Geological Sciences, University of California, Santa Barbara, CA 93106 (United States); Broderick, Kris [Exxon/Mobil Exploration Company, 13401 N. Freeway, Houston, TX 77060 (United States)

    2006-06-15

    Oil slicks on the ocean surface emitted from natural marine hydrocarbon seeps offshore from Coal Oil Point in the Santa Barbara Channel, California were tracked and sampled over a 2-h period. The objectives were to characterize the seep oil and to track its composition over time using a new sampling device, a catamaran drum sampler (CATDRUMS). The sampler was designed and developed at UCSB. Chromatograms showed that oil originating from an informally named, very active seep area, Shane Seep, primarily evolved during the first hour due to mixing with oil originating from a convergence zone slick surrounding Shane Seep. (author)

  17. High throughput on-chip analysis of high-energy charged particle tracks using lensfree imaging

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Wei; Shabbir, Faizan; Gong, Chao; Gulec, Cagatay; Pigeon, Jeremy; Shaw, Jessica; Greenbaum, Alon; Tochitsky, Sergei; Joshi, Chandrashekhar [Electrical Engineering Department, University of California, Los Angeles, California 90095 (United States); Ozcan, Aydogan, E-mail: ozcan@ucla.edu [Electrical Engineering Department, University of California, Los Angeles, California 90095 (United States); Bioengineering Department, University of California, Los Angeles, California 90095 (United States); California NanoSystems Institute (CNSI), University of California, Los Angeles, California 90095 (United States)

    2015-04-13

    We demonstrate a high-throughput charged particle analysis platform, which is based on lensfree on-chip microscopy for rapid ion track analysis using allyl diglycol carbonate, i.e., CR-39 plastic polymer as the sensing medium. By adopting a wide-area opto-electronic image sensor together with a source-shifting based pixel super-resolution technique, a large CR-39 sample volume (i.e., 4 cm × 4 cm × 0.1 cm) can be imaged in less than 1 min using a compact lensfree on-chip microscope, which detects partially coherent in-line holograms of the ion tracks recorded within the CR-39 detector. After the image capture, using highly parallelized reconstruction and ion track analysis algorithms running on graphics processing units, we reconstruct and analyze the entire volume of a CR-39 detector within ∼1.5 min. This significant reduction in the entire imaging and ion track analysis time not only increases our throughput but also allows us to perform time-resolved analysis of the etching process to monitor and optimize the growth of ion tracks during etching. This computational lensfree imaging platform can provide a much higher throughput and more cost-effective alternative to traditional lens-based scanning optical microscopes for ion track analysis using CR-39 and other passive high energy particle detectors.

  18. Particularization of alpha contamination using CR-39 track detectors

    Indian Academy of Sciences (India)

    detecting devices and as a passive system to detect alpha contamination on different sur- faces. This work presents ... these plastic detectors can be cut into sizes and shapes according to the specific area that has to be ... of nuclear track materials observed under a microscope, after chemical etching for the same time and ...

  19. Fundamental Technical Elements of Freeze-fracture/Freeze-etch in Biological Electron Microscopy

    Science.gov (United States)

    Freeze-fracture/freeze-etch describes a process whereby specimens, typically biological or nanomaterial in nature, are frozen, fractured, and replicated to generate a carbon/platinum "cast" intended for examination by transmission electron microscopy. Specimens are subjected to u...

  20. Dating by fission track method: study of neutron dosimetry with natural uranium thin films

    International Nuclear Information System (INIS)

    Iunes, P.J.

    1990-06-01

    Fission track dating is described, focalizing the problem of the decay constant for spontaneous fission of 238 U and the use of neutron dosimetry in fission track analysis. Experimental procedures using thin films of natural uranium as neutron dosimeters and its results are presented. The author shows a intercomparison between different thin films and between the dosimetry with thin film and other dosimetries. (M.V.M.). 52 refs, 12 figs, 9 tabs

  1. Notice of release for Eagle Germplasm western yarrow (selected germplasm, natural track)

    Science.gov (United States)

    Scott M. Lambert; Stephen B. Monsen; Nancy Shaw

    2011-01-01

    The United States Department of Agriculture, Forest Service, Rocky Mountain Research Station; United States Department of the Interior, Bureau of Land Management, Idaho State Office; Utah State University, Agricultural Experiment Station; and University of Idaho, Agricultural Experiment Station, announce the release of a selected germplasm (natural track) of western...

  2. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  3. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  4. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  5. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Hernandez, G. M.; Arrelano, H. G.; Alfonta, L.; Kiv, A.

    2017-01-01

    Roč. 398, MAY (2017), s. 21-26 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : etched ion tracks * track radius * polymer * enzyme * diffusion * biosensors Subject RIV: EI - Biotechnology ; Bionics OBOR OECD: Bioremediation, diagnostic biotechnologies (DNA chips and biosensing devices) in environmental management Impact factor: 1.109, year: 2016

  6. Water effect on sensitivity and tracks regression on SSNTD

    Energy Technology Data Exchange (ETDEWEB)

    Benderac, R.; Glisovic, D.; Antanasijevic, R.; Vukovic, J.; Todorovic, Z.

    1986-01-01

    The detection characteristics for different kinds of cellulose nitrate as a function of water treatment between originate of latent alpha tracks and etching were determined. It has been shown that efficiency depends on their physico-chemical characteristics. Registration efficiency has been also determined for alpha particles for different kinds of cellulose nitrates as a function of uranium salts concentration.

  7. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  8. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  9. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  10. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  11. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  12. A ''quick DYECET'' method for ECE particle tracks in polymer detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Mahdi, S.

    1993-01-01

    The new dyed electrochemically etched track (DEYCET) method recently developed at the National Radiation Protection Department (NRPD) of the Atomic Energy Organization of Iran (AEOI) using sensitization and dyeing steps is a useful and powerful method for dyeing charged particle and neutron-induced-recoil tracks in polymer detectors. This original DYECET method is effective but time consuming due to the steps for sensitization and dyeing which usually takes several hours. A ''Quick DYECET'' method, also recently developed in our laboratory, is introduced in this paper which dyes ECE tracks effectively in different colours within a few minutes. This new method can dye ECE tracks, cracks, fractures and fractals with different water and/or alcohol soluble dyes using cold or hot dyebaths. The method provides a high contrast and a high resolution of ECE tracks for visual track counting especially at high track densities. Some preliminary results are reported and discussed. (author)

  13. A new parameter in the electrochemical etching of polymer track detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Katouzi, M.

    1993-01-01

    It was discovered that the pressure applied to the electrochemical etching (ECE) chamber system and in turn to washers holding the detector tight in place between two semi-chambers has a direct effect on the internal heating and time to breakdown of the polymer detector. The effect was found to be dependent on the type, material, shape and size of the washers holding the detector in place under pressure. To verify such parameters, a pressure ECE chamber (PECE) with measurable and reproducible pressure was designed and constructed. Three types of rubber washers, such as ''O'' rings, flat rings and sheets as well as polycarbonate (PC) detectors glued directly between two semi-syringes, were used. Flat rubber sheets were shown to have relatively minor effects on the internal heating rate and are recommended. The effect seems to be due to forced vibrations of the detector under an electric field, the frequency of which depends on the degree to which the detector is stretched under pressure, like winding the strings of a musical instrument. The results of the above studies are presented and discussed. (orig.)

  14. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  15. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  16. Air alpha monitoring device and system for the calibration of the track detectors

    International Nuclear Information System (INIS)

    Danis, A; Oncescu, M.; Ciubotariu, M.

    2001-01-01

    The radon measurement plays a critical role: - in monitoring the human health and safety, due to radon destructive health effects. Sustained exposures of humans to high concentration of radon, in fact to high concentrations of its decay products, can produce lung cancer; - in a variety of geophysical, geochemical, hydrological and atmospheric investigations, such as exploring resources of uranium or hydrocarbons. The transport of radon within the earth, waters and atmosphere makes it a useful tracer in these purposes. in both cases, the reliable long-term measurements are required because usual short-term variations in concentration need to be averaged. These variations are caused by factors such as relative humidity, temperature, atmospheric pressure and their seasonal variations, moisture content in the air, or ventilation in the dwelling or working places. The integrating measurement methods meet these requirements. Among them, the alpha track method is one of the adequate and useful method and it is used by authors in radon measurements in dwelling and working places including mines and house cellars. The best etched track alpha detector for radon measurements proved to be the detector CR-39 due to: - its sensitivity to alpha particles emitted by radon decay products; - its stability against various environmental factors; - its high degree of optical clarity, was used in a proper device for alpha monitoring in air. Its calibration for radon measurements was performed in the proper calibration system. The general descriptions and specifications were given previously. Only some characteristics of these devices are given here. For air alpha monitoring device: i) equipped with filter, during alpha exposure, the alpha particles of radon are registered in the etched track detector mounted inside (ρ Rn - track density); ii) without filter, the alpha particles emitted by radon + its alpha decay products/their aerosols are registered in the detector (ρ tot - track

  17. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  18. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  19. Solid state nuclear track detectors kit for the use in teaching

    International Nuclear Information System (INIS)

    Khouri, M.T.F.C.; Koskinas, M.F.

    1988-11-01

    The kit intends to improve the possibilities in performing experiments of Nuclear Physics in Modern Physics laboratories of Physics Course introducing the solid state nuclear track detectors. In these materials the passage of heavily ionizing nuclear particles creates paths (tracks) that may be revealed and made visible in an optical microscope. By the help of the kit several experiments and/or demonstrations may be performed. The kit contains solid state nuclear track detectors unirradiated and irradiated, irradiated etched and unetched sheets: an alpha source of 241 Am and an instrution text with photomicrographs. To use the kit the laboratory must have an ordinary optical microscope. (author) [pt

  20. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  1. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  2. Electrochemical synthesis of metallic microstructures using etched ion tracks in nuclear track filters

    International Nuclear Information System (INIS)

    Sanjeev Kumar; Shyam Kumar; Rajesh Kumar; Chakravarti, K.

    2004-01-01

    Interest in nano/microstructures results from their numerous potential applications in various areas such as materials and biomedical sciences, electronics, optics, magnetism, energy storage and electrochemistry. Materials with micro/nanoscopic dimensions not only have potential technological applications in areas such as device technology and drug delivery, but also are of fundamental interest in that the properties of a material can change in this regime of transition between the bulk and molecular scales. Electrodeposition is a versatile technique combining low processing cost with ambient conditions that can be used to prepare metallic, polymeric and semiconducting microstructures. In the present work ion track membranes of Makrofol (KG) have been used as templates for synthesis of metallic microstructures using the technique of electrodeposition. (author)

  3. Statistics and error considerations at the application of SSND T-technique in radon measurement

    International Nuclear Information System (INIS)

    Jonsson, G.

    1993-01-01

    Plastic films are used for the detection of alpha particles from disintegrating radon and radon daughter nuclei. After etching there are tracks (cones) or holes in the film as a result of the exposure. The step from a counted number of tracks/holes per surface unit of the film to a reliable value of the radon and radon daughter level is surrounded by statistical considerations of different nature. Some of them are the number of counted tracks, the length of the time of exposure, the season of the time of exposure, the etching technique and the method of counting the tracks or holes. The number of background tracks of an unexposed film increases the error of the measured radon level. Some of the mentioned effects of statistical nature will be discussed in the report. (Author)

  4. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  5. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  6. CR-39 track detector for multi-MeV ion spectroscopy.

    Science.gov (United States)

    Jeong, T W; Singh, P K; Scullion, C; Ahmed, H; Hadjisolomou, P; Jeon, C; Yun, H; Kakolee, K F; Borghesi, M; Ter-Avetisyan, S

    2017-05-19

    We present the characteristics of track formation on the front and rear surfaces of CR-39 produced by laser-driven protons and carbon ions. A methodological approach, based on bulk etch length, is proposed to uniquely characterize the particle tracks in CR-39, enabling comparative description of the track characteristics in different experiments. The response of CR-39 to ions is studied based on the energy dependent growth rate of the track diameter to understand the intrinsic particle stopping process within the material. A large non-uniformity in the track diameter is observed for CR-39 with thickness matching with the stopping range of particles. Simulation and experimental results show the imprint of longitudinal range straggling for energetic protons. Moreover, by exploiting the energy dependence of the track diameter, the energy resolution (δE/E) of CR-39 for few MeV protons and Carbon ion is found to be about 3%.

  7. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  8. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  9. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  10. Ageing effects on polymeric track detectors: studies of etched tracks at nano size scale using atomic force microscope

    International Nuclear Information System (INIS)

    Espinosa, G.; Golzarri, J. I.; Fragoso, R.; Vazquez L, C.; Saad, A. F.; El-Namrouty, A. A.; Fujii, M.

    2012-01-01

    Among several different techniques to analyze material surface, the use of Atomic Force Microscope is one of the finest method. As we know, the sensitivity to detect energetic ions is extremely affected during the storage time and conditions of the polymeric material used as a nuclear track detector. On the basis of the surface analysis of several track detector materials, we examined the detection sensitivity of these detectors exposed to alpha particles. The preliminary results revealed that the ageing effect on its sensitivity is very strong, that need to be considered on the routine applications or research experiments. The results are consistent with the experimental data in the literature. (Author)

  11. New development on electrochemical etching processes at the Atomic Energy Organization of Iran

    CERN Document Server

    Sohrabi, M

    1999-01-01

    Some highlights of new developments made in our laboratory at the Atomic Energy Organization of Iran on chemical and electrochemical etching (ECE) of polymer track detectors like polycarbonate (PC) are presented. They include introduction of new ECE chamber systems and methods for production of ECE signs and symbols, and a new versatile ECE chamber (VECE) system for multi-purpose, multi-size, and/or multi-shape detector processing; determination of photoneutron doses in and around high-energy X-ray beams of a 20 MV medical accelerator; verification of the Smythe and Mason equations for ECE of tracks in polymers; ECE of alpha and recoil tracks in PC using PMW, PEW and PEMW etchants; introduction of a novel method using ethylene diamine for treatment of PC detectors with its applications, for example in precision removal of surface layers of PC (e.g. bulk removal rates of about 0.04, 0.15, 0.36, 0.66, and 1.33 mm min sup - sup 1 for 60%, 65%, 70%, 75% and 80% ethylene diamine solution (v/v) in water respectivel...

  12. Fast neutron irradiation effects on CR-39 nuclear track detector for dosimetric applications

    International Nuclear Information System (INIS)

    Kader, M.H.

    2005-01-01

    The effect of neutron irradiation on the dosimetric properties of CR-39 solid-state nuclear track detector have been investigated. CR-39 samples were irradiated with neutrons of energies follow a Maxwellian distribution centered about 2 MeV. These samples were irradiated with different doses in the range 0.1-1 Sv. The background and track density were measured as a function of etching time. In addition, the dependence of sensitivity of CR-39 detector on the neutrons dose has been investigated. The results show that the Sensitivity started to increase at 0.4 Sv neutrons dose, so this sample were chosen to be a subject for further study to investigate the effect of gamma dose on its properties. The sample irradiated with 0.4 Sv were exposed to different doses of gamma rays at levels between 10 and 80 kGy. The effect of gamma doses on the bulk etching rate VB, the track diameter and the sensitivity of the CR-39 samples was investigated. The results show that the dosimetric properties of CR-39 SSNTD are greatly affected by both neutron and gamma irradiation

  13. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  14. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  15. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  16. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  17. The solid state track detectors for α-particles angular distribution measurements

    International Nuclear Information System (INIS)

    Bakr, M.H.S.

    1978-01-01

    The solid state track detectors technique is described in details from the point of view of applying them in nuclear reactions research. Using an optimum developing solution, the etching rate of polycarbonate detector was found to be 10.5 μ/hour. The energy resolution of this detector was estimated using 241 Am α-source at α-energies between 1 and 3 Mev. The scattering chamber designed for angular distribution measurements using solid state track detectors is described. A special schematic normograph for range-energy-degrading foils relation is given

  18. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  19. Binomial distribution of Poisson statistics and tracks overlapping probability to estimate total tracks count with low uncertainty

    International Nuclear Information System (INIS)

    Khayat, Omid; Afarideh, Hossein; Mohammadnia, Meisam

    2015-01-01

    In the solid state nuclear track detectors of chemically etched type, nuclear tracks with center-to-center neighborhood of distance shorter than two times the radius of tracks will emerge as overlapping tracks. Track overlapping in this type of detectors causes tracks count losses and it becomes rather severe in high track densities. Therefore, tracks counting in this condition should include a correction factor for count losses of different tracks overlapping orders since a number of overlapping tracks may be counted as one track. Another aspect of the problem is the cases where imaging the whole area of the detector and counting all tracks are not possible. In these conditions a statistical generalization method is desired to be applicable in counting a segmented area of the detector and the results can be generalized to the whole surface of the detector. Also there is a challenge in counting the tracks in densely overlapped tracks because not sufficient geometrical or contextual information are available. It this paper we present a statistical counting method which gives the user a relation between the tracks overlapping probabilities on a segmented area of the detector surface and the total number of tracks. To apply the proposed method one can estimate the total number of tracks on a solid state detector of arbitrary shape and dimensions by approximating the tracks averaged area, whole detector surface area and some orders of tracks overlapping probabilities. It will be shown that this method is applicable in high and ultra high density tracks images and the count loss error can be enervated using a statistical generalization approach. - Highlights: • A correction factor for count losses of different tracks overlapping orders. • For the cases imaging the whole area of the detector is not possible. • Presenting a statistical generalization method for segmented areas. • Giving a relation between the tracks overlapping probabilities and the total tracks

  20. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  1. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  2. Thermosetting resins for nuclear track detection

    International Nuclear Information System (INIS)

    Fujii, M.; Yokota, R.

    1986-01-01

    Several new thermosetting resins with a three dimensional network structure similar to that of CR-39 were polymerized to study their characteristics as nuclear track detectors. The comparison of the molecular structures of these resins gives us an important clue to develop highly sensitive polymeric track detectors. For example, butanediol bis allylcarbonate (BuAC) shows the sensitivity about ten times higher than diallyl and adipate (DAA). This suggests the carbonate groups in the BuAC molecule provide a much higher sensitivity than the ester groups in the DAA. During the course of this study, thermosetting resins with good etching properties and various sensitivities have been developed. Though the sensitivity of DAA is low, it will be useful for observations of ultra heavy cosmic rays and heavily ionizing particles at low energies. (author)

  3. In-core gamma dosimetry by solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Khan, H.A.

    1980-02-01

    Results are reported of a study undertaken to develop Solid State Nuclear Track Detectors (SSNTD) for the measurement of gamma doses in the megarad region such as those existing in and around a nuclear reactor core. The changes brought about in the track etching parameters and in the ultraviolet and infrared transmittances, have been studied for possible use as gamma dose measuring indices. Effects of various parameters in the core such as neutron flux, beta particles, water, temperature, and gamma ray spectrum have been investigated and found to have only small influence on the proposed gamma dose measuring indices

  4. Total analysis systems with Thermochromic Etching Discs technology.

    Science.gov (United States)

    Avella-Oliver, Miquel; Morais, Sergi; Carrascosa, Javier; Puchades, Rosa; Maquieira, Ángel

    2014-12-16

    A new analytical system based on Thermochromic Etching Discs (TED) technology is presented. TED comprises a number of attractive features such as track independency, selective irradiation, a high power laser, and the capability to create useful assay platforms. The analytical versatility of this tool opens up a wide range of possibilities to design new compact disc-based total analysis systems applicable in chemistry and life sciences. In this paper, TED analytical implementation is described and discussed, and their analytical potential is supported by several applications. Microarray immunoassay, immunofiltration assay, solution measurement, and cell culture approaches are herein addressed in order to demonstrate the practical capacity of this system. The analytical usefulness of TED technology is herein demonstrated, describing how to exploit this tool for developing truly integrated analytical systems that provide solutions within the point of care framework.

  5. Technique of neutron-induced (fission-track) autoradiography with histological detail

    International Nuclear Information System (INIS)

    Smith, J.M.; Taylor, G.N.; Jee, W.S.S.

    1980-01-01

    The primary advantage of neutron-induced or fission-track autoradiography compared with conventional autoradiography is that for low concentrations of fissile nuclides prohibitively long exposure times may be avoided. However, it is difficult to produce imaging of biological structures on the neutron-induced autoradiograph which would allow localization of the nuclide histologically. The technique presented circumvents this difficulty using a thin polycarbonate film applied to the histologically stained tissue section mounted on a quartz substrate. After irradiation of the tissue section with an appropriate thermal neutron flux, the fission fragment tracks are revealed by etching the film with KOH. The tracks, superimposed on the stained tissue, may be observed under the light microscope in the same manner as for conventional nuclear emulsion autoradiography

  6. Application of image analyzer for radon concentration measurement by nuclear track detector

    International Nuclear Information System (INIS)

    Antanasijevic, R.; Vukovic, J.; Novakovic, V.; Tasic, M.

    1998-01-01

    Radon concentration measurements were performed by determination of alpha tracks density in NTD of polymer type (Allyl diglycol polycarbonate - ADC Intercast EC, Parma). NTD plates were exposed to Rn, during 72 days in low level laboratory (LLL) at the Institute of Physics, Belgrade. Therefore, they were etched in 6N - NaOH water solution during 8 h at bath temperature of 70 0 C. The analyses of the alpha tracks was made by optical microscope and semiquantitative image analyzer using video camera digitizer attached to Pentium PC with software Ozaria V2.5. According to the determined mean track density value, ρmean=74210 tracks/cm 2 (background track density ρb=123 tracks/cm 2 ) Rn concentration was calculated to be 1174 Bq/m 3 . Obtained higher value than global - normal indoor Rn concentration might be the consequence of the temperature difference in LLL and outdoor. (author)

  7. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  8. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  9. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  10. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  11. Characteristics of diallyl phthalate resin as a fission track detector

    CERN Document Server

    Tsuruta, T

    1999-01-01

    Diallyl phthalate (DAP) resin plates were irradiated with fission fragments, and then etched in aqueous solution of KOH. Etched tracks were observed and counted by using an optical microscope. The detection efficiency of fission fragments was about 100% for both perpendicular and random incidence. DAP plates were insensitive to alpha particles and fast neutrons. These characteristics are suitable for detecting selected fission fragments, which coexist with alpha particles or fast neutrons. DAP plates are valuable for quantitative analysis of fissionable materials and neutron dosimetry. DAP and allyl diglycol carbonate (CR-39) were formed into copolymers in various ratios. The copolymers showed intermediate characteristics between DAP and CR-39. The fabrication of the copolymers made it possible to control the discrimination level for detection of heavy charged particles.

  12. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  13. Solar Flare Track Exposure Ages in Regolith Particles: A Calibration for Transmission Electron Microscope Measurements

    Science.gov (United States)

    Berger, Eve L.; Keller, Lindsay P.

    2015-01-01

    Mineral grains in lunar and asteroidal regolith samples provide a unique record of their interaction with the space environment. Space weathering effects result from multiple processes including: exposure to the solar wind, which results in ion damage and implantation effects that are preserved in the rims of grains (typically the outermost 100 nm); cosmic ray and solar flare activity, which result in track formation; and impact processes that result in the accumulation of vapor-deposited elements, impact melts and adhering grains on particle surfaces. Determining the rate at which these effects accumulate in the grains during their space exposure is critical to studies of the surface evolution of airless bodies. Solar flare energetic particles (mainly Fe-group nuclei) have a penetration depth of a few millimeters and leave a trail of ionization damage in insulating materials that is readily observable by transmission electron microscope (TEM) imaging. The density of solar flare particle tracks is used to infer the length of time an object was at or near the regolith surface (i.e., its exposure age). Track measurements by TEM methods are routine, yet track production rate calibrations have only been determined using chemical etching techniques [e.g., 1, and references therein]. We used focused ion beam-scanning electron microscope (FIB-SEM) sample preparation techniques combined with TEM imaging to determine the track density/exposure age relations for lunar rock 64455. The 64455 sample was used earlier by [2] to determine a track production rate by chemical etching of tracks in anorthite. Here, we show that combined FIB/TEM techniques provide a more accurate determination of a track production rate and also allow us to extend the calibration to solar flare tracks in olivine.

  14. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  15. Influence of external and internal conditions of detector sample treatment on the particle registration sensitivity of Solid State Nuclear Track Detectors of type CR-39

    International Nuclear Information System (INIS)

    Hermsdorf, Dietrich

    2012-01-01

    The sensitivity of charged particle registration with SSNTD is the most important parameter to decide about the applicability of those detectors in research, technology and environmental dosimetry. The sensitivity is strongly influenced by the treatment of detector samples before, during and after the exposure and the final evaluation process by chemical etching. Whereas changes in detection properties by external environmental influences are generally considered, the dependences on the etching conditions are ignored. Commonly the sensitivity is assumed to compensate variations in the etching conditions for track revealing. In the present work the validity of this hypothesis will be checked. In the frame of the existing database the sensitivity is not really independent on variations in etching temperatures and should be corrected for differences in the activation energies for stimulation of the bulk and track etching process. Differences in the concentration dependence may be of minor importance. Furthermore, the registration sensitivity depends on environmental conditions before, during and after the irradiation with particles under investigation. Such external parameters are the air pressure, the sample temperature and modification of bulk material by out-gassing in vacuum and exposure to γ-rays. However, the available database is insufficient and inaccurate to draw final conclusions on the detection properties of SSNTD under various external and internal conditions.

  16. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  17. The quantitative determination of uranium in human hair by fission track measurements

    International Nuclear Information System (INIS)

    Wilson, D.J.; Bentley, K.W.

    1985-01-01

    Human hairs containing a uranium burden were placed in contact with a mica sheet as the recording matrix and irradiated in a thermal neutron flux. The fission fragment tracks penetrating the mica were etched and counted. Calculations have been made to show the losses due to the fission fragment range being less than the diameter of the hair and for the variation of track density with distance from the line of contact between the hair and the mica. Experimental data from 50 μm diameter hair and those derived by calculation were compared. (author)

  18. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  19. Dating of zircons by the fission track method

    International Nuclear Information System (INIS)

    Tenorio Hernandez, L.M.

    1992-01-01

    This work describes the methodology to date zircons by the Fission Track Method. A brief discussion is made of the physical principles of the method, physical properties of zircons and the geochemical properties of uranium. When starting with a hand sample, a mineral separation is required; to do this the following steps are needed: crushing and sieving of the sample, washing and drying, magnetic separation, heavy liquid separation (Bromoform 2.89 g/cm 3 , Diyodomethane = 3.31 g/cm 3 ), and manual separation on a stereoscopic microscope. Once the zircon concentrate is obtained, these are mounted on a FEP teflon mount, then polished and etched on an eutectic mix of 10 g. of KOH + 7.2 g. of NaOH, heated to 210 Centigrade degrees. Afterwards the mounts are prepared for irradiation, sterilizing and putting on top of them an external detector (a thin sheet of muscovite poor in uranium content). The package is then wrapped in plastic and sent to the reactor together with standard zircons of known age (Fish Canyon Tuff: Naeser et.al., 1981), mounted in the same way, plus standard glasses of known uranium content. The package was irradiated in a site known as SIRCA (Rotatory Capsules Irradiation System). Then the package is left to decay for several days, and then the external detectors are etched in a 48% concentrated hydrofluoric acid for 5 minutes. Once the samples are processed through the mentioned steps, spontaneous tracks appear in the zircons and induced tracks appear in the external detectors. On counting the tracks one obtains ρ s (spontaneous track density) and ρ 1 (induced track density). These two parameters together with another one called '#zeta #' (obtained from the standard zircons and glasses), are used to obtain the age of the sample. Zircons from Cerro de Mercado, Durango were dated and the age obtained was 30 ±5 Ma. This date is in agreement with similar results obtained by Fleisher and Naeser (1975) for zircons of the same locality and dated by the

  20. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  1. Fission track dating of kimberlitic zircons

    International Nuclear Information System (INIS)

    Haggerty, S.E.

    1983-01-01

    The only reliable method for dating kimberlites at present is the lengthy and specialized hydrothermal procedure that extracts 206 Pb and 238 U from low-uranium zircons. This paper describes a second successful method by fission track dating of large single-crystal zircons, 1.0-1.5 cm in dimension. The use of large crystals overcomes the limitations imposed in conventional fission track analysis which utilizes crushed fragments. Low track densities, optical track dispersion, and the random orientation of polished surfaces in the etch and irradiation cycle are effectively overcome. Fission track ages of zircons from five African kimberlites are reported, from the Kimberley Pool (90.0 +- 6.5 m.y.), Orapa (87.4 +- 5.7 and 92.4 +- 6.1 m.y.), Nzega (51.1 +- 3.8 m.y.), Koffiefontein (90.9 +- 8.2 m.y.), and Val do Queve (133.4 +- 11.5 m.y.). In addition we report the first radiometric ages (707.9 +- 59.6 and 705.5 +- 61.0 m.y.) of crustal zircons from kimberlites in northwest Liberia. The fission track ages agree well with earlier age estimates. Most of the zircons examined in this study are zoned with respect to uranium but linear correlations are established (by regression analysis) between zones of variable uranium content, and within zones of constant uranium content (by analysis of variance). Concordance between the fission track method and the U/Pb technique is established and we concluded that track fading from thermal annealing has not taken place. Kimberlitic zircons dated in this study, therefore, record the time of eruption. (orig.)

  2. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  3. Diallyl phthalate (DAP) solid state nuclear track detector

    CERN Document Server

    Koguchi, Y; Ashida, T; Tsuruta, T

    2003-01-01

    Diallyl phthalate (DAP) solid state nuclear track detector is suitable for detecting heavy ions such as fission fragments, because it is insensitive to right ions such as alpha particles and protons. Detection efficiency of fission tracks is about 100%, which is unaffected under conditions below 240degC lasting for 1h or below 1 MGy of gamma-ray irradiation. Optimum etching condition for the DAP detector for detection of fission fragments is 2-4 h using 30% KOH aqueous solution at 90degC or 8-15 min using PEW-65 solution at 60degC. DAP detector is useful in detecting induced fission tracks for dating of geology or measuring intense heavy ions induced by ultra laser plasma. The fabrication of copolymers of DAP and CR-39 makes it possible to control the discrimination level for detection threshold of heavy ions. (author)

  4. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  5. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  6. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  7. Coating and functionalization of high density ion track structures by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mättö, Laura [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Szilágyi, Imre M., E-mail: imre.szilagyi@mail.bme.hu [Department of Inorganic and Analytical Chemistry, Budapest University of Technology and Economics, Szent Gellért tér 4, Budapest H-1111 (Hungary); MTA-BME Technical Analytical Research Group, Szent Gellért tér 4, Budapest H-1111 (Hungary); Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Laitinen, Mikko [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland)

    2016-10-01

    In this study flexible TiO{sub 2} coated porous Kapton membranes are presented having electron multiplication properties. 800 nm crossing pores were fabricated into 50 μm thick Kapton membranes using ion track technology and chemical etching. Consecutively, 50 nm TiO{sub 2} films were deposited into the pores of the Kapton membranes by atomic layer deposition using Ti({sup i}OPr){sub 4} and water as precursors at 250 °C. The TiO{sub 2} films and coated membranes were studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and X-ray reflectometry (XRR). Au metal electrode fabrication onto both sides of the coated foils was achieved by electron beam evaporation. The electron multipliers were obtained by joining two coated membranes separated by a conductive spacer. The results show that electron multiplication can be achieved using ALD-coated flexible ion track polymer foils. - Highlights: • Porous Kapton membranes were obtained by ion track technology and chemical etching. • TiO{sub 2} films were deposited by ALD into the pores of the Kapton membranes. • TiO{sub 2} nanotube array was prepared by removing the polymer core. • MCP structures were obtained from the coated membranes. • Electron multiplication was achieved using the ALD-coated Kapton foils.

  8. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  9. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  10. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  12. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  13. Observation of anomalons in CR-39 track detectors

    International Nuclear Information System (INIS)

    Tincknell, M.L.; Price, P.B.

    1984-01-01

    The authors have observed fragments of 1.85 GeV/nucleon 40 Ar in CR-39 etched track detector and they find anomalously short mean free paths (mfp's) of secondary nuclei with 11 less than or equal to Z less than or equal to 17 in the first 2 cm after their production, at approx.3 standard deviations. This confirms previous reports of this anomalon effect in nuclear emulsion in a new detector with dissimilar potential systematic errors

  14. Identification of charged particles by etching the solid state nuclear track detectors in successive intervals

    International Nuclear Information System (INIS)

    Randhawa, G.S.; Virk, H.S.

    1997-01-01

    The suitability of the method of charged particle identification by etching the samples in successive intervals developed by Grabez et al. has been checked in CR-39 exposed to heavy ions 238 U, 208 Pb, 197 Au and 132 Xe in the interval 11.0 to 17.0 MeV/u. A similar study has been made on soda glass detectors irradiated by 238 U, 132 Xe, 56 Fe and 48 Ti ions having energy 4.0 to 6.0 MeV/u. It is concluded that this method of particle identification can be used successfully in CR-39 and soda glass detectors. (author)

  15. Annealing behavior of alpha recoil tracks in phlogopite

    International Nuclear Information System (INIS)

    Gao Shaokai; Yuan Wanming; Dong Jinquan; Bao Zengkuan

    2005-01-01

    Alpha recoil tracks (ARTs) formed during the a-decay of U, Th as well as their daughter nuclei are used as a new dating method which is to some extent a complementarity of fission track dating due to its ability to determine the age of young mineral. ARTs can be observable under phase-contrast interference microscope through chemical etching. In order to study the annealing behavior of ARTs in phlogopite, two methods of annealing experiments were executed. Samples were annealed in the electronic tube furnace at different temperatures ranging from 250 degree C to 450 degree C in steps of 50 degree C. For any given annealing temperature, different annealing times were used until total track fading were achieved. It is found that ARTs anneal much more easily than fission tracks, the annealing ratio increase non-linearly with annealing time and temperature. Using the Arrhenius plot, an activation energy of 0.68ev is finally found for 100% removal of ARTs, which is less than the corresponding value for fission tracks (FTs). Through extending the annealing time to geological time, a much lower temperature range of the sample's cooling history can be got.

  16. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  17. Nuclear Track Detector Characterization via Alpha-Spectrometry for Radioprotection Use

    Energy Technology Data Exchange (ETDEWEB)

    Morelli, D.; Imme, G.; Catalano, R. [Dipartimento di Fisica e Astronomia, Universita degli Studi di Catania, via S. Sofia, 64- 95123 Catania (Italy); Istituto Nazionale di Fisica Nucleare - Sezione di Catania, via S. Sofia, 64- 95123 Catania (Italy); Aranzulla, M. [Istituto Nazionale Geofisica e Vulcanologia - Sezione di Catania, piazza Roma, 2- 95127 Catania (Italy); Tazzer, A. L. Rosselli; Mangano, G. [Dipartimento di Fisica e Astronomia, Universita degli Studi di Catania, via S. Sofia, 64- 95123 Catania (Italy)

    2011-12-13

    Solid Nuclear Track Detectors (SNTDs), CR-39 type, are usually adopted to monitor radon gas concentrations. In order to characterize the detectors according to track geometrical parameters, detectors were irradiated inside a vacuum chamber by alpha particles at twelve energy values, obtained by different Mylar foils in front of a {sup 241}Am source. The alpha energy values were verified using a Si detector. After the exposure to the alpha particles, the detectors were chemically etched to enlarge the tracks, which were then analyzed by means of a semiautomatic system composed of an optical microscope equipped with a CCD camera connected to a personal computer to store images. A suitable routine analyzed the track parameters: major and minor axis length and mean grey level, allowing us to differentiate tracks according to the incident alpha energy and then to individuate the discrimination factors for radon alpha tracks. The combined use of geometrical and optical parameters allows one to overcome the ambiguity in the alpha energy determination due to the non-monotonicity of each parameter versus energy. After track parameter determination, a calibration procedure was performed by means of a radon chamber. The calibration was verified through an inter-comparing survey.

  18. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  19. Effect of nuclear track on reflectivity for insulating material

    International Nuclear Information System (INIS)

    Liu Cunxiong; Ni Bangfa; Tian Weizhi; Hu Lian; Xiao Caijin; Wang Pingsheng; Zhang Guiying; Huang Donghui; Lu Peng; Yang Weitao

    2009-01-01

    Polyester and CR-39 samples were irradiated with sulphur ion from HI-13 tandem accelerator. Ultraviolet light with wavelength 360 nm was used to sensitize the polymer before chemical etching by NaOH solution with different temperatures and time duration. The latent track was then developed into nanometer to micrometer pore with certain depth. Samples were coated with thin layer of silver and magnesium fluoride using the vacuum evaporator. The reflectivity and transmission index were measured for all polymer samples, untreated and treated with above-mentioned procedure, within the wavelength of visible light. Solid state nuclear track and coating can reduce reflectivity of tested polymer materials greatly, and the reflectivity can be 1% or lower. (authors)

  20. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.