WorldWideScience

Sample records for nanowire growth reactors

  1. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  2. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  3. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  4. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao; Zeng, Hua Chun

    2010-01-01

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  5. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao

    2010-02-23

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  6. Nanowire growth from the viewpoint of the thin film polylayer growth theory

    Science.gov (United States)

    Kashchiev, Dimo

    2018-03-01

    The theory of polylayer growth of thin solid films is employed for description of the growth kinetics of single-crystal nanowires. Expressions are derived for the dependences of the height h and radius r of a given nanowire on time t, as well as for the h(r) dependence. These dependences are applicable immediately after the nanowire nucleation on the substrate and thus include the period during which the nucleated nanowire changes its shape from that of cap to that of column. The analysis shows that the nanowire cap-to-column shape transition is continuous and makes it possible to kinetically define the nanowire shape-transition radius by means of the nanowire radial and axial growth rates. The obtained h(t), r(t) and h(r) dependences are found to provide a good description of available experimental data for growth of self-nucleated GaN nanowires by the vapor-solid mechanism.

  7. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Hainey, Mel F.; Redwing, Joan M. [Department of Materials Science and Engineering, Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-12-15

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis on methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.

  8. Guided Growth of Horizontal p-Type ZnTe Nanowires

    Science.gov (United States)

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  9. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    Science.gov (United States)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  10. Growth and characterization of bismuth telluride nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Picht, Oliver

    2010-05-26

    Polycrystalline Bi{sub 2}Te{sub 3} nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 {mu}m. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi{sub 2}Te{sub 3} and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 {mu}m thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  11. Growth and characterization of bismuth telluride nanowires

    International Nuclear Information System (INIS)

    Picht, Oliver

    2010-01-01

    Polycrystalline Bi 2 Te 3 nanowires are electrochemically grown in ion track-etched polycarbonate membranes. Potentiostatic growth is demonstrated in templates of various thicknesses ranging from 10 to 100 μm. The smallest observed nanowire diameters are 20 nm in thin membranes and approx. 140-180 nm in thicker membranes. The influence of the various deposition parameters on the nanowire growth rate is presented. Slower growth rates are attained by selective change of deposition potentials and lower temperatures. Nanowires synthesized at slower growth rates have shown to possess a higher degree of crystalline order and smoother surface contours. With respect to structural properties, X-ray diffraction and transmission electron microscopy verified the growth of Bi 2 Te 3 and evidenced the stability of specific properties, e.g. grain size or preferential orientation, with regard to variations in the deposition conditions. The interdependency of the fabrication parameters, i.e. temperature, deposition potential and nanochannel diameters, is demonstrated for wires grown in 30 μm thick membranes. It is visible from diffraction analysis that texture is tunable by the growth conditions but depends also on the size of the nanochannels in the template. Both (015) and (110) reflexes are observed for the nanowire arrays. Energy dispersive X-ray analysis further points out that variation of nanochannel size could lead to a change in elemental composition of the nanowires. (orig.)

  12. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    International Nuclear Information System (INIS)

    Ng, D.K.T.; Hong, M.H.; Tan, L.S.; Zhou, Y.; Chen, G.X.

    2008-01-01

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices

  13. Selective growth of gallium nitride nanowires by femtosecond laser patterning

    Energy Technology Data Exchange (ETDEWEB)

    Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Hong, M.H. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: HONG_Minghui@dsi.a-star.edu.sg; Tan, L.S. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Zhou, Y. [Data Storage Institute, Agency for Science, Technology and Research, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Department of Mechanical Engineering, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Chen, G.X. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2008-01-31

    We report on gallium nitride (GaN) nanowires grown using pulsed laser ablation, adopting the vapor-liquid-solid (VLS) growth mechanism. The GaN nanowires are obtained based on the principle that a catalyst is required to initiate the nanowires growth. Locations of the GaN nanowires are patterned using femtosecond laser and focused ion beam. Scanning electron microscopy (SEM) is used to characterize the nanowires. This patterning of GaN nanowires will enable selective growth of nanowires and bottom-up assembly of integrated electronic and photonic devices.

  14. Growth and properties of In(Ga)As nanowires on silicon

    International Nuclear Information System (INIS)

    Hertenberger, Simon

    2012-01-01

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO x -masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO 2 masked Si(111) substrates is demonstrated which is needed for ultimate control of nanowire

  15. Growth and properties of In(Ga)As nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hertenberger, Simon

    2012-10-15

    In this thesis the integration of III-V semiconductor nanowires on silicon (Si) platform by molecular beam epitaxy (MBE) is investigated. All nanowires are grown without the use of foreign catalysts such as Au to achieve high purity material. First, InAs nanowires are grown in a self-assembled manner on SiO{sub x}-masked Si(111) where pinholes in the silicon oxide serve as nucleation spots for the nanowires. This leads to the growth of vertically aligned, (111)-oriented nanowires with hexagonal cross-section. Based on this simple process, the entire growth parameter window is investigated for InAs nanowires, revealing an extremely large growth temperature range from 380 C to 580 C and growth rates as large as 6 μ/h. Complex quantitative in-situ line-of-sight quadrupole mass spectrometry experiments during nanowire growth and post-growth thermal decomposition studies support these findings and indicate a very high thermal stability up to >540 C for InAs nanowires. Furthermore, the influence of the As/In ratio on the nanowire growth is studied revealing two distinct growth regimes, i.e., an In-rich regime for lower As fluxes and an As-rich regime for larger As fluxes, where the latter shows characteristic saturation of the nanowire aspect ratio. For the catalyst-free growth, detailed investigation of the growth mechanism is performed via a combination of in-situ reflection high-energy electron diffraction (RHEED) and ex-situ scanning and transmission electron microscopy (SEM,TEM). An abrupt onset of nanowire growth is observed in RHEED intensity and in-plane lattice parameter evolution. Furthermore, completely droplet-free nanowires, continuous radial growth, constant vertical growth rate and growth interruption experiments suggest a vapor-solid growth mode for all investigated nanowire samples. Moreover, site-selective (positioned) growth of InAs nanowires on pre-patterned SiO{sub 2} masked Si(111) substrates is demonstrated which is needed for ultimate control of

  16. Growth Mechanism of Nanowires: Ternary Chalcogenides

    Science.gov (United States)

    Singh, N. B.; Coriell, S. R.; Hopkins, R. H.; Su, Ching Hua; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    In the past two decades there has been a large rise in the investment and expectations for nanotechnology use. Almost every area of research has projected improvements in sensors, or even a promise for the emergence of some novel device technologies. For these applications major focuses of research are in the areas of nanoparticles and graphene. Although there are some near term applications with nanowires in photodetectors and other low light detectors, there are few papers on the growth mechanism and fabrication of nanowire-based devices. Semiconductor nanowires exhibit very favorable and promising optical properties, including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here an overview of the mechanism of nanowire growth from the melt, and some preliminary results for the thallium arsenic selenide material system. Thallium arsenic selenide (TAS) is a multifunctional material combining excellent acousto-optical, nonlinear and radiation detection properties. We observed that small units of (TAS) nanocubes arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. In some cases very long wires (less than mm) are formed. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places.

  17. Composition–dependent growth dynamics of selectively grown InGaAs nanowires

    International Nuclear Information System (INIS)

    Kohashi, Y; Hara, S; Motohisa, J

    2014-01-01

    We grew gallium-rich (x > 0.50) and indium-rich (x < 0.50) In 1 − x Ga x As nanowires by catalyst–free selective-area metal–organic vapor-phase epitaxy (SA-MOVPE), and compared their growth dynamics dependence on V/III ratio. It was found that the growth dynamics of In 1 − x Ga x As nanowires is clearly dependent on the alloy composition x. Specifically, for gallium–rich nanowire growth, the axial growth rate of nanowires initially increased with decreasing V/III ratio, and then started to decrease when the V/III ratio continued to decrease below a critical value. On the other hand, axial growth rate of indium-rich nanowires monotonically decreased with decreasing V/III ratio. In addition, the alloy composition was strongly dependent on the V/III ratio for gallium-rich nanowire growth, while it was relatively independent of the V/III ratio for indium-rich nanowire growth. We discuss the origin of dissimilarity in the growth dynamics dependence on V/III ratio between gallium-rich and indium-rich InGaAs nanowire growth, and conclude that it is due to the inherent dissimilarity between GaAs and InAs. Our finding provides important guidelines for achieving precise control of the diameter, height, and alloy composition of nanowires suitable for future nanowire-based electronics. (papers)

  18. Growth of Ag-seeded III-V Nanowires and TEM Characterization

    DEFF Research Database (Denmark)

    Lindberg, Anna Helmi Caroline

    appropriate, the density and the vertical yield were obtained. The crystal structures for the grown nanowires have been investigated with TEM.We have also performed additional growths to further understand exactly how the nanowire growth proceeds as well as to understand the limitations of using Ag as a seed......This thesis deals with growth and characterization of GaAs and InAs nanowires. Today Au nanoparticle-seeding together with self-catalyzing are the dominating techniques to grow III-V nanowires with molecular beam epitaxy. In this thesis we instead investigate the possibility to use Ag as seed...... particle for growth of GaAs and InAs nanowires. The aim with the experiments performed has been to conclude whether Ag can be used to nucleate and grow nanowires on III-V substrates with molecular beam epitaxy. To investigate this we have performed growths of GaAs nanowires on GaAs(111)B and GaAs(100...

  19. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    KAUST Repository

    Shen, Youde; Chen, Renjie; Yu, Xuechao; Wang, Qijie; Jungjohann, Katherine L.; Dayeh, Shadi A.; Wu, Tao

    2016-01-01

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices. © 2016 American Chemical Society.

  20. Gibbs–Thomson Effect in Planar Nanowires: Orientation and Doping Modulated Growth

    KAUST Repository

    Shen, Youde

    2016-06-02

    Epitaxy-enabled bottom-up synthesis of self-assembled planar nanowires via the vapor-liquid-solid mechanism is an emerging and promising approach toward large-scale direct integration of nanowire-based devices without postgrowth alignment. Here, by examining large assemblies of indium tin oxide nanowires on yttria-stabilized zirconia substrate, we demonstrate for the first time that the growth dynamics of planar nanowires follows a modified version of the Gibbs-Thomson mechanism, which has been known for the past decades to govern the correlations between thermodynamic supersaturation, growth speed, and nanowire morphology. Furthermore, the substrate orientation strongly influences the growth characteristics of epitaxial planar nanowires as opposed to impact at only the initial nucleation stage in the growth of vertical nanowires. The rich nanowire morphology can be described by a surface-energy-dependent growth model within the Gibbs-Thomson framework, which is further modulated by the tin doping concentration. Our experiments also reveal that the cutoff nanowire diameter depends on the substrate orientation and decreases with increasing tin doping concentration. These results enable a deeper understanding and control over the growth of planar nanowires, and the insights will help advance the fabrication of self-assembled nanowire devices. © 2016 American Chemical Society.

  1. Growth Mechanism of Nanowires: Binary and Ternary Chalcogenides

    Science.gov (United States)

    Singh, N. B.; Coriell, S. R.; Su, Ching-Hua; Hopkins, R. H.; Arnold, B.; Choa, Fow-Sen; Cullum, Brian

    2016-01-01

    Semiconductor nanowires exhibit very exciting optical and electrical properties including high transparency and a several order of magnitude better photocurrent than thin film and bulk materials. We present here the mechanism of nanowire growth from the melt-liquid-vapor medium. We describe preliminary results of binary and ternary selenide materials in light of recent theories. Experiments were performed with lead selenide and thallium arsenic selenide systems which are multifunctional material and have been used for detectors, acousto-optical, nonlinear and radiation detection applications. We observed that small units of nanocubes and elongated nanoparticles arrange and rearrange at moderate melt undercooling to form the building block of a nanowire. Since we avoided the catalyst, we observed self-nucleation and uncontrolled growth of wires from different places. Growth of lead selenide nanowires was performed by physical vapor transport method and thallium arsenic selenide nanowire by vapor-liquid-solid (VLS) method. In some cases very long wires (>mm) are formed. To achieve this goal experiments were performed to create situation where nanowires grew on the surface of solid thallium arsenic selenide itself.

  2. Different growth regimes in InP nanowire growth mediated by Ag nanoparticles.

    Science.gov (United States)

    Oliveira, D S; Zavarize, M; Tizei, L H G; Walls, M; Ospina, C A; Iikawa, F; Ugarte, D; Cotta, M A

    2017-12-15

    We report on the existence of two different regimes in one-step Ag-seeded InP nanowire growth. The vapor-liquid-solid-mechanism is present at larger In precursor flows and temperatures, ∼500 °C, yielding high aspect ratio and pure wurtzite InP nanowires with a semi-spherical metal particle at the thin apex. Periodic diameter oscillations can be achieved under extreme In supersaturations at this temperature range, showing the presence of a liquid catalyst. However, under lower temperatures and In precursor flows, large diameter InP nanowires with mixed wurtzite/zincblende segments are obtained, similarly to In-assisted growth. Chemical composition analysis suggest that In-rich droplet formation is catalyzed at the substrate surface via Ag nanoparticles; this process might be facilitated by the sulfur contamination detected in these nanoparticles. Furthermore, part of the original Ag nanoparticle remains solid and is embedded inside the actual catalyst, providing an in situ method to switch growth mechanisms upon changing In precursor flow. Nevertheless, our Ag-seeded InP nanowires exhibit overall optical emission spectra consistent with the observed structural properties and similar to Au-catalyzed InP nanowires. We thus show that Ag nanoparticles may be a suitable replacement for Au in InP nanowire growth.

  3. Selective-area vapour-liquid-solid growth of InP nanowires

    International Nuclear Information System (INIS)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J

    2009-01-01

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO 2 mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO 2 mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  4. Selective-area vapour-liquid-solid growth of InP nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J, E-mail: dan.dalacu@nrc-cnrc.gc.c [Institute for Microstructural Sciences, National Research Council of Canada, Ottawa, K1A 0R6 (Canada)

    2009-09-30

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO{sub 2} mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO{sub 2} mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  5. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    Science.gov (United States)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  6. UV irradiation assisted growth of ZnO nanowires on optical fiber surface

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Bo; Shi, Tielin; Liao, Guanglan; Li, Xiaoping; Huang, Jie; Zhou, Temgyuan; Tang, Zirong, E-mail: zirong@mail.hust.edu.cn

    2017-06-01

    Highlights: • A new fabrication process combined a hydrothermal process with UV irradiation from optical fiber is developed. • The growth of ZnO nanowires is efficient in the utilization of UV light. • A novel hybrid structure which integrates ZnO nanowires on optical fiber surface is synthesized. • The UV assisted growth of ZnO nanowires shows preferred orientation and better quality. • A mechanism of growing ZnO nanowires under UV irradiation is proposed. - Abstract: In this paper, a novel approach was developed for the enhanced growth of ZnO nanowires on optical fiber surface. The method combined a hydrothermal process with the efficient UV irradiation from the fiber core, and the effects of UV irradiation on the growth behavior of ZnO nanowires were investigated. The results show that UV irradiation had great effects on the preferred growth orientation and the quality of the ZnO nanowires. The crystallization velocity along the c-axis would increase rapidly with the increase of the irradiation power, while the growth process in the lateral direction was marginally affected by the irradiation. The structure of ZnO nanowires also shows less oxygen vacancy with UV irradiation of higher power. The developed approach is applicable for the efficient growth of nanowires on the fiber surface, and the ZnO nanowires/optical fiber hybrid structures have great potentials for a wide variety of applications such as optical fiber sensors and probes.

  7. Growth mechanism of silver nanowires synthesized by polyvinylpyrrolidone-assisted polyol reduction

    International Nuclear Information System (INIS)

    Gao Yan; Jiang Peng; Song Li; Liu Lifeng; Yan Xiaoqin; Zhou Zhenping; Liu Dongfang; Wang Jianxiong; Yuan Huajun; Zhang Zengxing; Zhao Xiaowei; Dou Xinyuan; Zhou Weiya; Wang Gang; Xie Sishen

    2005-01-01

    Silver (Ag) nanowires with a pentagonal cross section have been synthesized by polyvinylpyrrolidone (PVP)-assisted polyol reduction in the presence of Pt nanoparticle seeds. The UV-visible absorption spectra and scanning electron microscopy have been used to trace the growth process of the Ag nanowires. X-ray photoelectron spectroscopy investigation further shows that the PVP molecules are adsorbed on the surface of the Ag nanowires through Ag : O coordination. Comparing with the growth process of Ag nanoparticles, a possible growth mechanism of the Ag nanowires has been proposed. It is implied that the PVP molecules are used as both a protecting agent and a structure-directing agent for the growth of Ag nanowires. It is concluded that the five-fold twinning Ag nanoparticles are formed through heterogenous nucleation after the introduction of Pt nanoparticle seeds and then grow anisotropically along the (110) direction, while the growth along (100) is relatively depressed

  8. On-chip microplasma reactors using carbon nanofibres and tungsten oxide nanowires as electrodes

    NARCIS (Netherlands)

    Agiral, A.; Groenland, A.W.; Chinthaginjala, J.K.; Kumar Chinthaginjala, J.; Seshan, Kulathuiyer; Lefferts, Leonardus; Gardeniers, Johannes G.E.

    2008-01-01

    Carbon nanofibres (CNFs) and tungsten oxide (W18O49) nanowires have been incorporated into a continuous flow type microplasma reactor to increase the reactivity and efficiency of the barrier discharge at atmospheric pressure. CNFs and tungsten oxide nanowires were characterized by high-resolution

  9. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    Science.gov (United States)

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  10. Growth and applicability of radiation-responsive silica nanowires

    Science.gov (United States)

    Bettge, Martin

    Surface energetics play an important role in processes on the nanoscale. Nanowire growth via vapor-liquid-solid (VLS) mechanism is no exception in this regard. Interfacial and line energies are found to impose some fundamental limits during three-phase nanowire growth and lead to formation of stranded nanowires with fascinating characteristics such as high responsiveness towards ion irradiation. By using two materials with a relatively low surface energy (indium and silicon oxide) this is experimentally and theoretically demonstrated in this doctoral thesis. The augmentation of VLS nanowire growth with ion bombardment enables fabrication of vertically aligned silica nanowires over large areas. Synthesis of their arrays begins with a thin indium film deposited on a Si or SiO 2 surface. At temperatures below 200ºC, the indium film becomes a self-organized seed layer of molten droplets, receiving a flux of atomic silicon by DC magnetron sputtering. Simultaneous vigorous ion bombardment through substrate biasing aligns the growing nanowires vertically and expedites mixing of oxygen and silicon into the indium. The vertical growth rate can reach up to 1000 nm-min-1 in an environment containing only argon and traces of water vapor. Silicon oxide precipitates from each indium seed in the form of multiple thin strands having diameters less than 9 nm and practically independent of droplet size. The strands form a single loose bundle, eventually consolidating to form one vertically aligned nanowire. These observations are in stark contrast to conventional VLS growth in which one liquid droplet precipitates a single solid nanowire and in which the precipitated wire diameter is directly proportional to the droplet diameter. The origin of these differences is revealed through a detailed force balance analysis, analogous to Young's relation, at the three-phase line. The liquid-solid interfacial energy of indium/silica is found to be the largest energy contribution at the three

  11. Growth and Raman spectroscopy studies of gold-free catalyzed semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zardo, Ilaria

    2010-12-15

    The present Ph.D. thesis proposes two aims: the search for catalysts alternative to gold for the growth of silicon nanowires and the investigation of the structural properties of the gold-free catalyzed Si, Ge, and GaAs nanowires. The successful growth of gold free catalyzed silicon nanowires was obtained using Ga and In as catalyst. Hydrogen plasma conditions were needed during the growth process. We proposed a growth mechanism where the role of the hydrogen plasma is taken into account. The influence of the growth conditions on nanowire growth morphology and structural properties was investigated in detail. The TEM studies showed the occurrence of different kind of twin defects depending on the nanowire growth direction. The intersection of twins in different spatial directions in <111>-oriented nanowires or the periodicity of highly dense twins in <112>-oriented nanowires leads to the formation of hexagonal domains embedded in the diamond silicon structure. A simple crystallographic model which illustrates the formation of the hexagonal phase was proposed. The presence of the hexagonal domains embedded in the diamond silicon structure was investigated also by means of Raman spectroscopy. The measured frequencies of the E2g and A1g modes were found to be in agreement with frequencies expected from phonon dispersion folding. An estimation of the percentage of hexagonal structure with respect to the cubic structure was given. The relative percentage of the two structures was found to change with growth temperature. Spatially resolved Raman scattering experiments were also realized on single Si nanowires. The lattice dynamics of gold-free catalyzed Ge and GaAs nanowires was studied by means of Raman spectroscopy. We performed spatially resolved Raman spectroscopy experiments on single crystalline- amorphous core-shell Ge nanowires. The correlation with TEM studies on nanowires grown under the same conditions and with AFM measurements realized of the same nanowires

  12. Growth and photoluminescence of vertically aligned ZnO nanowires/nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Fang Fang; Zhao Dongxu; Li Binghui; Zhang Zhenzhong; Zhang Jiying; Shen Dezhen, E-mail: dxzhao2000@yahoo.com.c [Key Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone Changchun 130033 (China)

    2009-07-07

    By controlling the incoming gas flow, vertically aligned ZnO nanowires and nanowalls have been successfully synthesized on a Si (1 0 0) substrate by the simple physical vapour deposition method. The growth process of the ZnO nanowalls was observed by adjusting the growth time. The probable growth mechanisms of the ZnO nanowires and nanowalls were discussed in detail. In contrast to the photoluminescence results of nanowires, an enhancement of the LO phonon signal was observed in ZnO nanowalls, which was attributed to an additional channel of electron-phonon coupling induced by the residual strains in the ZnO nanowalls during the coalescence growth process.

  13. Understanding the vapor-liquid-solid growth and composition of ternary III-V nanowires and nanowire heterostructures

    Science.gov (United States)

    Dubrovskii, V. G.

    2017-11-01

    Based on the recent achievements in vapor-liquid-solid (VLS) synthesis, characterization and modeling of ternary III-V nanowires and axial heterostructures within such nanowires, we try to understand the major trends in their compositional evolution from a general theoretical perspective. Clearly, the VLS growth of ternary materials is much more complex than in standard vapor-solid epitaxy techniques, and even maintaining the necessary control over the composition of steady-state ternary nanowires is far from straightforward. On the other hand, VLS nanowires offer otherwise unattainable material combinations without introducing structural defects and hence are very promising for next-generation optoelectronic devices, in particular those integrated with a silicon electronic platform. In this review, we consider two main problems. First, we show how and by means of which parameters the steady-state composition of Au-catalyzed or self-catalyzed ternary III-V nanowires can be tuned to a desired value and why it is generally different from the vapor composition. Second, we present some experimental data and modeling results for the interfacial abruptness across axial nanowire heterostructures, both in Au-catalyzed and self-catalyzed VLS growth methods. Refined modeling allows us to formulate some general growth recipes for suppressing the unwanted reservoir effect in the droplet and sharpening the nanowire heterojunctions. We consider and refine two approaches developed to date, namely the regular crystallization model for a liquid alloy with a critical size of only one III-V pair at high supersaturations or classical binary nucleation theory with a macroscopic critical nucleus at modest supersaturations.

  14. Controlled growth of single nanowires within a supported alumina template

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Faniel, S.

    2006-01-01

    A simple technique for fabricating single nanowires with well-defined position is presented. The process implies the use of a silicon nitride mask for selective electrochemical growth of the nanowires in a porous alumina template. We show that this method allows the realization of complex nanowire...

  15. Growth and characterisation of group-III nitride-based nanowires for devices

    Energy Technology Data Exchange (ETDEWEB)

    Meijers, R J

    2007-08-30

    One of the main goals of this thesis was to get more insight into the mechanisms driving the growth of nitride nanowires by plasma-assisted molecular beam epitaxy (PA-MBE). The influence of the group-III and group-V flux as well as the substrate temperature T{sub sub} has been studied leading to the conclusion that the III-V ratio determines the growth mode. Ga desorption limits the temperature range to grow GaN nanowires and dissociation of InN is the limiting factor for InN nanowire growth. A reduction of the surface diffusivity on polar surfaces under N-rich conditions explains the anisotropic growth. Growth kinetics of the nanowires show that there are two important contributions to the growth. The first is growth by direct impingement and its contribution is independent of the nanowire diameter. The second contribution comes from atoms, which absorb on the substrate or wire sidewalls and diffuse along the sidewalls to the top of the wire, which acts as an effective sink for the adatoms due to a reduced surface mobility on the polar top of the wires. This diffusion channel, which is enhanced at higher T{sub sub}, becomes more significant for smaller wire diameters, because its contribution scales like 1/d. Experiments with an interruption of the growth and sharp interfaces in TEM images of heterostructures show that the suggestion in literature of a droplet-mediated PA-MBE nitride growth has to be discarded. Despite a thin amorphous silicon nitride wetting layer on the substrate surface, both GaN and InN nanowires grow in the wurtzite structure and epitaxially in a one-to-one relation to the Si(111) substrate surface. There is no evidence for cubic phases. TEM images and optical studies display a high crystalline and optical quality of GaN and InN nanowires. The substrate induces some strain in the bottom part of the nanowires, especially in InN due to the lower T{sub sub} than for GaN, which is released without the formation of dislocations. Only some stacking

  16. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  17. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  18. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  19. Linearly polarized emission from an embedded quantum dot using nanowire morphology control.

    Science.gov (United States)

    Foster, Andrew P; Bradley, John P; Gardner, Kirsty; Krysa, Andrey B; Royall, Ben; Skolnick, Maurice S; Wilson, Luke R

    2015-03-11

    GaAs nanowires with elongated cross sections are formed using a catalyst-free growth technique. This is achieved by patterning elongated nanoscale openings within a silicon dioxide growth mask on a (111)B GaAs substrate. It is observed that MOVPE-grown vertical nanowires with cross section elongated in the [21̅1̅] and [1̅12] directions remain faithful to the geometry of the openings. An InGaAs quantum dot with weak radial confinement is realized within each nanowire by briefly introducing indium into the reactor during nanowire growth. Photoluminescence emission from an embedded nanowire quantum dot is strongly linearly polarized (typically >90%) with the polarization direction coincident with the axis of elongation. Linearly polarized PL emission is a result of embedding the quantum dot in an anisotropic nanowire structure that supports a single strongly confined, linearly polarized optical mode. This research provides a route to the bottom-up growth of linearly polarized single photon sources of interest for quantum information applications.

  20. Synthesis and Growth Mechanism of Ni Nanotubes and Nanowires

    Directory of Open Access Journals (Sweden)

    Wang Yiqian

    2009-01-01

    Full Text Available Abstract Highly ordered Ni nanotube and nanowire arrays were fabricated via electrodeposition. The Ni microstructures and the process of the formation were investigated using conventional and high-resolution transmission electron microscope. Herein, we demonstrated the systematic fabrication of Ni nanotube and nanowire arrays and proposed an original growth mechanism. With the different deposition time, nanotubes or nanowires can be obtained. Tubular nanostructures can be obtained at short time, while nanowires take longer time to form. This formation mechanism is applicable to design and synthesize other metal nanostructures and even compound nanostuctures via template-based electrodeposition.

  1. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  2. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  3. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  4. Growth and properties of low-dimensional III-V semiconductor nanowire heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Heiss, Martin

    2010-08-25

    In this work the properties of GaAs nanowire based heterostructures are investigated. The nanowires and their heterostructures are synthesized with Molecular Beam Epitaxy. The optical and structural properties are characterized by means of low temperature confocal micro-photoluminescence spectroscopy and Transmission Electron Microscopy. Molecular Beam Epitaxy is a versatile technique that allows to switch from radial to axial growth in order to cap the nanowires by an epitaxial prismatic AlGaAs/GaAs heterostructure. This can passivate surface states and improve the optical properties. The effect of such a passivation layer is studied by quantitative comparison of the diameter dependence of photoluminescence in passivated and unpassivated nanowires. The passivation is an important prerequisite for more complex axial heterostructures. Evidence for radial confinement effects is found in passivated nanowires with core diameters smaller than 70 nm. Furthermore, the polarization dependence of light absorption and emission is investigated. Two different types of axial heterostructures are studied that have the potential to further enhance the functionality of such nanowires. In a first step, the possibility of growth of axial InGaAs heterostructure in the Au-free Molecular Beam Epitaxy growth regime is investigated. Suitable growth conditions are identified and the growth temperature window for both GaAs and InGaAs nanowires is determined. At the optimum growth temperature for GaAs nanowires, the incorporation of indium in the structure is limited to a few percent. It is shown that by lowering the growth temperature the indium concentration in the structure can be increased up to 20%. The optical properties of the synthesized axial heterostructures are investigated by means of micro-photoluminescence spectroscopy and Transmission Electron Microscopy. The second type of axial nanowire heterostructure investigated in the present work is characterized by a change in crystal

  5. Effects of Be doping on InP nanowire growth mechanisms

    Energy Technology Data Exchange (ETDEWEB)

    Yee, R. J.; Gibson, S. J.; LaPierre, R. R. [Department of Engineering Physics, Centre for Emerging Device Technologies, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Dubrovskii, V. G. [St. Petersburg Academic University, Khlopina 8/3, 194021 St. Petersburg (Russian Federation); Ioffe Physical Technical Institute RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2012-12-24

    Be-doped InP nanowires were grown by the gold-assisted vapour-liquid-solid mechanism in a gas source molecular beam epitaxy system. The InP nanowire length versus diameter [L(D)] dependence revealed an unexpected transition with increasing Be dopant concentration. At Be dopant concentration below {approx}10{sup 18} cm{sup -3}, nanowires exhibited the usual inverse L(D) relationship, indicating a diffusion-limited growth regime. However, as dopant concentration increased, the nanowire growth rate was suppressed for small diameters, resulting in an unusual L(D) dependence that increased before saturating in height at about 400 nm. The cause of this may be a change in the droplet chemical potential, introducing a barrier to island nucleation. We propose a model accounting for the limitations of diffusion length and monolayer nucleation to explain this behaviour.

  6. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  7. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  8. Nanowire Growth for Photovoltaics

    DEFF Research Database (Denmark)

    Holm, Jeppe Vilstrup

    Solar cells commercial success is based on an efficiency/cost calculation. Nanowire solar cells is one of the foremost candidates to implement third generation photo voltaics, which are both very efficient and cheap to produce. This thesis is about our progress towards commercial nanowire solar...... cells. Resonance effects between the light and nanowire causes an inherent concentration of the sunlight into the nanowires, and means that a sparse array of nanowires (less than 5% of the area) can absorb all the incoming light. The resonance effects, as well as a graded index of refraction, also traps...... the light. The concentration and light trapping means that single junction nanowire solar cells have a higher theoretical maximum efficiency than equivalent planar solar cells. We have demonstrated the built-in light concentration of nanowires, by growing, contacting and characterizing a solar cell...

  9. Diameter- and current-density-dependent growth orientation of hexagonal CdSe nanowire arrays via electrodeposition

    International Nuclear Information System (INIS)

    Sun Hongyu; Li Xiaohong; Chen Yan; Guo Defeng; Xie Yanwu; Li Wei; Zhang Xiangyi; Liu Baoting

    2009-01-01

    Controlling the growth orientation of semiconductor nanowire arrays is of vital importance for their applications in the fields of nanodevices. In the present work, hexagonal CdSe nanowire arrays with various preferential growth orientations have been successfully yielded by employing the electrodeposition technique using porous alumina as templates (PATs). We demonstrate by experimental and theoretical efforts that the growth orientation of the CdSe nanowires can be effectively manipulated by varying either the nanopore diameter of the PATs or the deposited current density, which has significant effects on the optical properties of the CdSe nanowires. The present study provides an alternative approach to tuning the growth direction of electrodeposited nanowires and thus is of importance for the fabrication of nanodevices with controlled functional properties.

  10. Diameter- and current-density-dependent growth orientation of hexagonal CdSe nanowire arrays via electrodeposition

    Energy Technology Data Exchange (ETDEWEB)

    Sun Hongyu; Li Xiaohong; Chen Yan; Guo Defeng; Xie Yanwu; Li Wei; Zhang Xiangyi [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China); Liu Baoting, E-mail: xyzh66@ysu.edu.c [College of Physics Science and Technology, Hebei University, Baoding 071002 (China)

    2009-10-21

    Controlling the growth orientation of semiconductor nanowire arrays is of vital importance for their applications in the fields of nanodevices. In the present work, hexagonal CdSe nanowire arrays with various preferential growth orientations have been successfully yielded by employing the electrodeposition technique using porous alumina as templates (PATs). We demonstrate by experimental and theoretical efforts that the growth orientation of the CdSe nanowires can be effectively manipulated by varying either the nanopore diameter of the PATs or the deposited current density, which has significant effects on the optical properties of the CdSe nanowires. The present study provides an alternative approach to tuning the growth direction of electrodeposited nanowires and thus is of importance for the fabrication of nanodevices with controlled functional properties.

  11. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  12. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  13. Phase-field model of vapor-liquid-solid nanowire growth

    Science.gov (United States)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  14. A simple photolytic reactor employing Ag-doped ZnO nanowires for water purification

    Energy Technology Data Exchange (ETDEWEB)

    Udom, Innocent; Zhang, Yangyang [Clean Energy Research Center, College of Engineering, University of South Florida, Tampa, FL 33620 (United States); Ram, Manoj K., E-mail: mkram@usf.edu [Clean Energy Research Center, College of Engineering, University of South Florida, Tampa, FL 33620 (United States); Stefanakos, Elias K. [Clean Energy Research Center, College of Engineering, University of South Florida, Tampa, FL 33620 (United States); Hepp, Aloysius F. [Department of Chemical and Biomedical Engineering, University of South Florida, Tampa, Fl 33620 (United States); Elzein, Radwan; Schlaf, Rudy [Department of Electrical Engineering, University of South Florida, Tampa, Fl 33620 (United States); Goswami, D. Yogi [NASA Glenn Research Center, Research and Technology Directorate, MS 302-1, 21000 Brookpark Road, Cleveland, OH 44135 (United States)

    2014-08-01

    Well-aligned native zinc oxide (ZnO) and silver-doped ZnO (Ag-ZnO) films were deposited on borosilicate glass via a simple, low-cost, low-temperature, scalable hydrothermal process. The as-synthesized ZnO and Ag-ZnO films were characterized by X-ray diffraction; scanning electron microscopy, UV–visible spectroscopy, and Fourier transform infrared spectroscopy. A simple photolytic reactor was fabricated and later used to find the optimum experimental conditions for photocatalytic performance. The photodegradation of methyl orange in water was investigated using as-prepared ZnO and Ag-ZnO nanowires, and was compared to P25 (a commercial photocatalyst) in both visible and UV radiations. The P25 and Ag-ZnO showed a similar photodegradation performance under UV light, but Ag-ZnO demonstrated superior photocatalytic activity under visible irradiation. The optimized doping of Ag in Ag-ZnO enhanced photocatalytic activity in a simple reactor design and indicated potential applicability of Ag-ZnO for large-scale purification of water under solar irradiation. - Highlights: • Well-aligned zinc oxide (ZnO) and silver-doped ZnO (Ag-ZnO) nanowires were developed. • Simple and effective photolytic reactor was fabricated for water purification. • Ag-ZnO demonstrated superior photocatalytic activity under visible irradiation. • Amount of Ag atoms in Ag-ZnO nanowires is a key to increase photocatalytic activity.

  15. A simple photolytic reactor employing Ag-doped ZnO nanowires for water purification

    International Nuclear Information System (INIS)

    Udom, Innocent; Zhang, Yangyang; Ram, Manoj K.; Stefanakos, Elias K.; Hepp, Aloysius F.; Elzein, Radwan; Schlaf, Rudy; Goswami, D. Yogi

    2014-01-01

    Well-aligned native zinc oxide (ZnO) and silver-doped ZnO (Ag-ZnO) films were deposited on borosilicate glass via a simple, low-cost, low-temperature, scalable hydrothermal process. The as-synthesized ZnO and Ag-ZnO films were characterized by X-ray diffraction; scanning electron microscopy, UV–visible spectroscopy, and Fourier transform infrared spectroscopy. A simple photolytic reactor was fabricated and later used to find the optimum experimental conditions for photocatalytic performance. The photodegradation of methyl orange in water was investigated using as-prepared ZnO and Ag-ZnO nanowires, and was compared to P25 (a commercial photocatalyst) in both visible and UV radiations. The P25 and Ag-ZnO showed a similar photodegradation performance under UV light, but Ag-ZnO demonstrated superior photocatalytic activity under visible irradiation. The optimized doping of Ag in Ag-ZnO enhanced photocatalytic activity in a simple reactor design and indicated potential applicability of Ag-ZnO for large-scale purification of water under solar irradiation. - Highlights: • Well-aligned zinc oxide (ZnO) and silver-doped ZnO (Ag-ZnO) nanowires were developed. • Simple and effective photolytic reactor was fabricated for water purification. • Ag-ZnO demonstrated superior photocatalytic activity under visible irradiation. • Amount of Ag atoms in Ag-ZnO nanowires is a key to increase photocatalytic activity

  16. Growth and luminescence characterization of large-scale zinc oxide nanowires

    CERN Document Server

    Dai, L; Wang, W J; Zhou, T; Hu, B Q

    2003-01-01

    Large-scale zinc oxide (ZnO) nanowires were grown via a simple chemical reaction involving water vapour. Electron microscopy observations reveal that the ZnO nanowires are single crystalline and grow along the c-axis ([001]) direction. Room temperature photoluminescence measurements show a striking blue emission at 466 nm along with two other emissions in the ultraviolet and yellow regions. Annealing treatment of the as-grown ZnO nanowires results in an apparent reduction of the intensity of the blue emission, which indicates that the blue emission might be originating from the oxygen or zinc defects generated in the process of growth of the ZnO nanowires.

  17. On-chip microplasma reactors using carbon nanofibres and tungsten oxide nanowires as electrodes

    International Nuclear Information System (INIS)

    Agiral, Anil; Groenland, Alfons W; Han Gardeniers, J G E; Chinthaginjala, J Kumar; Seshan, K; Lefferts, Leon

    2008-01-01

    Carbon nanofibres (CNFs) and tungsten oxide (W 18 O 49 ) nanowires have been incorporated into a continuous flow type microplasma reactor to increase the reactivity and efficiency of the barrier discharge at atmospheric pressure. CNFs and tungsten oxide nanowires were characterized by high-resolution scanning electron microscopy, transmission electron microscopy and nanodiffraction methods. Field emission of electrons from those nanostructures supplies free electrons and ions during microplasma production. Reduction in breakdown voltage, higher number of microdischarges and higher energy deposition were observed at the same applied voltage when compared with plane electrodes at atmospheric pressure in air. Rate coefficients of electron impact reaction channels to decompose CO 2 were calculated and it was shown that CO 2 consumption increased using CNFs compared with plane electrode in the microplasma reactor.

  18. Growth of InAs/InP core-shell nanowires with various pure crystal structures.

    Science.gov (United States)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Wernersson, Lars-Erik; Lehmann, Sebastian; Dick, Kimberly A

    2012-07-20

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal-organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420-460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures.

  19. Growth of InAs/InP core–shell nanowires with various pure crystal structures

    International Nuclear Information System (INIS)

    Gorji Ghalamestani, Sepideh; Heurlin, Magnus; Lehmann, Sebastian; Dick, Kimberly A; Wernersson, Lars-Erik

    2012-01-01

    We have studied the epitaxial growth of an InP shell on various pure InAs core nanowire crystal structures by metal–organic vapor phase epitaxy. The InP shell is grown on wurtzite (WZ), zinc-blende (ZB), and {111}- and {110}-type faceted ZB twin-plane superlattice (TSL) structures by tuning the InP shell growth parameters and controlling the shell thickness. The growth results, particularly on the WZ nanowires, show that homogeneous InP shell growth is promoted at relatively high temperatures (∼500 °C), but that the InAs nanowires decompose under the applied conditions. In order to protect the InAs core nanowires from decomposition, a short protective InP segment is first grown axially at lower temperatures (420–460 °C), before commencing the radial growth at a higher temperature. Further studies revealed that the InP radial growth rate is significantly higher on the ZB and TSL nanowires compared to WZ counterparts, and shows a strong anisotropy in polar directions. As a result, thin shells were obtained during low temperature InP growth on ZB structures, while a higher temperature was used to obtain uniform thick shells. In addition, a schematic growth model is suggested to explain the basic processes occurring during the shell growth on the TSL crystal structures. (paper)

  20. Hydrothermal growth of titania nanowires for SAW device sensing area

    Directory of Open Access Journals (Sweden)

    Zakaria Mohd Rosydi

    2017-01-01

    Full Text Available Synthesis of titania or titanium dioxide (TiO2 is attracted to energy and environmental applications. Here, the growth of nanostructure TiO2 nanowires on Si (100 substrates by using the two-step method. Different seed layers of TiO2 were deposited by spin coating and annealing, followed by the growth of TiO2 nanowires by using the hydrothermal method. The sol-gel technique was used in preparing the TiO2 solution for the thin film deposition purpose. Acetic acid, hydrochloric acid and tris (2-aminoethyl amine were used as a stabilizer to synthesize three different TiO2 seed layers. The aim of this study was to understand the role of polycrystalline size on thin film towards the diameter of nanowires grown as a sensing area in Surface Acoustic Wave (SAW Biosensor. The morphology and structure of the thin film and TiO2 nanowires were characterized using X-Ray diffraction (XRD, scanning electron microscope (SEM, field emission scanning electron microscope (FESEM and atomic force microscopy (AFM.

  1. Growth Mechanism Studies of ZnO Nanowires: Experimental Observations and Short-Circuit Diffusion Analysis.

    Science.gov (United States)

    Shih, Po-Hsun; Wu, Sheng Yun

    2017-07-21

    Plenty of studies have been performed to probe the diverse properties of ZnO nanowires, but only a few have focused on the physical properties of a single nanowire since analyzing the growth mechanism along a single nanowire is difficult. In this study, a single ZnO nanowire was synthesized using a Ti-assisted chemical vapor deposition (CVD) method to avoid the appearance of catalytic contamination. Two-dimensional energy dispersive spectroscopy (EDS) mapping with a diffusion model was used to obtain the diffusion length and the activation energy ratio. The ratio value is close to 0.3, revealing that the growth of ZnO nanowires was attributed to the short-circuit diffusion.

  2. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  3. Ledge-flow-controlled catalyst interface dynamics during Si nanowire growth

    DEFF Research Database (Denmark)

    Hofmann, S; Sharma, R; Wirth, CT

    2008-01-01

    understanding of the role of commonly used catalysts and specifically of their interface dynamics1, 2. Although catalytic chemical vapour deposition of nanowires below the eutectic temperature has been demonstrated in many semiconductor–catalyst systems3, 4, 5, 6, growth from solid catalysts is still disputed...... as a comparative benchmark. The dominant coherent Pd silicide/Si growth interface subsequently advances by lateral propagation of ledges, driven by catalytic dissociation of disilane and coupled Pd and Si diffusion. Our results establish an atomistic framework for nanowire assembly from solid catalysts, relevant...

  4. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  5. In situ TEM observation of the growth and decomposition of monoclinic W18O49 nanowires

    International Nuclear Information System (INIS)

    Chen, C L; Mori, H

    2009-01-01

    The growth of monoclinic W 18 O 49 nanowires by heat treatment of a tungsten filament at ∼873 K and the decomposition of these nanowires under 200 keV electron irradiation at ∼1023 K have been investigated using in situ transmission electron microscopy (TEM). In situ TEM observation of the growth confirmed the vapor-solid growth mechanism of the monoclinic W 18 O 49 nanowires. In situ irradiation experiments revealed the formation of metallic bcc tungsten from monoclinic W 18 O 49 nanowires under 200 keV electron irradiation.

  6. Direct observation of nanowire growth and decomposition

    DEFF Research Database (Denmark)

    Rackauskas, Simas; Shandakov, Sergey D; Jiang, Hua

    2017-01-01

    knowledge, so far this has been only postulated, but never observed at the atomic level. By means of in situ environmental transmission electron microscopy we monitored and examined the atomic layer transformation at the conditions of the crystal growth and its decomposition using CuO nanowires selected...

  7. Growth and characterization of InGaAs based nanowire-heterostructures

    International Nuclear Information System (INIS)

    Treu, Julian Pascal

    2017-01-01

    In this thesis we investigate III-V semiconductor nanowires integrated on silicon. Focusing on InGaAs-based heterostructures, we use molecular beam epitaxy (MBE) to obtain high purity material without the use of foreign metal catalysts such as gold. Instead of catalystassisted growth we use selective-area growth using prepatterned SiO 2 /Si(111) substrates prepared by improved nanoimprint lithography, resulting in highly periodic large scale arrays (1 x 1 cm 2 ) of vertically aligned nanowires with hexagonal cross-section. Studying the influence of the main growth parameter substrate temperature, arsenic- and III-material flux we systematically optimize yield and aspect ratio of InAs nanowires for different spacings. Capitalizing on the superior morphological homogeneity of arrays with more than 90% yield, we study their use as efficient surface emitters in the Terahertz regime and find excellent performance, clearly outperforming state-of the art bulk material, when taking the surface coverage into account. Furthermore, we explore nanowires with strongly reduced diameter, where adapted growth conditions result in dimensions as small as 20 nm, well within a quantum confined regime. Starting from optimized high-temperature InAs growth, we further investigate incorporation of gallium for composition tuned ternary InGaAs structures. Delineating the optimized growth parameter space we are able to address nearly the entire compositional range up to more than 80% Ga. Correlating X-ray diffraction, transmission electron microscopy (TEM) and micro-photoluminescence spectroscopy, we find a characteristic transition in crystal structure from wurtzite to zincblende dominated phase for intermediate Ga-content, a regime with luminescence mainly limited by compositional inhomogeneities, while structural defects prevail according linewidths of In- and Ga-rich samples. Furthermore, this successfully demonstrates position-controlled integration of InGaAs nanowires with composition

  8. Dynamical theory and experiments on GaAs nanowire growth for photovoltaic applications

    DEFF Research Database (Denmark)

    Krogstrup, Peter

    process is described in terms of a dynamic liquid-solid growth system which continuously seeks to lower the excess Gibbs free energy originating from the adatoms and gas states. Nucleation statistics and the nucleation limited growth at the topfacet which force the solid-liquid growth system far from......The geometry of nanowire solar cells provides many potential advantages compared to planar solar cells, such as reduced reflection, built-in light concentration due to absorption resonances, improved band gap tuning for multi-junction devices and an increased defect tolerance. Moreover, the use...... of nanowires reduces the quantity of material necessary to approach the limits of light to electric power conversion efficiency, allowing for substantial cost reductions if they are grown on a cheap substrate. However, it is far from straightforward to achieve optimum design of bottom up grown nanowire solar...

  9. Effect of growth temperature on photoluminescence and piezoelectric characteristics of ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Water, Walter [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Fang, T.-H. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China); Institute of Mechanical and Electromechanical Engineering, National Formosa University, Yunlin 632, Taiwan (China)], E-mail: fang.tehua@msa.hinet.net; Ji, L.-W.; Lee, C.-C. [Institute of Electro-Optical and Materials Science, National Formosa University, Yunlin 632, Taiwan (China)

    2009-02-25

    ZnO nanowire arrays were synthesized on Au-coated silicon (1 0 0) substrates by using vapour-liquid-solid process in this work. The effect of growth temperatures on the crystal structure and the surface morphology of ZnO nanowires were investigated by X-ray diffraction and scanning electron microscope. The absorption and optical characteristics of the nanowires were examined by Ultraviolet/Visible spectroscopy, and photoluminescence, respectively. The photoluminescence results exhibited ZnO nanowires had an ultraviolet and blue emission at 383 and 492 nm. Then a nanogenerator with ZnO nanowire arrays was fabricated and demonstrated Schottky-like current-voltage characteristics.

  10. In Situ Study of Noncatalytic Metal Oxide Nanowire Growth

    DEFF Research Database (Denmark)

    Rackauskas, Simas; Jiang, Hua; Wagner, Jakob Birkedal

    2014-01-01

    a catalyst is still widely disputed and unclear. Here, we show that the nanowire growth during metal oxidation is limited by a nucleation of a new layer. On the basis of in situ transmission electron microscope investigations we found that the growth occurs layer by layer at the lowest specific surface...

  11. Size-Induced Switching of Nanowire Growth Direction: a New Approach Toward Kinked Nanostructures

    KAUST Repository

    Shen, Youde

    2016-04-26

    Exploring self-assembled nanostructures with controllable architectures has been a central theme in nanoscience and nanotechnology because of the tantalizing perspective of directly integrating such bottom-up nanostructures into functional devices. Here, the growth of kinked single-crystal In2O3 nanostructures consisting of a nanocone base and a nanowire tip with an epitaxial and defect-free transition is demonstrated for the first time. By tailoring the growth conditions, a reliable switching of the growth direction from [111] to [110] or [112] is observed when the Au catalyst nanoparticles at the apexes of the nanocones shrink below ≈100 nm. The natural formation of kinked nanoarchitectures at constant growth pressures is related to the size-dependent free energy that changes for different orientations of the nanowires. The results suggest that the mechanism of forming such kinked nanocone-nanowire nanostructures in well-controlled growth environment may be universal for a wide range of functional materials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Size-Induced Switching of Nanowire Growth Direction: a New Approach Toward Kinked Nanostructures

    KAUST Repository

    Shen, Youde; Lebedev, Oleg I.; Turner, Stuart; Van Tendeloo, Gustaaf; Song, Xiaohui; Yu, Xuechao; Wang, Qijie; Chen, Hongyu; Dayeh, Shadi A.; Wu, Tao

    2016-01-01

    Exploring self-assembled nanostructures with controllable architectures has been a central theme in nanoscience and nanotechnology because of the tantalizing perspective of directly integrating such bottom-up nanostructures into functional devices. Here, the growth of kinked single-crystal In2O3 nanostructures consisting of a nanocone base and a nanowire tip with an epitaxial and defect-free transition is demonstrated for the first time. By tailoring the growth conditions, a reliable switching of the growth direction from [111] to [110] or [112] is observed when the Au catalyst nanoparticles at the apexes of the nanocones shrink below ≈100 nm. The natural formation of kinked nanoarchitectures at constant growth pressures is related to the size-dependent free energy that changes for different orientations of the nanowires. The results suggest that the mechanism of forming such kinked nanocone-nanowire nanostructures in well-controlled growth environment may be universal for a wide range of functional materials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Growth of antimony doped P-type zinc oxide nanowires for optoelectronics

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhong Lin; Pradel, Ken

    2016-09-27

    In a method of growing p-type nanowires, a nanowire growth solution of zinc nitrate (Zn(NO.sub.3).sub.2), hexamethylenetetramine (HMTA) and polyethylenemine (800 M.sub.w PEI) is prepared. A dopant solution to the growth solution, the dopant solution including an equal molar ration of sodium hydroxide (NaOH), glycolic acid (C.sub.2H.sub.4O.sub.3) and antimony acetate (Sb(CH.sub.3COO).sub.3) in water is prepared. The dopant solution and the growth solution combine to generate a resulting solution that includes antimony to zinc in a ratio of between 0.2% molar to 2.0% molar, the resulting solution having a top surface. An ammonia solution is added to the resulting solution. A ZnO seed layer is applied to a substrate and the substrate is placed into the top surface of the resulting solution with the ZnO seed layer facing downwardly for a predetermined time until Sb-doped ZnO nanowires having a length of at least 5 .mu.m have grown from the ZnO seed layer.

  14. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Demes, Thomas [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Ternon, Céline, E-mail: celine.ternon@grenoble-inp.fr [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, LTM, F-38000 Grenoble (France); Morisot, Fanny [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Riassetto, David [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Legallais, Maxime [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Roussel, Hervé; Langlet, Michel [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France)

    2017-07-15

    Highlights: • ZnO nanowires are grown on sol-gel ZnO seed layers by hydrothermal synthesis. • Ultra-thin and high aspect ratio nanowires are obtained without using additives. • Nanowire diameter is 20–25 nm regardless of growth time and seed morphology. • A nanowire growth model is developed on the basis of thermodynamic considerations. • The nanowires are intended for integration into electrically conductive nanonets. - Abstract: Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20–25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20–25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  15. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  16. Low temperature and self catalytic growth of ultrafine ITO nanowires by electron beam evaporation method and their optical and electrical properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Rao, K. Narasimha; Rajanna, K.; Phani, A.R.

    2014-01-01

    Highlights: • ITO nanowires were grown by e-beam evaporation method. • ITO nanowires growth done at low substrate temperature of 350 °C. • Nanowires growth was carried out without use of catalyst and reactive oxygen gas. • Nanowires growth proceeds via self catalytic VLS growth. • Grown nanowires have diameter 10–20 nm and length 1–4 μm long. • ITO nanowire films have shown good antireflection property. - Abstract: We report the self catalytic growth of Sn-doped indium oxide (ITO) nanowires (NWs) over a large area glass and silicon substrates by electron beam evaporation method at low substrate temperatures of 250–400 °C. The ITO NWs growth was carried out without using an additional reactive oxygen gas and a metal catalyst particle. Ultrafine diameter (∼10–15 nm) and micron long ITO NWs growth was observed in a temperature window of 300–400 °C. Transmission electron microscope studies confirmed single crystalline nature of the NWs and energy dispersive spectroscopy studies on the NWs confirmed that the NWs growth proceeds via self catalytic vapor-liquid-solid (VLS) growth mechanism. ITO nanowire films grown on glass substrates at a substrate temperature of 300–400 °C have shown ∼2–6% reflection and ∼70–85% transmission in the visible region. Effect of deposition parameters was systematically investigated. The large area growth of ITO nanowire films would find potential applications in the optoelectronic devices

  17. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  18. Theoretical analysis of the axial growth of nanowires starting with a binary eutectic droplet via vapor-liquid-solid mechanism

    Science.gov (United States)

    Liu, Qing; Li, Hejun; Zhang, Yulei; Zhao, Zhigang

    2018-06-01

    A series of theoretical analysis is carried out for the axial vapor-liquid-solid (VLS) growth of nanowires starting with a binary eutectic droplet. The growth model considering the entire process of axial VLS growth is a development of the approaches already developed by previous studies. In this model, the steady and unsteady state growth are considered both. The amount of solute species in a variable liquid droplet, the nanowire length, radius, growth rate and all other parameters during the entire axial growth process are treated as functions of growth time. The model provides theoretical predictions for the formation of nanowire shape, the length-radius and growth rate-radius dependences. It is also suggested by the model that the initial growth of single nanowire is significantly affected by Gibbs-Thompson effect due to the shape change. The model was applied on predictions of available experimental data of Si and Ge nanowires grown from Au-Si and Au-Ge systems respectively reported by other works. The calculations with the proposed model are in satisfactory agreement with the experimental results of the previous works.

  19. VLS growth of alternating InAsP/InP heterostructure nanowires for multiple-quantum-dot structures.

    Science.gov (United States)

    Tateno, Kouta; Zhang, Guoqiang; Gotoh, Hideki; Sogawa, Tetsuomi

    2012-06-13

    We investigated the Au-assisted growth of alternating InAsP/InP heterostructures in wurtzite InP nanowires on InP(111)B substrates for constructing multiple-quantum-dot structures. Vertical InP nanowires without stacking faults were obtained at a high PH(3)/TMIn mole flow ratio of 300-1000. We found that the growth rate changed largely when approximately 40 min passed. Ten InAsP layers were inserted in the InP nanowire, and it was found that both the InP growth rate and the background As level increased after the As supply. We also grew the same structure using TBAs/TBP and could reduce the As level in the InP segments. A simulation using a finite-difference time-domain method suggests that the nanowire growth was dominated by the diffusion of the reaction species with long residence time on the surface. For TBAs/TBP, when the source gases were changed, the formed surface species showed a short diffusion length so as to reduce the As background after the InAsP growth.

  20. Selective growth of silica nanowires using an Au catalyst for optical recognition of interleukin-10

    Energy Technology Data Exchange (ETDEWEB)

    Sekhar, Praveen K; Ramgir, Niranjan S; Joshi, Rakesh K; Bhansali, Shekhar [Bio-MEMS and Microfabrication Laboratory, Department of Electrical Engineering, University of South Florida, 4202 E Fowler Avenue, ENB 118, Tampa, FL 33620 (United States)], E-mail: bhansali@eng.usf.edu

    2008-06-18

    The vapor-liquid-solid (VLS) growth procedure has been extended for the selective growth of silica nanowires on SiO{sub 2} layer by using Au as a catalyst. The nanowires were grown in an open tube furnace at 1100 deg. C for 60 min using Ar as a carrier gas. The average diameter of these bottom-up nucleated wires was found to be 200 nm. Transmission electron microscopy analysis indicates the amorphous nature of these nanoscale wires and suggests an Si-silica heterostructure. The localized silica nanowires have been used as an immunoassay template in the detection of interleukin-10 which is a lung cancer biomarker. Such a nanostructured platform offered a tenfold enhancement in the optical response, aiding the recognition of IL-10 in comparison to a bare silica substrate. The role of nanowires in the immunoassay was verified through the quenching behavior in the photoluminescence (PL) spectra. Two orders of reduction in PL intensity have been observed after completion of the immunoassay with significant quenching after executing every step of the protocol. The potential of this site-specific growth of silica nanowires on SiO{sub 2} as a multi-modal biosensing platform has been discussed.

  1. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  2. Growth, structure and phase transitions of epitaxial nanowires of III-V semiconductors

    International Nuclear Information System (INIS)

    Glas, F; Patriarche, G; Harmand, J C

    2010-01-01

    We review and illustrate the impact of TEM on the study of nanowires of non-nitride III-V semiconductors, with particular emphasis on the understanding of the thermodynamics and kinetics of their formation assisted by nano-sized catalyst particles. Besides providing basic information about the morphology of the nanowires and their growth rate as a function of diameter, TEM offers insights into the peculiar crystalline structure that they adopt. We discuss the formation of the unusual wurtzite hexagonal crystalline phase and that of planar stacking defects in these nanowires and show that they are kinetically controlled. We also demonstrate the transformation of wurtzite into cubic sphalerite upon epitaxial burying of the nanowires. Nanowires are particularly interesting in that they allow the fabrication of precisely positioned quantum dots with well-defined geometries. In this respect, we discuss the formation of strained quantum-size inclusions in nanowires, their critical dimensions and the kinetic and thermodynamic factors governing the changes of the crystalline structure that sometimes occur around a hetero-interface.

  3. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  4. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  5. Site-Specific Growth and in Situ Integration of Different Nanowire Material Networks on a Single Chip: Toward a Nanowire-Based Electronic Nose for Gas Detection.

    Science.gov (United States)

    Hrachowina, Lukas; Domènech-Gil, Guillem; Pardo, Antonio; Seifner, Michael S; Gràcia, Isabel; Cané, Carles; Romano-Rodríguez, Albert; Barth, Sven

    2018-03-23

    A new method for the site-selective synthesis of nanowires has been developed to enable material growth with defined morphology and, at the same time, different composition on the same chip surface. The chemical vapor deposition approach for the growth of these nanowire-based resistive devices using micromembranes can be easily modified and represents a simple, adjustable fabrication process for the direct integration of nanowire meshes in multifunctional devices. This proof-of-concept study includes the deposition of SnO 2 , WO 3 , and Ge nanowires on the same chip. The individual resistors exhibit adequate gas sensing responses toward changing gas concentrations of CO, NO 2 , and humidity diluted in synthetic air. The data have been processed by principal component analysis with cluster responses that can be easily separated, and thus, the devices described herein are in principle suitable for environmental monitoring.

  6. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde; Turner, Stuart G.; Yang, Ping; Van Tendeloo, Gustaaf; Lebedev, Oleg I.; Wu, Tao

    2014-01-01

    challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia

  7. Shape Engineering Driven by Selective Growth of SnO2 on Doped Ga2O3 Nanowires.

    Science.gov (United States)

    Alonso-Orts, Manuel; Sánchez, Ana M; Hindmarsh, Steven A; López, Iñaki; Nogales, Emilio; Piqueras, Javier; Méndez, Bianchi

    2017-01-11

    Tailoring the shape of complex nanostructures requires control of the growth process. In this work, we report on the selective growth of nanostructured tin oxide on gallium oxide nanowires leading to the formation of SnO 2 /Ga 2 O 3 complex nanostructures. Ga 2 O 3 nanowires decorated with either crossing SnO 2 nanowires or SnO 2 particles have been obtained in a single step treatment by thermal evaporation. The reason for this dual behavior is related to the growth direction of trunk Ga 2 O 3 nanowires. Ga 2 O 3 nanowires grown along the [001] direction favor the formation of crossing SnO 2 nanowires. Alternatively, SnO 2 forms rhombohedral particles on [110] Ga 2 O 3 nanowires leading to skewer-like structures. These complex oxide structures were grown by a catalyst-free vapor-solid process. When pure Ga and tin oxide were used as source materials and compacted powders of Ga 2 O 3 acted as substrates, [110] Ga 2 O 3 nanowires grow preferentially. High-resolution transmission electron microscopy analysis reveals epitaxial relationship lattice matching between the Ga 2 O 3 axis and SnO 2 particles, forming skewer-like structures. The addition of chromium oxide to the source materials modifies the growth direction of the trunk Ga 2 O 3 nanowires, growing along the [001], with crossing SnO 2 wires. The SnO 2 /Ga 2 O 3 junctions does not meet the lattice matching condition, forming a grain boundary. The electronic and optical properties have been studied by XPS and CL with high spatial resolution, enabling us to get both local chemical and electronic information on the surface in both type of structures. The results will allow tuning optical and electronic properties of oxide complex nanostructures locally as a function of the orientation. In particular, we report a dependence of the visible CL emission of SnO 2 on its particular shape. Orange emission dominates in SnO 2 /Ga 2 O 3 crossing wires while green-blue emission is observed in SnO 2 particles attached to Ga 2

  8. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    Science.gov (United States)

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  9. Influence of the Hydrothermal Method Growth Parameters on the Zinc Oxide Nanowires Deposited on Several Substrates

    Directory of Open Access Journals (Sweden)

    Concepción Mejía-García

    2014-01-01

    Full Text Available We report the synthesis of ZnO nanowires grown on several substrates (PET, glass, and Si using a two-step process: (a preparation of the seed layer on the substrate by spin coating, from solutions of zinc acetate dihydrate and 1-propanol, and (b growth of the ZnO nanostructures by dipping the substrate in an equimolar solution of zinc nitrate hexahydrate and hexamethylenetetramine. Subsequently, films were thermally treated with a commercial microwave oven (350 and 700 W for 5, 20, and 35 min. The ZnO nanowires obtained were characterized structurally, morphologically, and optically using XRD, SEM, and UV-VIS transmission, respectively. XRD patterns spectra revealed the presence of Zn(OH2 on the films grown on glass and Si substrates. A preferential orientation along c-axis directions for films grown on PET substrate was observed. An analysis by SEM revealed that the growth of the ZnO nanowires on PET and glass is better than the growth on Si when the same growth parameters are used. On glass substrates, ZnO nanowires less than 50 nm in diameter and between 200 nm and 1200 nm in length were obtained. The ZnO nanowires band gap energy for the films grown on PET and glass was obtained from optical transmission spectra.

  10. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  11. Formation of ultralong copper nanowires by hydrothermal growth for transparent conducting applications

    Science.gov (United States)

    Balela, Mary Donnabelle L.; Tan, Michael

    2017-07-01

    Transparent conducting electrodes are key components of optoelectronic devices, such as touch screens, organic light emitting diodes (OLEDs) and solar cells. Recent market surveys have shown that the demands for these devices are rapidly growing at a tremendous rate. Semiconducting oxides, in particular indium tin oxide (ITO) are the material of choice for transparent conducting electrodes. However, these conventional oxides are typically brittle, which limits their applicability in flexible electronics. Metal nanowires, e.g. copper (Cu) nanowires, are considered as the best candidate as substitute for ITO due to their excellent mechanical and electrical properties. In this paper, ultralong copper (Cu) nanowires with were successfully prepared by hydrothermal growth at 50-80°C for 1 h. Ethylenediamine was employed as the structure-directing agents, while hydrazine was used as the reductant. In situ mixed potential measurement was also carried out to monitor Cu deposition. Higher temperature shifted the mixed potential negatively, leading to thicker Cu nanowires. Transparent conducting electrode, with a sheet resistance of 197 Ω sq-1 at an optical transmittance of around 61 %, was fabricated with the Cu nanowire ink.

  12. Growth mechanism of titanium dioxide nanowires for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Boercker, J E; Enache-Pommer, E; Aydil, E S

    2008-01-01

    Mesoporous films made of titanium dioxide nanowires are desirable for dye-sensitized solar cells because nanowires provide direct conduction pathways for photogenerated electrons. Anatase titanium dioxide nanowires with polycrystalline microstructure were synthesized on titanium foil using a three-step process. First, the top surface of the titanium foil was transformed to Na 2 Ti 2 O 4 (OH) 2 nanotubes through hydrothermal oxidation in NaOH. Next, the Na 2 Ti 2 O 4 (OH) 2 nanotubes were converted to H 2 Ti 2 O 4 (OH) 2 nanotubes by ion exchange. Finally, the H 2 Ti 2 O 4 (OH) 2 nanotubes were converted to polycrystalline anatase nanowires through a topotactic transformation. The film morphology evolution, crystal structure transformations and growth mechanism are described in detail. Titanium foil reacts with NaOH to form Na 2 Ti 2 O 4 (OH) 2 sheets, which exfoliate and spiral into nanotubes. The Na 2 Ti 2 O 4 (OH) 2 nanotubes are immersed in HCl solution to replace the Na + ions with H + ions. During the topotactic transformation of H 2 Ti 2 O 4 (OH) 2 nanotubes to anatase TiO 2 nanowires, the sheets made of edge bonded TiO 6 octahedra in the H 2 Ti 2 O 4 (OH) 2 nanotubes dehydrate and move towards each other to form anatase crystals oriented along the nanotube axis which creates a polycrystalline nanowire. These mesoporous TiO 2 nanowire films were suitable for use as dye-sensitized solar cell photoanodes

  13. Guided Growth of Horizontal ZnSe Nanowires and their Integration into High-Performance Blue-UV Photodetectors.

    Science.gov (United States)

    Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2015-07-15

    Perfectly aligned horizontal ZnSe nano-wires are obtained by guided growth, and easily integrated into high-performance blue-UV photodetectors. Their crystal phase and crystallographic orientation are controlled by the epitaxial relations with six different sapphire planes. Guided growth paves the way for the large-scale integration of nanowires into optoelectronic devices. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. The growth of silica and silica-clad nanowires using a solid-state reaction mechanism on Ti, Ni and SiO2 layers

    International Nuclear Information System (INIS)

    Sharma, Parul; Anguita, J V; Stolojan, V; Henley, S J; Silva, S R P

    2010-01-01

    A large area compatible and solid-state process for growing silica nanowires is reported using nickel, titanium and silicon dioxide layers on silicon. The silica nanowires also contain silicon, as indicated by Raman spectroscopy. The phonon confinement model is employed to measure the diameter of the Si rich tail for our samples. The measured Raman peak shift and full width at half-maximum variation with the nanowire diameter qualitatively match with data available in the literature. We have investigated the effect of the seedbed structure on the nanowires, and the effect of using different gas conditions in the growth stages. From this, we have obtained the growth mechanism, and deduced the role of each individual substrate seedbed layer in the growth of the nanowires. We report a combined growth mechanism, where the growth is initiated by a solid-liquid-solid process, which is then followed by a vapour-liquid-solid process. We also report on the formation of two distinct structures of nanowires (type I and type II). The growth of these can be controlled by the use of titanium in the seedbed. We also observe that the diameter of the nanowires exhibits an inverse relation with the catalyst thickness.

  15. Investigation of growth parameters influence on self-catalyzed ITO nanowires by high RF-power sputtering.

    Science.gov (United States)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-02-15

    ITO nanowires have been successfully fabricated using a radio-frequency sputtering technique with a high RF-power of 250W. The fabrication of the ITO nanowires has been optimized through the study of oxygen flow rates, temperatures and RF-power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target has been first observed and the mechanism for the difference has been discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method has demonstrated good conductivity (15Ω/sq) and a transmittance of more than 64% at a wavelength longer than 550nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices. © 2018 IOP Publishing Ltd.

  16. Novel low-temperature growth of SnO2 nanowires and their gas-sensing properties

    International Nuclear Information System (INIS)

    Kumar, R. Rakesh; Parmar, Mitesh; Narasimha Rao, K.; Rajanna, K.; Phani, A.R.

    2013-01-01

    Graphical abstract: -- A simple thermal evaporation method is presented for the growth of crystalline SnO 2 nanowires at a low substrate temperature of 450 °C via an gold-assisted vapor–liquid–solid mechanism. The as-grown nanowires were characterized by scanning electron microscopy, transmission electron microscopy and X-ray diffraction, and were also tested for methanol vapor sensing. Transmission electron microscopy studies revealed the single-crystalline nature of the each nanowire. The fabricated sensor shows good response to methanol vapor at an operating temperature of 450 °C.

  17. Size-controlled growth of ZnO nanowires by catalyst-free high-pressure pulsed laser deposition and their optical properties

    Directory of Open Access Journals (Sweden)

    W. Z. Liu

    2011-06-01

    Full Text Available Single crystalline ZnO nanowires were fabricated on Si (100 substrates by catalyst-free high-pressure pulsed laser deposition. It is found that the nanowires start to form when the substrate temperature and growth pressure exceed the critical values of 700 oC and 700 Pa, and their size strongly depends on these growth conditions. That is, the aspect ratio of the nanowires decreases with increasing temperature or decreasing pressure. Such a size dependence on growth conditions was discussed in terms of surface migration and scattering of ablated atoms. Room-temperature photoluminescence spectrum of ZnO nanowires shows a dominant near-band-edge emission peak at 3.28 eV and a visible emission band centered at 2.39 eV. Temperature-dependent photoluminescence studies reveal that the former consists of the acceptor-bound exciton and free exciton emissions; while the latter varies in intensity with the aspect ratio of the nanowires and is attributed to the surface-mediated deep level emission.

  18. Simple Synthesis and Growth Mechanism of Core/Shell CdSe/SiOx Nanowires

    Directory of Open Access Journals (Sweden)

    Guozhang Dai

    2010-01-01

    Full Text Available Core-shell-structured CdSe/SiOx nanowires were synthesized on an equilateral triangle Si (111 substrate through a simple one-step thermal evaporation process. SEM, TEM, and XRD investigations confirmed the core-shell structure; that is, the core zone is single crystalline CdSe and the shell zone is SiOx amorphous layer and CdSe core was grown along (001 direction. Two-stage growth process was present to explain the growth mechanism of the core/shell nanwires. The silicon substrate of designed equilateral triangle providing the silicon source is the key factor to form the core-shell nanowires, which is significant for fabrication of nanowire-core sheathed with a silica system. The PL of the product studied at room temperature showed two emission bands around 715 and 560 nm, which originate from the band-band transition of CdSe cores and the amorphous SiOx shells, respectively.

  19. Growth control, structure, chemical state, and photoresponse of CuO-CdS core-shell heterostructure nanowires.

    Science.gov (United States)

    El Mel, A A; Buffière, M; Bouts, N; Gautron, E; Tessier, P Y; Henzler, K; Guttmann, P; Konstantinidis, S; Bittencourt, C; Snyders, R

    2013-07-05

    The growth of single-crystal CuO nanowires by thermal annealing of copper thin films in air is studied. We show that the density, length, and diameter of the nanowires can be controlled by tuning the morphology and structure of the copper thin films deposited by DC magnetron sputtering. After identifying the optimal conditions for the growth of CuO nanowires, chemical bath deposition is employed to coat the CuO nanowires with CdS in order to form p-n nanojunction arrays. As revealed by high-resolution TEM analysis, the thickness of the polycrystalline CdS shell increases when decreasing the diameter of the CuO core for a given time of CdS deposition. Near-edge x-ray absorption fine-structure spectroscopy combined with transmission x-ray microscopy allows the chemical analysis of isolated nanowires. The absence of modification in the spectra at the Cu L and O K edges after the deposition of CdS on the CuO nanowires indicates that neither Cd nor S diffuse into the CuO phase. We further demonstrate that the core-shell nanowires exhibit the I-V characteristic of a resistor instead of a diode. The electrical behavior of the device was found to be photosensitive, since increasing the incident light intensity induces an increase in the collected electrical current.

  20. Growth of Vertically Aligned ZnO Nanowire Arrays Using Bilayered Metal Catalysts

    Science.gov (United States)

    2012-01-01

    12] J. P. Liu, C. X. Guo, C. M. Li et al., “Carbon-decorated ZnO nanowire array: a novel platform for direct electrochemistry of enzymes and...cited. Vertically aligned, high-density ZnO nanowires (NWs) were grown for the first time on c-plane sapphire using binary alloys of Ni/Au or Cu/Au as...deleterious to the ZnO NW array growth. Significant improvement of the Au adhesion on the substrate was noted, opening the potential for direct

  1. Examination Of Si-Ge Heterostructure Nanowire Growth Using Monte Carlo Simulation

    International Nuclear Information System (INIS)

    Nastovjak, A. G.; Neizvestny, I. G.; Shwartz, N. L.

    2011-01-01

    The process of Si-Ge heterostructures formation in nanowires (NWs) grown by vapor-liquid-solid mechanism was investigated using Monte Carlo simulation. Dependences of catalyst drop composition on temperature, flux intensity and nanowire diameter were obtained. Periodical oscillations of drop composition near mean value were observed. Oscillation results from layer-by-layer growth at the drop-whisker interface and necessity of supersaturation onset to start new layer formation. It was demonstrated that it is impossible to grow atomically abrupt axial heterojunctions via classical vapor-liquid-solid mechanism due to gradual change of catalyst drop composition when switching the fluxes. This phenomenon is the main reason of heterojunction blurriness. Junction abruptness was found to be dependent on nanowhisker diameter: in adsorption-induced growth mode abruptness of heterojunction decreases with diameter and in diffusion-induced mode it increases.

  2. Bismuth nanowire growth under low deposition rate and its ohmic contact free of interface damage

    Directory of Open Access Journals (Sweden)

    Ye Tian

    2012-03-01

    Full Text Available High quality bismuth (Bi nanowire and its ohmic contact free of interface damage are quite desired for its research and application. In this paper, we propose one new way to prepare high-quality single crystal Bi nanowires at a low deposition rate, by magnetron sputtering method without the assistance of template or catalyst. The slow deposition growth mechanism of Bi nanowire is successfully explained by an anisotropic corner crossing effect, which is very different from existing explanations. A novel approach free of interface damage to ohmic contact of Bi nanowire is proposed and its good electrical conductivity is confirmed by I-V characteristic measurement. Our method provides a quick and convenient way to produce high-quality Bi nanowires and construct ohmic contact for desirable devices.

  3. Pattern formation of nanoflowers during the vapor-liquid-solid growth of silicon nanowires

    International Nuclear Information System (INIS)

    Bae, Joonho; Thompson-Flagg, Rebecca; Ekerdt, John G.; Shih, C.-K.

    2008-01-01

    Pattern formation of nanoflowers during the vapor-liquid-solid growth of Si nanowires is reported. Using transmission electron microscopy, scanning electron microscopy, and energy dispersive spectrometer analysis, we show that the flower consists of an Au/SiO x core-shell structure. Moreover, the growth of flower starts at the interface between the gold catalyst and the silicon nanowire, presumably by enhanced oxidation at this interface. The pattern formation can be classified as dense branching morphology (DBM). It is the first observation of DBM in a spherical geometry and at the nanoscale. The analysis of the average branching distance of this pattern shows that the pattern is most likely formed during the growth process, not the cooling process, and that the curvature of the gold droplet plays a crucial role in the frequency of branching

  4. Growth mechanism of titanium dioxide nanowires for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Boercker, J E; Enache-Pommer, E; Aydil, E S [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Avenue SE, Minneapolis, MN 55455 (United States)], E-mail: aydil@umn.edu

    2008-03-05

    Mesoporous films made of titanium dioxide nanowires are desirable for dye-sensitized solar cells because nanowires provide direct conduction pathways for photogenerated electrons. Anatase titanium dioxide nanowires with polycrystalline microstructure were synthesized on titanium foil using a three-step process. First, the top surface of the titanium foil was transformed to Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes through hydrothermal oxidation in NaOH. Next, the Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes were converted to H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes by ion exchange. Finally, the H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes were converted to polycrystalline anatase nanowires through a topotactic transformation. The film morphology evolution, crystal structure transformations and growth mechanism are described in detail. Titanium foil reacts with NaOH to form Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} sheets, which exfoliate and spiral into nanotubes. The Na{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes are immersed in HCl solution to replace the Na{sup +} ions with H{sup +} ions. During the topotactic transformation of H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes to anatase TiO{sub 2} nanowires, the sheets made of edge bonded TiO{sub 6} octahedra in the H{sub 2}Ti{sub 2}O{sub 4}(OH){sub 2} nanotubes dehydrate and move towards each other to form anatase crystals oriented along the nanotube axis which creates a polycrystalline nanowire. These mesoporous TiO{sub 2} nanowire films were suitable for use as dye-sensitized solar cell photoanodes.

  5. Site-specific nucleation and controlled growth of a vertical tellurium nanowire array for high performance field emitters

    International Nuclear Information System (INIS)

    Safdar, Muhammad; Zhan Xueying; Mirza, Misbah; Wang Zhenxing; Sun Lianfeng; He Jun; Niu Mutong; Zhang Jinping; Zhao Qing

    2013-01-01

    We report the controlled growth of highly ordered and well aligned one-dimensional tellurium nanostructure arrays via a one-step catalyst-free physical vapor deposition method. The density, size and fine structures of tellurium nanowires are systematically studied and optimized. Field emission measurement was performed to display notable dependence on nanostructure morphologies. The ordered nanowire array based field emitter has a turn-on field as low as 3.27 V μm −1 and a higher field enhancement factor of 3270. Our finding offers the possibility of controlling the growth of tellurium nanowire arrays and opens up new means for their potential applications in electronic devices and displays. (paper)

  6. The control of the growth orientations of electrodeposited single-crystal nanowire arrays: a case study for hexagonal CdS

    Energy Technology Data Exchange (ETDEWEB)

    Sun Hongyu; Li Xiaohong; Chen Yan; Li Wei; Zhang Xiangyi [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, 066004 Qinhuangdao (China); Li Feng; Liu Baoting [College of Physics Science and Technology, Hebei University, 071002 Baoding (China)], E-mail: xyzh66@ysu.edu.cn

    2008-06-04

    The controllable growth of highly aligned and ordered semiconductor nanowire arrays is crucial for their potential applications in nanodevices. In the present study, both the growth orientation and the microstructure of hexagonal CdS nanowire arrays electrodeposited in a porous alumina template with 40 nm diameter pores have been controlled by simply tuning the deposition current density. An extremely low current density of 0.05 mA cm{sup -2} is favorable for the growth of single-crystal CdS nanowires along the normal direction of the intrinsic low-surface-energy (103) face. This can be understood well by a modified critical dimension model given in the present work.

  7. The control of the growth orientations of electrodeposited single-crystal nanowire arrays: a case study for hexagonal CdS

    International Nuclear Information System (INIS)

    Sun Hongyu; Li Xiaohong; Chen Yan; Li Wei; Zhang Xiangyi; Li Feng; Liu Baoting

    2008-01-01

    The controllable growth of highly aligned and ordered semiconductor nanowire arrays is crucial for their potential applications in nanodevices. In the present study, both the growth orientation and the microstructure of hexagonal CdS nanowire arrays electrodeposited in a porous alumina template with 40 nm diameter pores have been controlled by simply tuning the deposition current density. An extremely low current density of 0.05 mA cm -2 is favorable for the growth of single-crystal CdS nanowires along the normal direction of the intrinsic low-surface-energy (103) face. This can be understood well by a modified critical dimension model given in the present work

  8. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    Directory of Open Access Journals (Sweden)

    Huijie Li

    2016-10-01

    Full Text Available Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials.

  9. Nanocatalytic growth of Si nanowires from Ni silicate coated SiC nanoparticles on Si solar cell.

    Science.gov (United States)

    Parida, Bhaskar; Choi, Jaeho; Ji, Hyung Yong; Park, Seungil; Lim, Gyoungho; Kim, Keunjoo

    2013-09-01

    We investigated the nanocatalytic growth of Si nanowires on the microtextured surface of crystalline Si solar cell. 3C-SiC nanoparticles have been used as the base for formation of Ni silicate layer in a catalytic reaction with the Si melt under H2 atmosphere at an annealing temperature of 1100 degrees C. The 10-nm thick Ni film was deposited after the SiC nanoparticles were coated on the microtextured surface of the Si solar cell by electron-beam evaporation. SiC nanoparticles form a eutectic alloy surface of Ni silicate and provide the base for Si supersaturation as well as the Ni-Si alloy layer on Si substrate surface. This bottom reaction mode for the solid-liquid-solid growth mechanism using a SiC nanoparticle base provides more stable growth of nanowires than the top reaction mode growth mechanism in the absence of SiC nanoparticles. Thermally excited Ni nanoparticle forms the eutectic alloy and provides collectively excited electrons at the alloy surface, which reduces the activation energy of the nanocatalytic reaction for formation of nanowires.

  10. Semiconductor nanowires and templates for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Ying, Xiang

    2009-07-15

    This thesis starts by developing a platform for the organized growth of nanowires directly on a planar substrate. For this, a method to fabricate horizontal porous alumina membranes is studied. The second part of the thesis focuses on the study of nanowires. It starts by the understanding of the growth mechanisms of germanium nanowires and follows by the structural and electrical properties at the single nanowire level. Horizontally aligned porous anodic alumina (PAA) was used as a template for the nanowire synthesis. Three PAA arrangements were studied: - high density membranes - micron-sized fingers - multi-contacts Membranes formed by a high density of nanopores were obtained by anodizing aluminum thin films. Metallic and semiconducting nanowires were synthesized into the PAA structures via DC deposition, pulsed electro-depostion and CVD growth. The presence of gold, copper, indium, nickel, tellurium, and silicon nanowires inside PAA templates was verified by SEM and EDX analysis. Further, room-temperature transport measurements showed that the pores are completely filled till the bottom of the pores. In this dissertation, single crystalline and core-shell germanium nanowires are synthesized using indium and bismuth as catalyst in a chemical vapor deposition procedure with germane (GeH{sub 4}) as growth precursor. A systematic growth study has been performed to obtain high aspect-ratio germanium nanowires. The influence of the growth conditions on the final morphology and the crystalline structure has been determined via scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). In the case of indium catalyzed germanium nanowires, two different structures were identified: single crystalline and crystalline core-amorphous shell. The preferential growth axis of both kinds of nanowires is along the [110] direction. The occurrence of the two morphologies was found to only depend on the nanowire dimension. In the case of bismuth

  11. Growth and optical properties of CdTe quantum dots in ZnTe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Wojnar, Piotr; Janik, Elzbieta; Baczewski, Lech T.; Kret, Slawomir; Karczewski, G.; Wojtowicz, Tomasz [Institute of Physics, Polish Academy of Sciences, Al Lotnikow 32/46, 02-668 Warsaw (Poland); Goryca, Mateusz; Kazimierczuk, Tomasz; Kossacki, Piotr [Institute of Experimental Physics, Faculty of Physics, University of Warsaw, ul Hoza 69, 00-681 Warsaw (Poland)

    2011-09-12

    We report on the formation of optically active CdTe quantum dots in ZnTe nanowires. The CdTe/ZnTe nanostructures have been grown by a gold nanocatalyst assisted molecular beam epitaxy in a vapor-liquid solid growth process. The presence of CdTe insertions in ZnTe nanowire results in the appearance of a strong photoluminescence band in the 2.0 eV-2.25 eV energy range. Spatially resolved photoluminescence measurements reveal that this broad emission consists of several sharp lines with the spectral width of about 2 meV. The large degree of linear polarization of these individual emission lines confirms their nanowire origin, whereas the zero-dimensional confinement is proved by photon correlation spectroscopy.

  12. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    Science.gov (United States)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  13. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  14. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  15. Understanding InP Nanowire Array Solar Cell Performance by Nanoprobe-Enabled Single Nanowire Measurements.

    Science.gov (United States)

    Otnes, Gaute; Barrigón, Enrique; Sundvall, Christian; Svensson, K Erik; Heurlin, Magnus; Siefer, Gerald; Samuelson, Lars; Åberg, Ingvar; Borgström, Magnus T

    2018-05-09

    III-V solar cells in the nanowire geometry might hold significant synthesis-cost and device-design advantages as compared to thin films and have shown impressive performance improvements in recent years. To continue this development there is a need for characterization techniques giving quick and reliable feedback for growth development. Further, characterization techniques which can improve understanding of the link between nanowire growth conditions, subsequent processing, and solar cell performance are desired. Here, we present the use of a nanoprobe system inside a scanning electron microscope to efficiently contact single nanowires and characterize them in terms of key parameters for solar cell performance. Specifically, we study single as-grown InP nanowires and use electron beam induced current characterization to understand the charge carrier collection properties, and dark current-voltage characteristics to understand the diode recombination characteristics. By correlating the single nanowire measurements to performance of fully processed nanowire array solar cells, we identify how the performance limiting parameters are related to growth and/or processing conditions. We use this understanding to achieve a more than 7-fold improvement in efficiency of our InP nanowire solar cells, grown from a different seed particle pattern than previously reported from our group. The best cell shows a certified efficiency of 15.0%; the highest reported value for a bottom-up synthesized InP nanowire solar cell. We believe the presented approach have significant potential to speed-up the development of nanowire solar cells, as well as other nanowire-based electronic/optoelectronic devices.

  16. Molecular beam epitaxial growth and characterization of Al(Ga)N nanowire deep ultraviolet light emitting diodes and lasers

    International Nuclear Information System (INIS)

    Mi, Z; Zhao, S; Djavid, M; Liu, X; Kang, J; Woo, S Y; Bugnet, M; Botton, G A; Kong, X; Guo, H; Ji, W; Liu, Z

    2016-01-01

    We report on the detailed molecular beam epitaxial growth and characterization of Al(Ga)N nanowire heterostructures on Si and their applications for deep ultraviolet light emitting diodes and lasers. The nanowires are formed under nitrogen-rich conditions without using any metal catalyst. Compared to conventional epilayers, Mg-dopant incorporation is significantly enhanced in nearly strain- and defect-free Al(Ga)N nanowire structures, leading to efficient p -type conduction. The resulting Al(Ga)N nanowire LEDs exhibit excellent performance, including a turn-on voltage of ∼5.5 V for an AlN nanowire LED operating at 207 nm. The design, fabrication, and performance of an electrically injected AlGaN nanowire laser operating in the UV-B band is also presented. (paper)

  17. Investigation of the influence of growth parameters on self-catalyzed ITO nanowires by high RF-power sputtering

    Science.gov (United States)

    Li, Qiang; Zhang, Yuantao; Feng, Lungang; Wang, Zuming; Wang, Tao; Yun, Feng

    2018-04-01

    Tin-doped indium oxide (ITO) nanowires are successfully fabricated using a radio frequency (RF) sputtering technique with a high RF power of 250 W. The fabrication of the ITO nanowires is optimized through the study of oxygen flow rates, temperatures and RF power. The difference in the morphology of the ITO nanowires prepared by using a new target and a used target is observed and the mechanism for the difference is discussed in detail. A hollow structure and air voids within the nanowires are formed during the process of the nanowire growth. The ITO nanowires fabricated by this method demonstrated good conductivity (15 Ω sq-1) and a transmittance of more than 64% at a wavelength longer than 550 nm after annealing. Furthermore, detailed microstructure studies show that the ITO nanowires exhibit a large number of oxygen vacancies. As a result, it is expected that they can be useful for the fabrication of gas sensor devices.

  18. Low-Temperature Rapid Fabrication of ZnO Nanowire UV Sensor Array by Laser-Induced Local Hydrothermal Growth

    Directory of Open Access Journals (Sweden)

    Sukjoon Hong

    2013-01-01

    Full Text Available We demonstrate ZnO nanowire based UV sensor by laser-induced hydrothermal growth of ZnO nanowire. By inducing a localized temperature rise using focused laser, ZnO nanowire array at ~15 μm size consists of individual nanowires with ~8 μm length and 200~400 nm diameter is readily synthesized on gold electrode within 30 min at the desired position. The laser-induced growth process is consecutively applied on two different points to bridge the micron gap between the electrodes. The resultant photoconductive ZnO NW interconnections display 2~3 orders increase in the current upon the UV exposure at a fixed voltage bias. It is also confirmed that the amount of photocurrent can be easily adjusted by changing the number of ZnO NW array junctions. The device exhibits clear response to the repeated UV illumination, suggesting that this process can be usefully applied for the facile fabrication of low-cost UV sensor array.

  19. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  20. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  1. Controlled Growth of Rubrene Nanowires by Eutectic Melt Crystallization

    Science.gov (United States)

    Chung, Jeyon; Hyon, Jinho; Park, Kyung-Sun; Cho, Boram; Baek, Jangmi; Kim, Jueun; Lee, Sang Uck; Sung, Myung Mo; Kang, Youngjong

    2016-03-01

    Organic semiconductors including rubrene, Alq3, copper phthalocyanine and pentacene are crystallized by the eutectic melt crystallization. Those organic semiconductors form good eutectic systems with the various volatile crystallizable additives such as benzoic acid, salicylic acid, naphthalene and 1,3,5-trichlorobenzene. Due to the formation of the eutectic system, organic semiconductors having originally high melting point (Tm > 300 °C) are melted and crystallized at low temperature (Te = 40.8-133 °C). The volatile crystallizable additives are easily removed by sublimation. For a model system using rubrene, single crystalline rubrene nanowires are prepared by the eutectic melt crystallization and the eutectic-melt-assisted nanoimpinting (EMAN) technique. It is demonstrated that crystal structure and the growth direction of rubrene can be controlled by using different volatile crystallizable additives. The field effect mobility of rubrene nanowires prepared using several different crystallizable additives are measured and compared.

  2. Growth kinetics of racemic heptahelicene-2-carboxylic acid nanowires on calcite (104)

    Czech Academy of Sciences Publication Activity Database

    Einax, M.; Richter, T.; Nimmrich, M.; Rahe, P.; Stará, Irena G.; Starý, Ivo; Kühnle, A.; Maass, P.

    2016-01-01

    Roč. 145, č. 13 (2016), č. článku 134702. ISSN 0021-9606 Institutional support: RVO:61388963 Keywords : heptahelicene-2-carboxylic acid nanowires * nc-AFM * calcite * growth kinetics Subject RIV: CC - Organic Chemistry Impact factor: 2.965, year: 2016

  3. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  4. Methods for synthesizing metal oxide nanowires

    Science.gov (United States)

    Sunkara, Mahendra Kumar; Kumar, Vivekanand; Kim, Jeong H.; Clark, Ezra Lee

    2016-08-09

    A method of synthesizing a metal oxide nanowire includes the steps of: combining an amount of a transition metal or a transition metal oxide with an amount of an alkali metal compound to produce a mixture; activating a plasma discharge reactor to create a plasma discharge; exposing the mixture to the plasma discharge for a first predetermined time period such that transition metal oxide nanowires are formed; contacting the transition metal oxide nanowires with an acid solution such that an alkali metal ion is exchanged for a hydrogen ion on each of the transition metal oxide nanowires; and exposing the transition metal oxide nanowires to the plasma discharge for a second predetermined time period to thermally anneal the transition metal oxide nanowires. Transition metal oxide nanowires produced using the synthesis methods described herein are also provided.

  5. Nucleation and growth mechanism of Co–Pt alloy nanowires electrodeposited within alumina template

    Energy Technology Data Exchange (ETDEWEB)

    Srivastav, Ajeet K., E-mail: srivastav.ajeet.kumar@gmail.com, E-mail: mm09d004@smail.iitm.ac.in [Indian Institute of Technology Madras, Department of Metallurgical and Materials Engineering (India); Shekhar, Rajiv [Indian Institute of Technology Kanpur, Department of Materials Science and Engineering (India)

    2015-01-15

    Co–Pt alloy nanowires were electrodeposited by direct current electrodeposition within nanoporous alumina templates with varying deposition potentials. The effect of deposition potential on nucleation and growth mechanisms during electrodeposition of Co–Pt alloy nanowires was investigated. The less negative deposition potential (−0.9 V) favours the instantaneous nucleation mechanism. The positive deviation from theoretical instantaneous and progressive nucleation mechanisms occurs at higher negative deposition potentials. The hysteresis behaviour and magnetic properties of electrodeposited Co–Pt alloy nanowires altered with varying deposition potential. The easy magnetization direction was in direction perpendicular to the wire axis. The deposition potential dependent change in hysteresis behaviour with increased coercivity and scattered remanence ratio was observed. This is attributed to better crystallinity with reduced defect density and hydrogen evolution causing structural changes at more negative deposition potentials.

  6. Growth strategies to control tapering in Ge nanowires

    Directory of Open Access Journals (Sweden)

    P. Periwal

    2014-04-01

    Full Text Available We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs. Ge NWs were grown on Si (111 substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  7. Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, Frank; Schiek, Manuela; Al-Shamery, Katharina

    Single crystalline nanowires from fluorescing organic molecules like para-phenylenes or thiophenes are supposed to become key elements in future integrated optoelectronic devices [1]. For a sophisticated design of devices based on nanowires the basic principles of the nanowire formation have...... atomic force microscopy and from polarized far-field optical microscopy for various prototypical molecules are reproduced by electrostatic and Monte Carlo calculations. Based on the crystal structure, predictions on the growth habit from other conjugated molecules become in reach....

  8. Self-regulating and diameter-selective growth of GaN nanowires

    International Nuclear Information System (INIS)

    Kuo, C-K; Hsu, C-W; Wu, C-T; Lan, Z-H; Mou, C-Y; Chen, C-C; Yang, Y-J; Chen, L-C; Chen, K-H

    2006-01-01

    We report diameter-selective growth of GaN nanowires (NWs) by using mono-dispersed Au nanoparticles (NPs) on a ligand-modified Si substrate. The thiol-terminal silane was found to be effective in producing well-dispersed Au NPs in low density on Si substrates so that the agglomeration of Au NPs during growth could be avoided. The resultant GaN NWs exhibited a narrow diameter distribution and their mean diameter was always larger than, while keeping a deterministic relation with, the size of the Au NPs from which they were grown. A self-regulating steady growth model is proposed to account for the size-control process

  9. Autoclave growth, magnetic, and optical properties of GdB6 nanowires

    Science.gov (United States)

    Han, Wei; Wang, Zhen; Li, Qidong; Liu, Huatao; Fan, Qinghua; Dong, Youzhong; Kuang, Quan; Zhao, Yanming

    2017-12-01

    High-quality single crystalline gadolinium hexaboride (GdB6) nanowires have been successfully prepared at very low temperatures of 200-240 °C by a high pressure solid state (HPSS) method in an autoclave with a new chemical reaction route, where Gd, H3BO3, Mg and I2 were used as raw materials. The crystal structure, morphology, valence, magnetic and optical absorption properties were investigated using XRD, FESEM, HRTEM, XPS, SQUID magnetometry and optical measurements. HRTEM images and SAED patterns reveal that the GdB6 nanowires are single crystalline with a preferred growth direction along [001]. The XPS spectrum suggests that the valence of Gd ion in GdB6 is trivalent. The effective magnetic momentum per Gd3+ in GdB6 is about 6.26 μB. The optical properties exhibit weak absorption in the visible light range, but relatively strong absorbance in the NIR and UV range. Low work function and high NIR absorption can make GdB6 nanowires a potential solar radiation shielding material for solar cells or other NIR blocking applications.

  10. Vertical nanowire architectures

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfl-Tempfli, M.; Piraux, L.

    2010-01-01

    Nanowires and statistics: A statistical process for reading ultradense arrays of nanostructured materials is presented (see image). The experimental realization is achieved through selective nanowire growth using porous alumina templates. The statistical patterning approach is found to provide ri...

  11. PREFACE: Synthesis and integration of nanowires

    Science.gov (United States)

    Samuelson, L.

    2006-06-01

    The field of semiconductor nanowires has attracted much attention in recent years, from the areas of basic materials science, advanced characterization and technology, as well as from the perspective of the applications of nanowires. Research on large-sized whiskers and wires had already begun in the 1960s with the pioneering work of Wagner, as well as by other researchers. It was, however, in the early 1990s that Kenji Hiruma at Hitachi Central Research Laboratories in Japan first succeeded in developing methods for the growth of nanowires with dimensions on the scale of 10-100 nm, thereby initiating the field of growth and applications of nanowires, with a strong emphasis on epitaxial nucleation of nanowires on a single-crystalline substrate. Starting from the mid-1990s, the field developed very rapidly with the number of papers on the subject growing from ten per year to several thousand papers on the subject published annually today, although with a rather generous definition of the concept of nanowires. With this rapid development we have seen many new and different approaches to the growth of nanowires, technological advances leading to a more well-controlled formation of nanowires, new innovative methods for the characterization of structures, as well as a wealth of approaches towards the use of nanowires in electronics, photonics and sensor applications. This issue contains contributions from many different laboratories, each adding significant detail to the development of the field of research. The contributions cover issues such as basic growth, advanced characterization and technology, and application of nanowires. I would like to acknowledge the shared responsibilities for this special issue of Nanotechnology on the synthesis and integration of nanowires with my co-Editors, S Tong Lee and M Sunkara, as well as the highly professional support from Dr Nina Couzin, Dr Ian Forbes and the Nanotechnology team from the Institute of Physics Publishing.

  12. Fabrication of multilayer nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kaur, Jasveer, E-mail: kaurjasveer89@gmail.com; Singh, Avtar; Kumar, Davinder [Department of Physics, Punjabi University Patiala, 147002, Punjab (India); Thakur, Anup; Kaur, Raminder, E-mail: raminder-k-saini@yahoo.com [Department of Basic and Applied Sciences, Punjabi University Patiala, 147002, Punjab (India)

    2016-05-06

    Multilayer nanowires were fabricated by potentiostate ectrodeposition template synthesis method into the pores of polycarbonate membrane. In present work layer by layer deposition of two different metals Ni and Cu in polycarbonate membrane having pore size of 600 nm were carried out. It is found that the growth of nanowires is not constant, it varies with deposition time. Scanning electron microscopy (SEM) is used to study the morphology of fabricated multilayer nanowires. An energy dispersive X-ray spectroscopy (EDS) results confirm the composition of multilayer nanowires. The result shows that multilayer nanowires formed is dense.

  13. Fabrication of multilayer nanowires

    International Nuclear Information System (INIS)

    Kaur, Jasveer; Singh, Avtar; Kumar, Davinder; Thakur, Anup; Kaur, Raminder

    2016-01-01

    Multilayer nanowires were fabricated by potentiostate ectrodeposition template synthesis method into the pores of polycarbonate membrane. In present work layer by layer deposition of two different metals Ni and Cu in polycarbonate membrane having pore size of 600 nm were carried out. It is found that the growth of nanowires is not constant, it varies with deposition time. Scanning electron microscopy (SEM) is used to study the morphology of fabricated multilayer nanowires. An energy dispersive X-ray spectroscopy (EDS) results confirm the composition of multilayer nanowires. The result shows that multilayer nanowires formed is dense.

  14. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  15. Catalyst-free, III-V nanowire photovoltaics

    Science.gov (United States)

    Davies, D. G.; Lambert, N.; Fry, P. W.; Foster, A.; Krysa, A. B.; Wilson, L. R.

    2014-05-01

    We report on room temperature, photovoltaic operation of catalyst-free GaAs p-i-n junction nanowire arrays. Growth studies were first performed to determine the optimum conditions for controlling the vertical and lateral growth of the nanowires. Following this, devices consisting of axial p-i-n junctions were fabricated by planarising the nanowire arrays with a hard baked polymer. We discuss the photovoltaic properties of this proof-of-concept device, and significant improvements to be made during the growth.

  16. Controlling nanowire growth through electric field-induced deformation of the catalyst droplet

    DEFF Research Database (Denmark)

    Panciera, Federico; Norton, Michael M.; Alam, Sardar Bilal

    2016-01-01

    electron microscope show that the electric field modifies growth by changing the shape, position and contact angle of the catalytic droplet. This droplet engineering can be used to modify nanowires into three dimensional structures, relevant to a range of applications, and also to measure the droplet...

  17. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  18. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  19. Growth dynamics of SiGe nanowires by the Vapour Liquid Solid method and its impact on SiGe/Si axial heterojunction abruptness.

    Science.gov (United States)

    Pura, Jose Luis; Periwal, Priyanka; Baron, Thierry; Jimenez, Juan

    2018-06-05

    The Vapour Liquid Solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process the precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with Complementary Metal Oxide Semiconductor (CMOS) technology, this improves their versatility and the possibility of integration with the current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles in good agreement with experimental measurements. Finally, the in-depth study of the composition map provides a practical approach to reduce drastically the heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches that use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to reduce the heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors. © 2018 IOP Publishing Ltd.

  20. Electrochemical growth of nanowires in anodic alumina templates: the role of pore branching

    International Nuclear Information System (INIS)

    Noyan, Alexey A.; Leontiev, Alexey P.; Yakovlev, Maxim V.; Roslyakov, Ilya V.; Tsirlina, Galina A.; Napolskii, Kirill S.

    2017-01-01

    Highlights: • The model of metal growth inside the anodic alumina with branched pores is developed. • Model predicts the dependence of anodic alumina filling on deposition regime. • Branched pores affect the uniformity of anodic alumina filling with electrodeposits. • Branched pores make growth front of metal nanowires inside template multimodal. - Abstract: A comparative study of electrochemical growth of nanowires in the anodic alumina templates with various degree of porous structure ordering is performed. Scanning electron microscopy and coulometric analysis are used for experimental evaluation of the average filling of pores with metal. The theoretical model of metal growth inside anodic alumina templates is proposed. The model takes into account the presence of branched channels in the real structure of anodic alumina and operates with completeness of template filling achieved at the moment when metal reaches the external surface of the oxide film. In case of the diffusion-controlled regime the strong dependence of the pore filling factor on the thickness of porous film and the degree of its structure ordering is predicted theoretically and observed experimentally. The influence of the nature of limiting current on the homogeneity and completeness of template filling is discussed.

  1. Simulation of Nanowires on Metal Vicinal Surfaces: Effect of Growth Parameters and Energetic Barriers

    Science.gov (United States)

    Hamouda, Ajmi B. H.; Blel, Sonia; Einstein, T. L.

    2012-02-01

    Growing one-dimensional metal structures is an important task in the investigation of the electronic and magnetic properties of new devices. We used kinetic Monte-Carlo (kMC) method to simulate the formation of nanowires of several metallic and non-metallic adatoms on Cu and Pt vicinal surfaces. We found that mono-atomic chains form on step-edges due to energetic barriers (the so-called Ehrlich-shwoebel and exchange barriers) on step-edge. Creation of perfect wires is found to depend on growth parameters and binding energies. We measure the filling ratio of nanowires for different chemical species in a wide range of temperature and flux. Perfect wires were obtained at lower deposition rate for all tested adatoms, however we notice different temperature ranges. Our results were compared with experimental ones [Gambardella et al., Surf. Sci.449, 93-103 (2000), PRB 61, 2254-2262, (2000)]. We review the role of impurities in nanostructuring of surfaces [Hamouda et al., Phys. Rev. B 83, 035423, (2011)] and discuss the effect of their energetic barriers on the obtained quality of nanowires. Our work provides experimentalists with optimum growth parameters for the creation of a uniform distribution of wires on surfaces.

  2. Growth of Self-Catalyzed InP Nanowires by Metalorganic Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Lv Xiao-Long; Zhang Xia; Yan Xin; Liu Xiao-Long; Cui Jian-Gong; Li Jun-Shuai; Huang Yong-Qing; Ren Xiao-Min

    2012-01-01

    The fabrication of self-catalyzed InP nanowires (NWs) is investigated under different growth conditions. Indium droplets induced by surface reconstruction act as nucleation sites for NW growth. Vertical standing NWs with uniform cross sections are obtained under optimized conditions. It is confirmed that the growth rate of NWs is strongly affected by the surface diffusion adatoms while contributions from the direct impingement of vapor species onto the In droplets can be negligible. The results indicate that the droplet acts as an adatom collector rather than a catalyst. Moreover, the diffusion flow rate of adatoms increases with time at the beginning of growth and stabilizes as the growth proceeds

  3. Characterization and Growth Mechanism of Nickel Nanowires Resulting from Reduction of Nickel Formate in Polyol Medium

    Directory of Open Access Journals (Sweden)

    Olga A. Logutenko

    2016-01-01

    Full Text Available Nickel linear nanostructures were synthesized by reduction of nickel formate with hydrazine hydrate in ethylene glycol medium in the absence of any surfactants or capping agents for direction of the particles growth. The effect of the synthesis conditions such as temperature, reduction time, type of polyol, and nickel formate concentration on the reduction products was studied. The size and morphology of the nickel nanowires were characterized by X-ray diffraction, scanning, and transmission electron microscopy. It was shown that the nickel nanocrystallites were wire-shaped with a face-center-cubic phase. Ethylene glycol was found to play a crucial role in the formation of the nickel nanowires. The possible growth processes of the wire-shaped particles taking place at 110 and 130°C are discussed. It was shown that, under certain synthesis conditions, nickel nanowires grow on the surface of the crystals of the solid intermediate of nickel with hydrazine hydrate.

  4. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  5. Growth of uranyl hydroxide nanowires and nanotubes with electrodeposition method

    International Nuclear Information System (INIS)

    Wang Lin; Yuan Liyong; Chai Zhifang; Shi Weiqun

    2013-01-01

    Actinides nanomaterials have great potential applications in fabrication of novel nuclear fuel and spent fuel reprocessing in advanced nuclear energy system. However, the relative research so far still lacks systematic investigation on the synthetic methods for actinides nanomaterials. In this work, we use track-etched membranes as hard templates to synthesize uranium based nanomaterials with novel structures by electrodeposition method. Through electrochemical behavior investigations and subsequent product characterizations such as energy dispersive spectrometer (EDS), fourier transform infrared spectroscopy (FTIR), the chemical composition of deposition products have been confirmed as the uranyl hydroxide. More importantly, accurate control of morphology and structures (nanowires and nanotubes) could be achieved by carefully adjusting the growth parameters such as deposition time and deposition current density. It was found that the preferred morphology of electrodeposition products is nanowire when a low current density was applied, whereas nanotubes could be formed only under conditions of high current density and the short deposition time. The mechanism for the formation of nanowires in track-etched membranes is based on the precipitation of uranyl hydroxide from uranyl nitrate solution, according to the previous researches about obtaining nanostructures of hydroxides from nitrate salt solutions. And we have concluded that the formation of nanotubes is attributed to the hydrogen bubbles generated by water electrolysis under the condition of over-potential electro-reduction. The conveying of hydrogen bubbles plays the role of dynamic template which can prevent the complete filling of uranyl hydroxide in the channels. Additionally, we transform the chemical composition of deposition products from uranyl hydroxide to triuranium octoxide by calcining them at 500 and 800 degree centigrade, respectively, and SEM results show the morphologies of nanowires and

  6. Nanoparticle-mediated nonclassical crystal growth of sodium fluorosilicate nanowires and nanoplates

    Directory of Open Access Journals (Sweden)

    Hongxia Li

    2011-12-01

    Full Text Available We observed nonclassical crystal growth of the sodium fluorosilicate nanowires, nanoplates, and hierarchical structures through self-assembly and aggregation of primary intermediate nanoparticles. Unlike traditional ion-by-ion crystallization, the primary nanoparticles formed first and their subsequent self-assembly, fusion, and crystallization generated various final crystals. These findings offer direct evidences for the aggregation-based crystallization mechanism.

  7. Growth and properties of self-catalyzed (In,Mn)As nanowires

    International Nuclear Information System (INIS)

    Bouravleuv, Alexei; Soshnikov, Ilya; Cirlin, George; Reznik, Rodion; Khrebtov, Artem; Samsonenko, Yuriy; Werner, Peter; Savin, Alexander; Lipsanen, Harri

    2016-01-01

    Mn-assisted molecular beam epitaxy is used for the growth of (In,Mn)As nanowires (NWs) on GaAs(111)B. The transmission electron microscopy measurements revealed that despite the relatively high growth temperature regime this technique can be used to obtain (In,Mn)As NWs with high crystalline quality without any crystal defects, such as dislocations, stacking faults or precipitates inside the investigated NWs or on their side-walls, although the growth processes of NWs were accompanied by the formation of MnAs precipitates between the NWs at the interface of the wetting layer. The results obtained are of importance for the realization of new spintronic nanostructured materials. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñoz, Enrique

    2013-12-06

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green\\'s function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  9. Structural and tunneling properties of Si nanowires

    KAUST Repository

    Montes Muñ oz, Enrique; Gkionis, Konstantinos; Rungger, Ivan; Sanvito, Stefano; Schwingenschlö gl, Udo

    2013-01-01

    We investigate the electronic structure and electron transport properties of Si nanowires attached to Au electrodes from first principles using density functional theory and the nonequilibrium Green's function method. We systematically study the dependence of the transport properties on the diameter of the nanowires, on the growth direction, and on the length. At the equilibrium Au-nanowire distance we find strong electronic coupling between the electrodes and nanowires, which results in a low contact resistance. With increasing nanowire length we study the transition from metallic to tunneling conductance for small applied bias. For the tunneling regime we investigate the decay of the conductance with the nanowire length and rationalize the results using the complex band structure of the pristine nanowires. The conductance is found to depend strongly on the growth direction, with nanowires grown along the ⟨110⟩ direction showing the smallest decay with length and the largest conductance and current.

  10. Large-scale fabrication of vertically aligned ZnO nanowire arrays

    Science.gov (United States)

    Wang, Zhong L; Das, Suman; Xu, Sheng; Yuan, Dajun; Guo, Rui; Wei, Yaguang; Wu, Wenzhuo

    2013-02-05

    In a method for growing a nanowire array, a photoresist layer is placed onto a nanowire growth layer configured for growing nanowires therefrom. The photoresist layer is exposed to a coherent light interference pattern that includes periodically alternately spaced dark bands and light bands along a first orientation. The photoresist layer exposed to the coherent light interference pattern along a second orientation, transverse to the first orientation. The photoresist layer developed so as to remove photoresist from areas corresponding to areas of intersection of the dark bands of the interference pattern along the first orientation and the dark bands of the interference pattern along the second orientation, thereby leaving an ordered array of holes passing through the photoresist layer. The photoresist layer and the nanowire growth layer are placed into a nanowire growth environment, thereby growing nanowires from the nanowire growth layer through the array of holes.

  11. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong

    2008-12-04

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically inactive Cr (or Sn) layer for NW growth. The growth temperature (< 100 °C) is so low that the method can be applied to a wide range of substrates that can be inorganic, organic, single crystal, polycrystal, or amorphous. The laterally aligned ZnO NW arrays can be employed for various applications, such as gas sensor, field effect transistor, nanogenerator, and flexible electronics. © 2008 American Chemical Society.

  12. Controlling Growth High Uniformity Indium Selenide (In2Se3) Nanowires via the Rapid Thermal Annealing Process at Low Temperature.

    Science.gov (United States)

    Hsu, Ya-Chu; Hung, Yu-Chen; Wang, Chiu-Yen

    2017-09-15

    High uniformity Au-catalyzed indium selenide (In 2 Se 3) nanowires are grown with the rapid thermal annealing (RTA) treatment via the vapor-liquid-solid (VLS) mechanism. The diameters of Au-catalyzed In 2 Se 3 nanowires could be controlled with varied thicknesses of Au films, and the uniformity of nanowires is improved via a fast pre-annealing rate, 100 °C/s. Comparing with the slower heating rate, 0.1 °C/s, the average diameters and distributions (standard deviation, SD) of In 2 Se 3 nanowires with and without the RTA process are 97.14 ± 22.95 nm (23.63%) and 119.06 ± 48.75 nm (40.95%), respectively. The in situ annealing TEM is used to study the effect of heating rate on the formation of Au nanoparticles from the as-deposited Au film. The results demonstrate that the average diameters and distributions of Au nanoparticles with and without the RTA process are 19.84 ± 5.96 nm (30.00%) and about 22.06 ± 9.00 nm (40.80%), respectively. It proves that the diameter size, distribution, and uniformity of Au-catalyzed In 2 Se 3 nanowires are reduced and improved via the RTA pre-treated. The systemic study could help to control the size distribution of other nanomaterials through tuning the annealing rate, temperatures of precursor, and growth substrate to control the size distribution of other nanomaterials. Graphical Abstract Rapid thermal annealing (RTA) process proved that it can uniform the size distribution of Au nanoparticles, and then it can be used to grow the high uniformity Au-catalyzed In 2 Se 3 nanowires via the vapor-liquid-solid (VLS) mechanism. Comparing with the general growth condition, the heating rate is slow, 0.1 °C/s, and the growth temperature is a relatively high growth temperature, > 650 °C. RTA pre-treated growth substrate can form smaller and uniform Au nanoparticles to react with the In 2 Se 3 vapor and produce the high uniformity In 2 Se 3 nanowires. The in situ annealing TEM is used to realize the effect of heating

  13. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Meng; Zhao, Jian [School of Electromechanical Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266061 (China); Li, Zhenjiang, E-mail: zhenjiangli@qust.edu.cn [School of Sino-German Science and Technology, Qingdao University of Science and Technology, Qingdao 266061, China (China); Yu, Hongyuan [School of Electromechanical Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266061 (China); Wang, Yaqi [School of Chemistry and Molecular Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266042 (China); Meng, Alan, E-mail: alanmengqust@163.com [School of Chemistry and Molecular Engineering, Qingdao University of Science and Technology, Qingdao, Shandong Province 266042 (China); Li, Qingdang [School of Sino-German Science and Technology, Qingdao University of Science and Technology, Qingdao 266061, China (China)

    2016-11-15

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm and 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.

  14. Bamboo-like 3C-SiC nanowires with periodical fluctuating diameter: Homogeneous synthesis, synergistic growth mechanism, and their luminescence properties

    International Nuclear Information System (INIS)

    Zhang, Meng; Zhao, Jian; Li, Zhenjiang; Yu, Hongyuan; Wang, Yaqi; Meng, Alan; Li, Qingdang

    2016-01-01

    Herein, bamboo-like 3C-SiC nanowires have been successfully fabricated on homogeneous 6H-SiC substrate by a simple chemical vapor reaction (CVR) approach. The obtained 3C-SiC nanostructure with periodical fluctuating diameter, is composed of two alternating structure units, the typical normal-sized stem segment with perfect crystallinity and obvious projecting nodes segment having high-density stacking faults. The formation of the interesting morphology is significantly subjected to the peculiar growth condition provided by the homogeneous substrate as well as the varying growth elastic energy. Furthermore, the photoluminescence (PL) performance measured on the bamboo-like SiC nanowire shows an intensive emission peaks centered at 451 nm and 467 nm, which has been expected to make a positive progress toward the optical application of the SiC-based one-dimensional (1D) nanostructures, such as light emission diode (LED). - Graphical abstract: Based on the synergistic growth mechanism from homogeneous substrate and elastic energy, bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. The blue-violet light emission properties of the bamboo-like nanowires have also been investigated for exploring their peculiar optical application. - Highlights: • Bamboo-like 3C-SiC nanowires with periodically fluctuating diameter have been synthesized on 6H-SiC. • A synergistic growth mechanism from homogeneous substrate and elastic energy has been proposed firstly. • The blue-violet light emission properties of the products displayed peculiar optical application.

  15. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  16. Plasma nitriding induced growth of Pt-nanowire arrays as high performance electrocatalysts for fuel cells

    Science.gov (United States)

    Du, Shangfeng; Lin, Kaijie; Malladi, Sairam K.; Lu, Yaxiang; Sun, Shuhui; Xu, Qiang; Steinberger-Wilckens, Robert; Dong, Hanshan

    2014-09-01

    In this work, we demonstrate an innovative approach, combing a novel active screen plasma (ASP) technique with green chemical synthesis, for a direct fabrication of uniform Pt nanowire arrays on large-area supports. The ASP treatment enables in-situ N-doping and surface modification to the support surface, significantly promoting the uniform growth of tiny Pt nuclei which directs the growth of ultrathin single-crystal Pt nanowire (2.5-3 nm in diameter) arrays, forming a three-dimensional (3D) nano-architecture. Pt nanowire arrays in-situ grown on the large-area gas diffusion layer (GDL) (5 cm2) can be directly used as the catalyst electrode in fuel cells. The unique design brings in an extremely thin electrocatalyst layer, facilitating the charge transfer and mass transfer properties, leading to over two times higher power density than the conventional Pt nanoparticle catalyst electrode in real fuel cell environment. Due to the similar challenges faced with other nanostructures and the high availability of ASP for other material surfaces, this work will provide valuable insights and guidance towards the development of other new nano-architectures for various practical applications.

  17. In situ doping of catalyst-free InAs nanowires with Si: Growth, polytypism, and local vibrational modes of Si

    Energy Technology Data Exchange (ETDEWEB)

    Dimakis, Emmanouil; Ramsteiner, Manfred; Huang, Chang-Ning; Trampert, Achim; Riechert, Henning; Geelhaar, Lutz [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Festkörperphysik, Universität Siegen, Walter-Flex-Str. 3, 57072 Siegen (Germany)

    2013-09-30

    Growth and structural aspects of the in situ doping of InAs nanowires with Si have been investigated. The nanowires were grown catalyst-free on Si(111) substrates by molecular beam epitaxy. The supply of Si influenced the growth kinetics, affecting the nanowire dimensions, but not the degree of structural polytypism, which was always pronounced. As determined by Raman spectroscopy, Si was incorporated as substitutional impurity exclusively on In sites, which makes it a donor. Previously unknown Si-related Raman peaks at 355 and 360 cm{sup −1} were identified, based on their symmetry properties in polarization-dependent measurements, as the two local vibrational modes of an isolated Si impurity on In site along and perpendicular, respectively, to the c-axis of the wurtzite InAs crystal.

  18. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    Science.gov (United States)

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  19. Electrochemically grown rough-textured nanowires

    International Nuclear Information System (INIS)

    Tyagi, Pawan; Postetter, David; Saragnese, Daniel; Papadakis, Stergios J.; Gracias, David H.

    2010-01-01

    Nanowires with a rough surface texture show unusual electronic, optical, and chemical properties; however, there are only a few existing methods for producing these nanowires. Here, we describe two methods for growing both free standing and lithographically patterned gold (Au) nanowires with a rough surface texture. The first strategy is based on the deposition of nanowires from a silver (Ag)-Au plating solution mixture that precipitates an Ag-Au cyanide complex during electrodeposition at low current densities. This complex disperses in the plating solution, thereby altering the nanowire growth to yield a rough surface texture. These nanowires are mass produced in alumina membranes. The second strategy produces long and rough Au nanowires on lithographically patternable nickel edge templates with corrugations formed by partial etching. These rough nanowires can be easily arrayed and integrated with microscale devices.

  20. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  1. Template assisted solid state electrochemical growth of silver micro- and nanowires

    International Nuclear Information System (INIS)

    Peppler, Klaus; Janek, Juergen

    2007-01-01

    We report on a template based solid state electrochemical method for fabricating silver nanowires with predefined diameter, depending only on the pore diameter of the template. As templates we used porous silicon with pore diameters in the μm range and porous alumina with pore diameters in the nm range. The template pores were filled with silver sulfide (a mixed silver cation and electronic conductor) by direct chemical reaction of silver and sulfur. The filled template was then placed between a silver foil as anode (bottom side) and a microelectrode (top side) as cathode. An array of small cylindrical transference cells with diameters in the range of either micro- or nanometers was thus obtained. By applying a cathodic voltage to the microelectrode silver in the form of either micro- or nanowires was deposited at about 150 deg. C. The growth rate is controllable by the electric current

  2. Functionalised Silver Nanowire Structures

    International Nuclear Information System (INIS)

    Andrew, Piers; Ilie, Adelina

    2007-01-01

    Crystalline silver nanowires 60-100 nm in diameter and tens of micrometres in length have been fabricated using a low temperature, solution synthesis technique. We explore the potential of this method to produce functional nanowire structures using two different strategies to attach active molecules to the nanowires: adsorption and displacement. Initially, as-produced silver nanowires capped with a uniaxial-growth-inducing polymer layer were functionalised by solution adsorption of a semiconducting conjugated polymer to generate fluorescent nanowire structures. The influence of nanowire surface chemistry was investigated by displacing the capping polymer with an alkanethiol self-assembled monolayer, followed by solution adsorption functionalisation. The success of molecular attachment was monitored by electron microscopy, absorption and fluorescence spectroscopy and confocal fluorescence microscopy. We examined how the optical properties of such adsorbed molecules are affected by the metallic nanowires, and observed transfer of excitation energy between dye molecules mediated by surface plasmons propagating on the nanowires. Non-contact dynamic force microscopy measurements were used to map the work-function of individual wires, revealing inhomogeneity of the polymer surface coverage

  3. Stability of Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, F.; Schiek, M.; Wallmann, I.

    2011-01-01

    The morphological stability of organic nanowires over time and under thermal load is of major importance for their use in any device. In this study the growth and stability of organic nanowires from a naphthyl end-capped thiophene grown by organic molecular beam deposition is investigated via ato...

  4. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  5. Improved seedless hydrothermal synthesis of dense and ultralong ZnO nanowires

    International Nuclear Information System (INIS)

    Tian Jinghua; Hu Jie; Li Sisi; Zhang Fan; Liu Jun; Shi Jian; Li Xin; Chen Yong; Tian Zhongqun

    2011-01-01

    Seedless hydrothermal synthesis has been improved by introducing an adequate content of ammonia into the nutrient solution, allowing the fabrication of dense and ultralong ZnO nanowire arrays over large areas on a substrate. The presence of ammonia in the nutrient solution facilitates the high density nucleation of ZnO on the substrate which is critical for the nanowire growth. In order to achieve an optimal growth, the growth conditions have been studied systematically as a function of ammonia content, growth temperature and incubation time. The effect of polyethyleneimine (PEI) has also been studied but shown to be of no benefit to the nucleation of ZnO. Ultradense and ultralong ZnO nanowires could be obtained under optimal growth conditions, showing no fused structure at the foot of the nanowire arrays. Due to different reaction kinetics, four growth regimes could be attributed, including the first fast growth, equilibrium phase, second fast growth and final erosion. Combining this simple method with optical lithography, ZnO nanowires could be grown selectively on patterned areas. In addition, the as-grown ZnO nanowires could be used for the fabrication of a piezoelectric nanogenerator. Compared to the device of ZnO nanowires made by other methods, a more than twice voltage output has been obtained, thereby proving an improved performance of our growth method.

  6. Long Silver Nanowires Synthesis by Pulsed Electrodeposition

    Directory of Open Access Journals (Sweden)

    M.R. Batevandi

    2015-09-01

    Full Text Available Silver nanowires were pulse electrodeposited into nanopore anodic alumina oxide templates. The effects of continuous and pulse electrodeposition waveform on the microstructure properties of the nanowire arrays were studied. It is seen that the microstructure of nanowire is depend to pulse condition. The off time duration of pulse waveform enables to control the growth direction of Ag nanowires.

  7. Controlling growth density and patterning of single crystalline silicon nanowires

    International Nuclear Information System (INIS)

    Chang, Tung-Hao; Chang, Yu-Cheng; Liu, Fu-Ken; Chu, Tieh-Chi

    2010-01-01

    This study examines the usage of well-patterned Au nanoparticles (NPs) as a catalyst for one-dimensional growth of single crystalline Si nanowires (NWs) through the vapor-liquid-solid (VLS) mechanism. The study reports the fabrication of monolayer Au NPs through the self-assembly of Au NPs on a 3-aminopropyltrimethoxysilane (APTMS)-modified silicon substrate. Results indicate that the spin coating time of Au NPs plays a crucial role in determining the density of Au NPs on the surface of the silicon substrate and the later catalysis growth of Si NWs. The experiments in this study employed optical lithography to pattern Au NPs, treating them as a catalyst for Si NW growth. The patterned Si NW structures easily produced and controlled Si NW density. This approach may be useful for further studies on single crystalline Si NW-based nanodevices and their properties.

  8. Self organized growth of organic thiophene-phenylene nanowires on silicate surfaces

    DEFF Research Database (Denmark)

    Balzer, F.; Schiek, Manuela; Lützen, Arne

    2009-01-01

    changes in the choice of the substrate lead to distinctly different growth behavior. On muscovite, a commensurate wetting layer of lying molecules is initially formed with subsequent formation of clusters, which assemble into mutually parallel nanowires. The wires grow along a 110 muscovite direction......Results of a systematic study of the growth of blue-green light-emitting 2,5-di-4-biphenyl-thiophene (PPTPP) molecules on the (001) faces of the sheet silicates muscovite and phlogopite mica are reported. This includes morphology, crystallography, and optical properties. It is shown that small....... In comparison to similar organic molecules, it is found that overall PPTPP has a growth behavior more similar to that of the p-phenylenes as compared to that of the α-thiophenes....

  9. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  10. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    Science.gov (United States)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  11. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  12. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  13. n-Type Doping and Morphology of GaAs Nanowires in Aerotaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Sivakumar, Sudhakar [Lund University; Persson, Axel R. [Lund University; Geijselaers, Irene [Lund University; Wallenberg, L. Reine [Lund University; Deppert, Knut [Lund University; Samuelson, Lars [Lund University; Magnusson, Martin [Lund University

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  14. Nanowire Photovoltaic Devices

    Science.gov (United States)

    Forbes, David

    2015-01-01

    Firefly Technologies, in collaboration with the Rochester Institute of Technology and the University of Wisconsin-Madison, developed synthesis methods for highly strained nanowires. Two synthesis routes resulted in successful nanowire epitaxy: direct nucleation and growth on the substrate and a novel selective-epitaxy route based on nanolithography using diblock copolymers. The indium-arsenide (InAs) nanowires are implemented in situ within the epitaxy environment-a significant innovation relative to conventional semiconductor nanowire generation using ex situ gold nanoparticles. The introduction of these nanoscale features may enable an intermediate band solar cell while simultaneously increasing the effective absorption volume that can otherwise limit short-circuit current generated by thin quantized layers. The use of nanowires for photovoltaics decouples the absorption process from the current extraction process by virtue of the high aspect ratio. While no functional solar cells resulted from this effort, considerable fundamental understanding of the nanowire epitaxy kinetics and nanopatterning process was developed. This approach could, in principle, be an enabling technology for heterointegration of dissimilar materials. The technology also is applicable to virtual substrates. Incorporating nanowires onto a recrystallized germanium/metal foil substrate would potentially solve the problem of grain boundary shunting of generated carriers by restricting the cross-sectional area of the nanowire (tens of nanometers in diameter) to sizes smaller than the recrystallized grains (0.5 to 1 micron(exp 2).

  15. Nanowire sensors and arrays for chemical/biomolecule detection

    Science.gov (United States)

    Yun, Minhee; Lee, Choonsup; Vasquez, Richard P.; Ramanathan, K.; Bangar, M. A.; Chen, W.; Mulchandan, A.; Myung, N. V.

    2005-01-01

    We report electrochemical growth of single nanowire based sensors using e-beam patterned electrolyte channels, potentially enabling the controlled fabrication of individually addressable high density arrays. The electrodeposition technique results in nanowires with controlled dimensions, positions, alignments, and chemical compositions. Using this technique, we have fabricated single palladium nanowires with diameters ranging between 75 nm and 300 nm and conducting polymer nanowires (polypyrrole and polyaniline) with diameters between 100 nm and 200 nm. Using these single nanowires, we have successfully demonstrated gas sensing with Pd nanowires and pH sensing with polypirrole nanowires.

  16. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    Directory of Open Access Journals (Sweden)

    Artoni Pietro

    2011-01-01

    Full Text Available Abstract Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C, in this study, it is proved that Si and Ge nanowires (NWs growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  17. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    Science.gov (United States)

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  18. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  19. Predicting the growth of S i3N4 nanowires by phase-equilibrium-dominated vapor-liquid-solid mechanism

    Science.gov (United States)

    Zhang, Yongliang; Cai, Jing; Yang, Lijun; Wu, Qiang; Wang, Xizhang; Hu, Zheng

    2017-09-01

    Nanomaterial synthesis is experiencing a profound evolution from empirical science ("cook-and-look") to prediction and design, which depends on the deep insight into the growth mechanism. Herein, we report a generalized prediction of the growth of S i3N4 nanowires by nitriding F e28S i72 alloy particles across different phase regions based on our finding of the phase-equilibrium-dominated vapor-liquid-solid (PED-VLS) mechanism. All the predictions about the growth of S i3N4 nanowires, and the associated evolutions of lattice parameters and geometries of the coexisting Fe -Si alloy phases, are experimentally confirmed quantitatively. This progress corroborates the general validity of the PED-VLS mechanism, which could be applied to the design and controllable synthesis of various one-dimensional nanomaterials.

  20. In situ-growth of silica nanowires in ceramic carbon composites

    Directory of Open Access Journals (Sweden)

    Rahul Kumar

    2017-09-01

    Full Text Available An understanding of the processing and microstructure of ceramic–carbon composites is critical to development of these composites for applications needing electrically conducting, thermal shock resistant ceramic materials. In the present study green compacts of carbon ceramic composites were prepared either by slurry processing or dry powder blending of one or more of the three — clay, glass, alumina and carbon black or graphite. The dried green compacts were sintered at 1400 °C in flowing argon. The ceramic carbon composites except the ones without clay addition showed formation of silica nanowires. The silica nanowire formation was observed in both samples prepared by slip casting and dry powder compaction containing either carbon black or graphite. TEM micrographs showed presence of carbon at the core of the silica nanowires indicating that carbon served the role of a catalyst. Selected area electron diffraction (SAED suggested that the silica nanowires are amorphous. Prior studies have reported formation of silica nanowires from silicon, silica, silicon carbide but this is the first report ever on formation of silica nanowires from clay.

  1. Synthesis of vertical arrays of ultra long ZnO nanowires on noncrystalline substrates

    International Nuclear Information System (INIS)

    Kwon, Bong Jun; Lee, Kyung Moon; Shin, Hae-Young; Kim, Jinwoong; Liu, Jinzhang; Yoon, Seokhyun; Lee, Soonil; Ahn, Y.H.; Park, Ji-Yong

    2012-01-01

    Highlights: ► Arrays of vertical ultra-long ZnO nanowires with lengths upto 300 μm. ► Controls of lengths and diameters of vertical arrays of ZnO nanowires on SiO 2 substrates. ► Luminescent and electrical properties of ZnO nanowires prepared with different growth conditions. - Abstract: Vertically aligned arrays of ultralong ZnO nanowires were synthesized on SiO 2 substrates with carbothermal vapor phase transport method with Au seeding layer. High density of vertically aligned ZnO nanowires with lengths from a few to ∼300 μm could be grown by controlling growth conditions. Supply of high concentration of Zn vapor and control of the ratio between Zn vapor and oxygen are found to have the most significant effects on the growth of long ZnO nanowires in the vapor–solid growth mechanism. The nanowires are of high crystalline quality as confirmed by various structural, compositional, and luminescent measurements. Luminescent and electrical properties of ZnO nanowires with different growth conditions were also investigated.

  2. Two steps hydrothermal growth and characterisations of BaTiO3 films composed of nanowires

    Science.gov (United States)

    Zawawi, Che Zaheerah Najeehah Che Mohd; Salleh, Shahril; Oon Jew, Lee; Tufail Chaudhary, Kashif; Helmi, Mohamad; Safwan Aziz, Muhammad; Haider, Zuhaib; Ali, Jalil

    2018-05-01

    Barium titanate (BaTiO3) films composed of nanowires have gained considerable research interest due to their lead-free composition and strong energy conversion efficiency. BaTiO3 films can be developed with a simple two steps hydrothermal reactions, which are low cost effective. In this research, BaTiO3 films were fabricated on titanium foil through two steps hydrothermal method namely, the growth of TiO2 and followed by BaTiO3 films. The structural evolutions and the dielectric properties of the films were investigated as well. The structural evolutions of titanium dioxide (TiO2) and BaTiO3 nanowires were characterized using X-ray diffraction and scanning electron microscopy. First step of hydrothermal reaction, TiO2 nanowires were prepared in varied temperatures of 160 °C, 200 °C and 250 °C respectively. Second step of hydrothermal reaction was performed to produce a layer of BaTiO3 films.

  3. Nanowire-decorated microscale metallic electrodes

    DEFF Research Database (Denmark)

    Vlad, A.; Mátéfi-Tempfli, M.; Antohe, V.A.

    2008-01-01

    The fabrication of metallic nanowire patterns within anodic alumina oxide (AAO) membranes on top of continuous conducting substrates are discussed. The fabrication protocol is based on the realization of nanowire patterns using supported nanoporous alumina templates (SNAT) prepared on top...... of lithographically defined metallic microelectrodes. The anodization of the aluminum permits electroplating only on top of the metallic electrodes, leading to the nanowire patterns having the same shape as the underlying metallic tracks. The variation in the fabricated structures between the patterned and non......-patterned substrates can be interpreted in terms of different behavior during anodization. The improved quality of fabricated nanowire patterns is clearly demonstrated by the SEM imaging and the uniform growth of nanowires inside the alumina template is observed without any significant height variation....

  4. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  5. Synthesis of Indium Nanowires by Galvanic Displacement and Their Optical Properties

    Directory of Open Access Journals (Sweden)

    Hope Greg

    2008-01-01

    Full Text Available Abstract Single crystalline indium nanowires were prepared on Zn substrate which had been treated in concentrated sulphuric acid by galvanic displacement in the 0.002 mol L−1In2(SO43-0.002 mol L−1SeO2-0.02 mol L−1SDS-0.01 mol L−1citric acid aqueous solution. The typical diameter of indium nanowires is 30 nm and most of the nanowires are over 30 μm in length. XRD, HRTEM, SAED and structural simulation clearly demonstrate that indium nanowires are single-crystalline with the tetragonal structure, the growth direction of the nanowires is along [100] facet. The UV-Vis absorption spectra showed that indium nanowires display typical transverse resonance of SPR properties. The surfactant (SDS and the pretreatment of Zn substrate play an important role in the growth process. The mechanism of indium nanowires growth is the synergic effect of treated Zn substrate (hard template and SDS (soft template.

  6. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    Science.gov (United States)

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  8. MOCVD growth and structural characterization of In-Sb-Te nanowires

    International Nuclear Information System (INIS)

    Selmo, S.; Fanciulli, M.; Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M.; Rotunno, E.; Lazzarini, L.

    2016-01-01

    In this work, the self-assembly of In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO 2 ). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Diameter optimization of VLS-synthesized ZnO nanowires, using statistical design of experiment

    International Nuclear Information System (INIS)

    Shafiei, Sepideh; Nourbakhsh, Amirhasan; Ganjipour, Bahram; Zahedifar, Mostafa; Vakili-Nezhaad, Gholamreza

    2007-01-01

    The possibility of diameter optimization of ZnO nanowires by using statistical design of experiment (DoE) is investigated. In this study, nanowires were synthesized using a vapor-liquid-solid (VLS) growth method in a horizontal reactor. The effects of six synthesis parameters (synthesis time, synthesis temperature, thickness of gold layer, distance between ZnO holder and substrate, mass of ZnO and Ar flow rate) on the average diameter of a ZnO nanowire were examined using the fractional factorial design (FFD) coupled with response surface methodology (RSM). Using a 2 III 6-3 FFD, the main effects of the thickness of the gold layer, synthesis temperature and synthesis time were concluded to be the key factors influencing the diameter. Then Box-Behnken design (BBD) was exploited to create a response surface from the main factors. The total number of required runs for the DoE process is 25, 8 runs for FFD parameter screening and 17 runs for the response surface obtained by BBD. Three extra runs are done to confirm the predicted results

  10. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    Science.gov (United States)

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  11. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  12. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Science.gov (United States)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  13. Solid source growth of Si oxide nanowires promoted by carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Congxiang [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Wen-wen; Wang, Xingli [Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Li, Xiaocheng [Laboratory of clean energy chemistry and materials, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, No. 18 Tianshui Middle Road, Lanzhou 730000 (China); Tan, Chong Wei [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Tay, Beng Kang, E-mail: ebktay@ntu.edu.sg [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore); Novitas, Nanoelectronics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Coquet, Philippe [CINTRA CNRS/NTU/THALES, Nanyang Technological University, Singapore 637553 (Singapore)

    2014-09-30

    Highlights: • An array of well aligned and uniform CNTs is successfully fabricated by PECVD. • SiONW growth utilizes Si substrate as the source, ruling out the usage of silane. • With CNT array on the substrate, SiONW growth is improved significantly. • CNTs help dispersion of the catalysts and diffusion of the Si atoms. - Abstract: We report a method to promote solid source growth of Si oxide nanowires (SiONWs) by using an array of vertically aligned carbon nanotubes (CNTs). It starts with the fabrication of CNT array by plasma enhanced chemical vapor deposition (PECVD) on Si wafers, followed by growth of SiONWs. Herein, CNTs serve as a scaffold, which helps the dispersion of catalysts for SiONWs and also provides space for hydrogen which boosts the diffusion of Si atoms and hence formation of SiONWs. As the result, a three dimensional (3D) hybrid network of densely packed SiONWs and CNTs can be produced rapidly.

  14. Generic nano-imprint process for fabrication of nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Pierret, Aurelie; Hocevar, Moira; Algra, Rienk E; Timmering, Eugene C; Verschuuren, Marc A; Immink, George W G; Verheijen, Marcel A; Bakkers, Erik P A M [Philips Research Laboratories Eindhoven, High Tech Campus 11, 5656 AE Eindhoven (Netherlands); Diedenhofen, Silke L [FOM Institute for Atomic and Molecular Physics c/o Philips Research Laboratories, High Tech Campus 4, 5656 AE Eindhoven (Netherlands); Vlieg, E, E-mail: e.p.a.m.bakkers@tue.nl [IMM, Solid State Chemistry, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2010-02-10

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2 inch substrates. After lift-off organic residues remain on the surface, which induce the growth of additional undesired nanowires. We show that cleaning of the samples before growth with piranha solution in combination with a thermal anneal at 550 deg. C for InP and 700 deg. C for GaP results in uniform nanowire arrays with 1% variation in nanowire length, and without undesired extra nanowires. Our chemical cleaning procedure is applicable to other lithographic techniques such as e-beam lithography, and therefore represents a generic process.

  15. Electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes: FFT-impedance spectroscopy of the growth process and magnetic properties.

    Science.gov (United States)

    Gerngross, Mark-Daniel; Carstensen, Jürgen; Föll, Helmut

    2014-01-01

    The electrochemical growth of Co nanowires in ultra-high aspect ratio InP membranes has been investigated by fast Fourier transform-impedance spectroscopy (FFT-IS) in the frequency range from 75 Hz to 18.5 kHz. The impedance data could be fitted very well using an electric circuit equivalent model with a series resistance connected in series to a simple resistor-capacitor (RC) element and a Maxwell element. Based on the impedance data, the Co deposition in ultra-high aspect ratio InP membranes can be divided into two different Co deposition processes. The corresponding share of each process on the overall Co deposition can be determined directly from the transfer resistances of the two processes. The impedance data clearly show the beneficial impact of boric acid on the Co deposition and also indicate a diffusion limitation of boric acid in ultra-high aspect ratio InP membranes. The grown Co nanowires are polycrystalline with a very small grain size. They show a narrow hysteresis loop with a preferential orientation of the easy magnetization direction along the long nanowire axis due to the arising shape anisotropy of the Co nanowires.

  16. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  17. Growth of wurtzite CdTe nanowires on fluorine-doped tin oxide glass substrates and room-temperature bandgap parameter determination

    Science.gov (United States)

    Choi, Seon Bin; Song, Man Suk; Kim, Yong

    2018-04-01

    The growth of CdTe nanowires, catalyzed by Sn, was achieved on fluorine-doped tin oxide glass by physical vapor transport. CdTe nanowires grew along the 〈0001〉 direction, with a very rare and phase-pure wurtzite structure, at 290 °C. CdTe nanowires grew under Te-limited conditions by forming SnTe nanostructures in the catalysts and the wurtzite structure was energetically favored. By polarization-dependent and power-dependent micro-photoluminescence measurements of individual nanowires, heavy and light hole-related transitions could be differentiated, and the fundamental bandgap of wurtzite CdTe at room temperature was determined to be 1.562 eV, which was 52 meV higher than that of zinc-blende CdTe. From the analysis of doublet photoluminescence spectra, the valence band splitting energy between heavy hole and light hole bands was estimated to be 43 meV.

  18. Dimensional effects in semiconductor nanowires; Dimensionseffekte in Halbleiternanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Stichtenoth, Daniel

    2008-06-23

    Nanomaterials show new physical properties, which are determined by their size and morphology. These new properties can be ascribed to the higher surface to volume ratio, to quantum size effects or to a form anisotropy. They may enable new technologies. The nanowires studied in this work have a diameter of 4 to 400 nm and a length up to 100 {mu}m. The semiconductor material used is mainly zinc oxide (ZnO), zinc sulfide (ZnS) and gallium arsenide (GaAs). All nanowires were synthesized according to the vapor liquid solid mechanism, which was originally postulated for the growth of silicon whiskers. Respective modifications for the growth of compound semiconductor nanowires are discussed. Detailed luminescence studies on ZnO nanowires with different diameters show pronounced size effects which can be attributed to the origins given above. Similar to bulk material, a tuning of the material properties is often essential for a further functionalization of the nanowires. This is typical realized by doping the source material. It becomes apparent, that a controlled doping of nanowires during the growth process is not successful. Here an alternative method is chosen: the doping after the growth by ion implantation. However, the doping by ion implantation goes always along with the creation of crystal defects. The defects have to be annihilated in order to reach an activation of th introduced dopants. At high ion fluences and ion masses the sputtering of surface atoms becomes more important. This results in a characteristic change in the morphology of the nanowires. In detail, the doping of ZnO and ZnS nanowires with color centers (manganese and rare earth elements) is demonstrated. Especially, the intra 3d luminescence of manganese implanted ZnS nanostructures shows a strong dependence of the nanowire diameter and morphology. This dependence can be described by expanding Foersters model (which describes an energy transfer to the color centers) by a dimensional parameter

  19. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical -oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  20. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    Science.gov (United States)

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  1. Solution synthesis of lead seeded germanium nanowires and branched nanowire networks and their application as Li-ion battery anodes

    Science.gov (United States)

    Flynn, Grace; Palaniappan, Kumaranand; Sheehan, Martin; Kennedy, Tadhg; Ryan, Kevin M.

    2017-06-01

    Herein, we report the high density growth of lead seeded germanium nanowires (NWs) and their development into branched nanowire networks suitable for application as lithium ion battery anodes. The synthesis of the NWs from lead seeds occurs simultaneously in both the liquid zone (solution-liquid-solid (SLS) growth) and solvent rich vapor zone (vapor-liquid-solid (VLS) growth) of a high boiling point solvent growth system. The reaction is sufficiently versatile to allow for the growth of NWs directly from either an evaporated catalyst layer or from pre-defined nanoparticle seeds and can be extended to allowing extensive branched nanowire formation in a secondary reaction where these seeds are coated onto existing wires. The NWs are characterized using TEM, SEM, XRD and DF-STEM. Electrochemical analysis was carried out on both the single crystal Pb-Ge NWs and the branched Pb-Ge NWs to assess their suitability for use as anodes in a Li-ion battery. Differential capacity plots show both the germanium wires and the lead seeds cycle lithium and contribute to the specific capacity that is approximately 900 mAh g-1 for the single crystal wires, rising to approximately 1100 mAh g-1 for the branched nanowire networks.

  2. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  3. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  4. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  5. Transport Phenomena in Nanowires, Nanotubes, and Other Low-Dimensional Systems

    KAUST Repository

    Montes Muñ oz, Enrique

    2017-01-01

    and their dependence on the nanowire growth direction, diameter, and length. At equilibrium Au-nanowire distance we find strong electronic coupling between electrodes and nanowire, resulting in low contact resistance. For the tunneling regime, the decay

  6. Synthesis, characterization and photoluminescence of tin oxide nanoribbons and nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M.A., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansorov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan)

    2009-11-15

    In this work we report the successful formation of tin oxide nanowires and tin oxide nanoribbons with high yield and by using simple cheap method. We also report the formation of curved nanoribbon, wedge-like tin oxide nanowires and star-like nanowires. The growth mechanism of these structures has been studied. Scanning electron microscope was used in the analysis and the EDX analysis showed that our samples is purely Sn and O with ratio 1:2. X-ray analysis was also used in the characterization of the tin oxide nanowire and showed the high crystallinity of our nanowires. The mechanism of the growth of our1D nanostructures is closely related to the vapor-liquid-solid (VLS) process. The photoluminescence PL measurements for the tin oxide nanowires indicated that there are three stable emission peaks centered at wavelengths 630, 565 and 395 nm. The nature of the transition may be attributed to nanocrystals inside the nanobelts or to Sn or O vacancies occurring during the growth which can induce trapped states in the band gap.

  7. Platinum boride nanowires: Synthesis and characterization

    International Nuclear Information System (INIS)

    Ding Zhanhui; Qiu Lixia; Zhang Jian; Yao Bin; Cui Tian; Guan Weiming; Zheng Weitao; Wang Wenquan; Zhao Xudong; Liu Xiaoyang

    2012-01-01

    Highlights: ► Platinum boride nanowires have been synthesized via the direct current arc discharge method. ► XRD, TEM and SAED indicate that the nanowires are single-crystal PtB. ► Two broad photoluminescence emission peaks at about 586 nm and 626 nm have been observed in the PL spectroscopy of PtB nanowires. - Abstract: Platinum boride (PtB) nanowires have been successfully fabricated with direct current arc discharge method using a milled mixture of platinum (Pt) and boron nitride (BN) powders. X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to characterize the compositions, morphology, and structures of the samples. The results show that PtB nanowires are 30–50 nm thick and 20–30 μm long. TEM and selected area electron diffraction (SAED) patterns identify that the PtB nanowires are single-crystalline in nature. A growth mechanism based on vapor–liquid–solid (VLS) process is proposed for the formation of nanowires.

  8. MOCVD growth and structural characterization of In-Sb-Te nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Selmo, S.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, University of Milano Bicocca, Milano (Italy); Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Rotunno, E.; Lazzarini, L. [IMEM-CNR, Parma (Italy)

    2016-02-15

    In this work, the self-assembly of In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO{sub 2}). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Alumina nanowire growth by water decomposition and the peritectic reaction of decagonal Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystals

    Energy Technology Data Exchange (ETDEWEB)

    Téllez-Vázquez, J.O., E-mail: oswald.tellez@gmail.com [Instituto de Investigaciones en Metalurgia y Materiales, UMSNH, Edificio U, Ciudad Universitaria, CP 58060 Morelia Michoacán, México (Mexico); Patiño-Carachure, C., E-mail: cpatino@pampano.unacar.mx [Facultad de Ingeniería, Universidad Autónoma del Carmen, Campus III, Avenida Central S/N, Esq. Con Fracc. Mundo Maya, C.P. 24115 Ciudad del Carmen, Campeche, México (Mexico); Rosas, G., E-mail: grtrejo@yahoo07.com.mx [Instituto de Investigaciones en Metalurgia y Materiales, UMSNH, Edificio U, Ciudad Universitaria, CP 58060 Morelia Michoacán, México (Mexico)

    2016-02-15

    In this paper, the results of the Al{sub 2}O{sub 3} nanowires' growth through a chemical reaction between Al and water vapor at 1050 °C are presented. Our approach is based on two primary considerations. First, at room temperature, the Al{sub 65}Cu{sub 15}Co{sub 20} alloy is affected by the following mechanism: 2Al (s) + 3H{sub 2}O (g) → Al{sub 2}O{sub 3} (s) + H{sub 2} (g). In this reaction, the released hydrogen induces cleavage fracture of the material to form small particles. Second, the Al{sub 65}Cu{sub 15}Co{sub 20} quasicrystalline phase is transformed on heating to liquid + Al (Cu, Co) cubic phase through a peritectic reaction at 1050 °C. The Al-rich liquid then reacts with water vapor, forming Al{sub 2}O{sub 3} nanowires. X-ray diffraction (XRD) analysis shows that the formed nanowires have a hexagonal structure, and infrared analysis further confirms the presence of α-Al{sub 2}O{sub 3} phase in the final products. Transmission electron microscopy observations show that nanoparticles are present at the end of nanowires, suggesting the VLS growth mechanism. Elemental analysis by energy dispersive spectroscopy (EDS) indicates that the particles at the tip of the nanowires are mainly formed by Co and Cu alloying elements and small amounts of Al. Electron microscopy observations showed nanowires with diameters ranging from 20 to 70 nm; the average diameter was 37 nm and the nanowire lengths were up to several micrometers. - Highlights: • Hexagonal alumina nanowires are grown at 1050 °C through the VLS process. • Alumina nanowires are obtained by the decomposition of decagonal quasicrystalline phase. • The decagonal phase decomposition follows a peritectic reaction at 1030 °C. • Nanoparticles are obtained by hydrogen embrittlement mechanism. • The nanoparticles catalyze the water decomposition to form wires.

  10. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  11. Dopant-free twinning superlattice formation in InSb and InP nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Xiaoming [School of Physics and Electronics, Hunan Key Laboratory for Supermicrostructure and Ultrafast Process, Central South University, Changsha, Hunan (China); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT (Australia); Guo, Yanan; Caroff, Philippe; Tan, Hark Hoe; Jagadish, Chennupati [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT (Australia); He, Jun [School of Physics and Electronics, Hunan Key Laboratory for Supermicrostructure and Ultrafast Process, Central South University, Changsha, Hunan (China)

    2017-11-15

    Periodic arrangement of twin planes creates a controllable polytype that can affect both the electronic and optical properties of nanowires. The approach that is most used for inducing twinning superlattice (TSL) formation in III-V nanowires is introducing impurity dopants during growth. Here, we demonstrate that controlling the growth parameters is sufficient to produce regular twinning planes in Au-catalysed InSb and InP nanowires. Our results show that TSL formation in InSb nanowires only exists in a very narrow growth window. We suggest that growth conditions induce a high concentration of In (or Sb) in the Au droplet, which plays a similar role to that of surfactant impurities such as Zn, and increases the droplet wetting angle to yield a geometry that is favorable for TSL formation. The demonstration of TSL structure in InSb and InP nanowires by controlling the input of In (or Sb) further enhances fundamental understanding of TSL formation in III-V nanowires and allows us to tune the properties of these nanowires by crystal phase engineering. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Dopant-free twinning superlattice formation in InSb and InP nanowires

    International Nuclear Information System (INIS)

    Yuan, Xiaoming; Guo, Yanan; Caroff, Philippe; Tan, Hark Hoe; Jagadish, Chennupati; He, Jun

    2017-01-01

    Periodic arrangement of twin planes creates a controllable polytype that can affect both the electronic and optical properties of nanowires. The approach that is most used for inducing twinning superlattice (TSL) formation in III-V nanowires is introducing impurity dopants during growth. Here, we demonstrate that controlling the growth parameters is sufficient to produce regular twinning planes in Au-catalysed InSb and InP nanowires. Our results show that TSL formation in InSb nanowires only exists in a very narrow growth window. We suggest that growth conditions induce a high concentration of In (or Sb) in the Au droplet, which plays a similar role to that of surfactant impurities such as Zn, and increases the droplet wetting angle to yield a geometry that is favorable for TSL formation. The demonstration of TSL structure in InSb and InP nanowires by controlling the input of In (or Sb) further enhances fundamental understanding of TSL formation in III-V nanowires and allows us to tune the properties of these nanowires by crystal phase engineering. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  14. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    Science.gov (United States)

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  15. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  16. Modeling of Hybrid Growth Wastewater Bio-reactor

    International Nuclear Information System (INIS)

    EI Nashaei, S.; Garhyan, P.; Prasad, P.; Abdel Halim, H.S.; Ibrahim, G.

    2004-01-01

    The attached/suspended growth mixed reactors are considered one of the recently tried approaches to improve the performance of the biological treatment by increasing the volume of the accumulated biomass in terms of attached growth as well as suspended growth. Moreover, the domestic WW can be easily mixed with a high strength non-hazardous industrial wastewater and treated together in these bio-reactors if the need arises. Modeling of Hybrid hybrid growth wastewater reactor addresses the need of understanding the rational of such system in order to achieve better design and operation parameters. This paper aims at developing a heterogeneous mathematical model for hybrid growth system considering the effect of diffusion, external mass transfer, and power input to the system in a rational manner. The model will be based on distinguishing between liquid/solid phase (bio-film and bio-floc). This model would be a step ahead to the fine tuning the design of hybrid systems based on the experimental data of a pilot plant to be implemented in near future

  17. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  18. Catalyst-free fabrication of novel ZnO/CuO core-Shell nanowires heterojunction: Controlled growth, structural and optoelectronic properties

    Science.gov (United States)

    Khan, Muhammad Arif; Wahab, Yussof; Muhammad, Rosnita; Tahir, Muhammad; Sakrani, Samsudi

    2018-03-01

    Development of controlled growth and vertically aligned ZnO/CuO core-shell heterojunction nanowires (NWs) with large area by a catalyst free vapor deposition and oxidation approach has been investigated. Structural characterization reveals successful fabrication of a core ZnO nanowire having single crystalline hexagonal wurtzite structure along [002] direction and CuO nanostructure shell with thickness (8-10 nm) having polycrystalline monoclinic structure. The optical property analysis suggests that the reflectance spectrum of ZnO/CuO heterostructure nanowires is decreased by 18% in the visible range, which correspondingly shows high absorption in this region as compared to pristine ZnO nanowires. The current-voltage (I-V) characteristics of core-shell heterojunction nanowires measured by conductive atomic force microscopy (C-AFM) shows excellent rectifying behavior, which indicates the characteristics of a good p-n junction. The high-resolution transmission electron microscopy (HRTEM) has confirmed the sharp junction interface between the core-shell heterojunction nanowire arrays. The valence band offset and conduction band offset at ZnO/CuO heterointerfaces are measured to be 2.4 ± 0.05 and 0.23 ± 0.005 eV respectively, using X-ray photoelectron spectroscopy (XPS) and a type-II band alignment structure is found. The results of this study contribute to the development of new advanced device heterostructures for solar energy conversion and optoelectronics applications.

  19. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  20. Electrochemical synthesis of CORE-shell magnetic nanowires

    KAUST Repository

    Ovejero, Jesús G.

    2015-04-16

    (Fe, Ni, CoFe) @ Au core-shell magnetic nanowires have been synthesized by optimized two-step potentiostatic electrodeposition inside self-assembled nanopores of anodic aluminium templates. The optimal electrochemical parameters (e.g., potential) have been firstly determined for the growth of continuous Au nanotubes at the inner wall of pores. Then, a magnetic core was synthesized inside the Au shells under suitable electrochemical conditions for a wide spectrum of single elements and alloy compositions (e.g., Fe, Ni and CoFe alloys). Novel opportunities offered by such nanowires are discussed particularly the magnetic behavior of (Fe, Ni, CoFe) @ Au core-shell nanowires was tested and compared with that of bare TM nanowires. These core-shell nanowires can be released from the template so, opening novel opportunities for biofunctionalization of individual nanowires.

  1. Facile synthesis, growth mechanism and reversible superhydrophobic and superhydrophilic properties of non-flaking CuO nanowires grown from porous copper substrates

    International Nuclear Information System (INIS)

    Zhang Qiaobao; Xu Daguo; Zhang Kaili; Hung, Tak Fu

    2013-01-01

    Reversible superhydrophobic and superhydrophilic surfaces based on porous substrates covered with CuO nanowires are developed in this study. A facile thermal oxidation method is used to synthesize non-flaking bicrystalline CuO nanowires on porous copper substrates in static air. The effects of thermal oxidation temperature and duration are systemically studied. The growth mechanism of the obtained non-flaking CuO nanowires is presented and the compression stress is believed to be the key driving force. The wettability of the CuO nanowires after chemical modification with trichloro(1H,1H,2H,2H-perfluorooctyl)silane is systemically investigated. The porous substrates covered with CuO nanowires exhibit excellent superhydrophobic performance with almost no water adhesion and no apparent drag resistance, and a maximum static water contact angle of 162 ± 2° is observed. Moreover, a rapid reversibly switchable wettability between superhydrophobic and superhydrophilic states is realized by the alternation of air–plasma treatment and surface fluorination. The porous substrates covered with CuO nanowires will find promising applications in surface and corrosion protection, liquid transportation, oil–water separation, and self-cleaning surfaces. (paper)

  2. Electrical and Optical Characterization of Nanowire based Semiconductor Devices

    Science.gov (United States)

    Ayvazian, Talin

    This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand and optimize the electrical and optical properties of two types of nanoscale devices; in first type lithographically patterned nanowire electrodeposition (LPNE) method has been utilized to fabricate nanowire field effect transistors (NWFET) and second type involved the development of light emitting semiconductor nanowire arrays (NWLED). Field effect transistors (NWFETs) have been prepared from arrays of polycrystalline cadmium selenide (pc-CdSe) nanowires using a back gate configuration. pc-CdSe nanowires were fabricated using the lithographically patterned nanowire electrode- position (LPNE) process on SiO2 /Si substrates. After electrodeposition, pc-CdSe nanowires were thermally annealed at 300 °C x 4 h either with or without exposure to CdCl 2 in methanol a grain growth promoter. The influence of CdCl2 treatment was to increase the mean grain diameter as determined by X-ray diffraction pattern and to convert the crystal structure from cubic to wurtzite. Transfer characteristics showed an increase of the field effect mobility (mu eff) by an order of magnitude and increase of the Ion/I off ratio by a factor of 3-4. Light emitting devices (NW-LED) based on lithographically patterned pc-CdSe nanowire arrays have been investigated. Electroluminescence (EL) spectra of CdSe nanowires under various biases exhibited broad emission spectra centered at 750 nm close to the band gap of CdSe (1.7eV). To enhance the intensity of the emitted light and the external quantum efficiency (EQE), the distance between the contacts were reduced from 5 mum to less than 1 mum which increased the efficiency by an order of magnitude. Also, increasing the annealing temperature of nanowires from 300 °C x4 h to 450 This research project is focused on a new strategy for the creation of nanowire based semiconductor devices. The main goal is to understand

  3. Synthesis of SERS active Au nanowires in different noncoordinating solvents

    Energy Technology Data Exchange (ETDEWEB)

    Hou Xiaomiao; Zhang Xiaoling, E-mail: zhangxl@bit.edu.cn [Key Laboratory of Cluster Science of Ministry of Education, Beijing Institute of Technology, Department of Chemistry, School of Science (China); Fang Yan, E-mail: fangyan@mail.cnu.edu.cn [Beijing Key Laboratory for Nano-Photonics and Nano-Structure (NPNS), Capital Normal University (China); Chen Shutang; Li Na; Zhou Qi [Key Laboratory of Cluster Science of Ministry of Education, Beijing Institute of Technology, Department of Chemistry, School of Science (China)

    2011-06-15

    Au nanowires with length up to micrometers were synthesized through a simple and one-pot solution growth method. HAuCl{sub 4} was reduced in a micellar structure formed by 1-octadecylamine and oleic acid in hexane, heptane, toluene and chloroform, respectively. As the non-polarity of noncoordinating solvents can affect the nucleation and growth rates of Au nanostructures, Au nanowires with different diameters could be obtained by changing the noncoordinating solvents in the synthetic process. The influences of the solvents on the morphology of Au nanowires were systematically studied. When using hexane as reaction solvent, the product turned to be high portion of Au nanowires with more uniform size than the others. Furthermore, surface-enhanced Raman scattering (SERS) spectrum of 2-thionaphthol was obtained on the Au nanowire-modified substrate, indicating that the as-synthesized Au nanowires have potential for highly sensitive optical detection application.

  4. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  5. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  6. Generic technique to grow III-V semiconductor nanowires in a closed glass vessel

    Directory of Open Access Journals (Sweden)

    Kan Li

    2016-06-01

    Full Text Available Crystalline III-V semiconductor nanowires have great potential in fabrication of nanodevices for applications in nanoelectronics and optoelectronics, and for studies of novel physical phenomena. Sophisticated epitaxy techniques with precisely controlled growth conditions are often used to prepare high quality III-V nanowires. The growth process and cost of these experiments are therefore dedicated and very high. Here, we report a simple but generic method to synthesize III-V nanowires with high crystal quality. The technique employs a closed evacuated tube vessel with a small tube carrier containing a solid source of materials and another small tube carrier containing a growth substrate inside. The growth of nanowires is achieved after heating the closed vessel in a furnace to a preset high temperature and then cooling it down naturally to room temperature. The technique has been employed to grow InAs, GaAs, and GaSb nanowires on Si/SiO2 substrates. The as-grown nanowires are analyzed by SEM, TEM and Raman spectroscopy and the results show that the nanowires are high quality zincblende single crystals. No particular condition needs to be adjusted and controlled in the experiments. This technique provides a convenient way of synthesis of III-V semiconductor nanowires with high material quality for a wide range of applications.

  7. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  9. Vertical Growth of Superconducting Crystalline Hollow Nanowires by He+ Focused Ion Beam Induced Deposition.

    Science.gov (United States)

    Córdoba, Rosa; Ibarra, Alfonso; Mailly, Dominique; De Teresa, José Ma

    2018-02-14

    Novel physical properties appear when the size of a superconductor is reduced to the nanoscale, in the range of its superconducting coherence length (ξ 0 ). Such nanosuperconductors are being investigated for potential applications in nanoelectronics and quantum computing. The design of three-dimensional nanosuperconductors allows one to conceive novel schemes for such applications. Here, we report for the first time the use of a He + focused-ion-beam-microscope in combination with the W(CO) 6 precursor to grow three-dimensional superconducting hollow nanowires as small as 32 nm in diameter and with an aspect ratio (length/diameter) of as much as 200. Such extreme resolution is achieved by using a small He + beam spot of 1 nm for the growth of the nanowires. As shown by transmission electron microscopy, they display grains of large size fitting with face-centered cubic WC 1-x phase. The nanowires, which are grown vertically to the substrate, are felled on the substrate by means of a nanomanipulator for their electrical characterization. They become superconducting at 6.4 K and show large critical magnetic field and critical current density resulting from their quasi-one-dimensional superconducting character. These results pave the way for future nanoelectronic devices based on three-dimensional nanosuperconductors.

  10. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  11. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  12. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer

    KAUST Repository

    Prabaswara, Aditya

    2018-02-06

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved ~ 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color (~ 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  13. Insights into pulsed electrodeposition of GMR multilayered nanowires

    International Nuclear Information System (INIS)

    Pullini, D.; Busquets, D.; Ruotolo, A.; Innocenti, G.; Amigo, V.

    2007-01-01

    In this work, Co/Cu nanowires are fabricated by pulsed electrodeposition from a single bath solution containing both Co and Cu ions. Alternate Co and Cu layers are deposited into the nanopores of track etched polycarbonate templates. Although the feasibility of this process is generally recognized, some important issues such as process reproducibility and how structural defects affect the nanowires arrays' sensing performances are still open; conditions necessary to turn a this made system into a magnetic field sensor. The present work aims at pushing forward knowledge concerning the nanowires fabrication and defining the best growth parameters; in particular, a tight control of the growth process parameters such as single metal deposition potentials and single cycle deposition durations have been carried out for nanowires of 80 nm diameter and correlated to the system magneto-electric response

  14. Nanoparticle Stability in Axial InAs-InP Nanowire Heterostructures with Atomically Sharp Interfaces.

    Science.gov (United States)

    Zannier, Valentina; Rossi, Francesca; Dubrovskii, Vladimir G; Ercolani, Daniele; Battiato, Sergio; Sorba, Lucia

    2018-01-10

    The possibility to expand the range of material combinations in defect-free heterostructures is one of the main motivations for the great interest in semiconductor nanowires. However, most axial nanowire heterostructures suffer from interface compositional gradients and kink formation, as a consequence of nanoparticle-nanowire interactions during the metal-assisted growth. Understanding such interactions and how they affect the growth mode is fundamental to achieve a full control over the morphology and the properties of nanowire heterostructures for device applications. Here we demonstrate that the sole parameter affecting the growth mode (straight or kinked) of InP segments on InAs nanowire stems by the Au-assisted method is the nanoparticle composition. Indeed, straight InAs-InP nanowire heterostructures are obtained only when the In/Au ratio in the nanoparticles is low, typically smaller than 1.5. For higher In content, the InP segments tend to kink. Tailoring the In/Au ratio by the precursor fluxes at a fixed growth temperature enables us to obtain straight and radius-uniform InAs-InP nanowire heterostructures (single and double) with atomically sharp interfaces. We present a model that is capable of describing all the experimentally observed phenomena: straight growth versus kinking, the stationary nanoparticle compositions in pure InAs and InAs-InP nanowires, the crystal phase trends, and the interfacial abruptness. By taking into account different nanowire/nanoparticle interfacial configurations (forming wetting or nonwetting monolayers in vertical or tapered geometry), our generalized model provides the conditions of nanoparticle stability and abrupt heterointerfaces for a rich variety of growth scenarios. Therefore, our results provide a powerful tool for obtaining high quality InAs-InP nanowire heterostructures with well-controlled properties and can be extended to other material combinations based on the group V interchange.

  15. In situ observation of self-assembled Fe{sub 13}Ge{sub 8} nanowires growth on anisotropic Ge (1 1 0) surface

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhi-Peng, E-mail: LI.Zhipeng@nims.go.jp [Department of Physics, National University of Singapore, 2 Science Drive 3, S117542 (Singapore); Global Research Center for Environment and Energy based on Nanomaterials Science, National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Tok, Engsoon [Department of Physics, National University of Singapore, 2 Science Drive 3, S117542 (Singapore); Foo, Yonglim [Institute of Materials Research and Engineering, 3 Research Link, S117602 (Singapore)

    2012-02-15

    Highlights: Black-Right-Pointing-Pointer Epitaxial Fe{sub 13}Ge{sub 8} nanowries growth and shape evolution on Ge (1 1 0) studied by in situ UHV-TEM. Black-Right-Pointing-Pointer Single type of morphology and unique orientation of nanowires formed at elevated temperatures. Black-Right-Pointing-Pointer Uniform control of the nanowires morphology at different temperatures can be succeeded. -- Abstract: Self-assembled iron germanide nanowires (NWs) were grown by directly depositing Fe onto a Ge (1 1 0) substrate, in an in situ ultra-high vacuum transmission electron microscope from 430 to 500 Degree-Sign C. All observed NWs had a similar length/width aspect ratio ({approx}8:1) at all deposition temperatures, as well as the same elongation orientation with respect to the underlying Ge (1 1 0) substrate. The growth dynamics was investigated by real time observations of NWs growth at elevated temperatures. It is elucidated that the formation of NWs in similar shape at all deposited temperatures is attributed to the similar activation energy barriers in length and width of NWs, which can result in the constant growth rate independent of growth temperatures. Furthermore, the difference in pre-exponential factor along the length and width of growing islands arose due to the anisotropic constraint of the Ge (1 1 0) substrate, leading to the unique elongation of NWs. This growth dynamics suggests the possibility of uniform control of the morphology of self-assembled NWs, as well as other morphologies of bottom-up fabricated devices, at different deposition temperatures.

  16. Novel Flame-Based Synthesis of Nanowires for Multifunctional Application

    Science.gov (United States)

    2015-05-13

    pattern (SAED) of SnO2/WO2.9 heterojunction for case 7. TEM (Fig. 14(a)) reveals that the coating on the tungsten- oxide nanowires is actually a...tungsten oxide nanowire,s resulting in radial growth of Zn2SnO4 nanocube/WO2.9 nanowire heterojunction . Furthermore, the combined flame and solution...SECURITY CLASSIFICATION OF: Progress for the project has been made in various areas. Specifically, we report on: (i) flame synthesis of metal- oxide

  17. Catalyst-free growth of ZnO nanowires on ITO seed/glass by thermal evaporation method: Effects of ITO seed layer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Alsultany, Forat H., E-mail: foratusm@gmail.com; Ahmed, Naser M. [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hassan, Z. [Institute of Nano-Optoelectronics Research and Technology Laboratory (INOR), Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2016-07-19

    A seed/catalyst-free growth of ZnO nanowires (ZnO-NWs) on a glass substrate were successfully fabricated using thermal evaporation technique. These nanowires were grown on ITO seed layers of different thicknesses of 25 and 75 nm, which were deposited on glass substrates by radio frequency (RF) magnetron sputtering. Prior to synthesized ITO nanowires, the sputtered ITO seeds were annealed using the continuous wave (CW) CO2 laser at 450 °C in air for 15 min. The effect of seed layer thickness on the morphological, structural, and optical properties of ZnO-NWs were systematically investigated by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), and UV-Vis spectrophotometer.

  18. Reactor scale modeling of multi-walled carbon nanotube growth

    International Nuclear Information System (INIS)

    Lombardo, Jeffrey J.; Chiu, Wilson K.S.

    2011-01-01

    As the mechanisms of carbon nanotube (CNT) growth becomes known, it becomes important to understand how to implement this knowledge into reactor scale models to optimize CNT growth. In past work, we have reported fundamental mechanisms and competing deposition regimes that dictate single wall carbon nanotube growth. In this study, we will further explore the growth of carbon nanotubes with multiple walls. A tube flow chemical vapor deposition reactor is simulated using the commercial software package COMSOL, and considered the growth of single- and multi-walled carbon nanotubes. It was found that the limiting reaction processes for multi-walled carbon nanotubes change at different temperatures than the single walled carbon nanotubes and it was shown that the reactions directly governing CNT growth are a limiting process over certain parameters. This work shows that the optimum conditions for CNT growth are dependent on temperature, chemical concentration, and the number of nanotube walls. Optimal reactor conditions have been identified as defined by (1) a critical inlet methane concentration that results in hydrogen abstraction limited versus hydrocarbon adsorption limited reaction kinetic regime, and (2) activation energy of reaction for a given reactor temperature and inlet methane concentration. Successful optimization of a CNT growth processes requires taking all of those variables into account.

  19. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  20. Heteroepitaxial Patterned Growth of Vertically Aligned and Periodically Distributed ZnO Nanowires on GaN Using Laser Interference Ablation

    KAUST Repository

    Yuan, Dajun

    2010-08-23

    A simple two-step method of fabricating vertically aligned and periodically distributed ZnO nanowires on gallium nitride (GaN) substrates is described. The method combines laser interference ablation (LIA) and low temperature hydrothermal decomposition. The ZnO nanowires grow heteroepitaxially on unablated regions of GaN over areas spanning 1 cm2, with a high degree of control over size, orientation, uniformity, and periodicity. High resolution transmission electron microscopy and scanning electron microscopy are utilized to study the structural characteristics of the LIA-patterned GaN substrate in detail. These studies reveal the possible mechanism for the preferential, site-selective growth of the ZnO nanowires. The method demonstrates high application potential for wafer-scale integration into sensor arrays, piezoelectric devices, and optoelectronic devices. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  2. Oxidative fabrication of patterned, large, non-flaking CuO nanowire arrays

    International Nuclear Information System (INIS)

    Mumm, F; Sikorski, P

    2011-01-01

    We report a simple and fast approach to fabricate large, non-flaking arrays of CuO nanowires by oxidizing thin copper substrates in air. Oxidative CuO nanowire growth is commonly accompanied by oxide layer flaking due to stress at the copper-copper oxide interface. Using thin substrates is shown to prevent this flaking by introducing favourable material thickness ratios in the samples after oxidation. Additionally, thin foils allow larger scale topographic patterns to be transferred from an underlying mould to realize non-flat, nanowire-decorated surfaces. Further patterning is possible by electrodeposition of a nickel layer, which restricts nanowire growth to specific areas of the sample.

  3. Kinetics of nickel silicide growth in silicon nanowires: From linear to square root growth

    International Nuclear Information System (INIS)

    Yaish, Y. E.; Beregovsky, M.; Katsman, A.; Cohen, G. M.

    2011-01-01

    The common practice for nickel silicide formation in silicon nanowires (SiNWs) relies on axial growth of silicide along the wire that is initiated from nickel reservoirs at the source and drain contacts. In the present work the silicide intrusions were studied for various parameters including wire diameter (25-50 nm), annealing time (15-120 s), annealing temperature (300-440 deg. C), and the quality of the initial Ni/Si interface. The silicide formation was investigated by high-resolution scanning electron microscopy, high-resolution transmission electron microscopy (TEM), and atomic force microscopy. The main part of the intrusion formed at 420 deg. C consists of monosilicide NiSi, as was confirmed by energy dispersive spectroscopy STEM, selected area diffraction TEM, and electrical resistance measurements of fully silicided SiNWs. The kinetics of nickel silicide axial growth in the SiNWs was analyzed in the framework of a diffusion model through constrictions. The model calculates the time dependence of the intrusion length, L, and predicts crossover from linear to square root time dependency for different wire parameters, as confirmed by the experimental data.

  4. ZrTiO4 nanowire growth using membrane-assisted Pechini route

    Directory of Open Access Journals (Sweden)

    P. R. de Lucena

    2014-11-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as new device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 ˚C with diameters in the range of 80-100 nm. FEG- SEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive x-ray detection (EDS and x-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure.

  5. ZrTiO4 Nanowire Growth Using Membrane-assisted Pechini Route

    Directory of Open Access Journals (Sweden)

    Poty Rodrigues de Lucena

    2016-02-01

    Full Text Available The high surface-to-volume ratio of nanowires makes them natural competitors as newer device components. In this regard, a current major challenge is to produce quasi-one-dimensional nanostructures composed of well-established oxide-based materials. This article reports the synthesis of ZrTiO4 nanowires on a silicon (100 wafer in a single-step deposition/thermal treatment. The template-directed membrane synthesis strategy was associated with the Pechini route and spin-coating deposition technique. ZrTiO4 nanowires were obtained at 700 °C with diameters in the range of 80-100 nm. FEGSEM images were obtained to investigate ZrTiO4 nanowire formation on the silicon surface and energy dispersive X-ray detection (EDS and X-ray diffraction (XRD analyses were performed to confirm the oxide composition and structure. 

  6. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  7. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  8. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  9. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  10. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  11. Synthesis and electrical characterization of tungsten oxide nanowires

    Institute of Scientific and Technical Information of China (English)

    Huang Rui; Zhu Jing; Yu Rong

    2009-01-01

    Tungsten oxide nanowires of diameters ranging from 7 to 200 nm are prepared on a tungsten rod substrate by using the chemical vapour deposition (CVD) method with vapour-solid (VS) mechanism. Tin powders are used to control oxygen concentration in the furnace, thereby assisting the growth of the tungsten oxide nanowires. The grown tungsten oxide nanowires are determined to be of crystalline W18O49. Ⅰ-Ⅴ curves are measured by an in situ transmission electron microscope (TEM) to investigate the electrical properties of the nanowires. All of the Ⅰ-Ⅴ curves observed are symmetric, which reveals that the tungsten oxide nanowires are semiconducting. Quantitative analyses of the experimental I V curves by using a metal-semiconductor-metal (MSM) model give some intrinsic parameters of the tungsten oxide nanowires, such as the carrier concentration, the carrier mobility and the conductivity.

  12. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    Science.gov (United States)

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  13. Controllable growth and optical properties of InP and InP/InAs nanostructures on the sidewalls of GaAs nanowires

    International Nuclear Information System (INIS)

    Yan, Xin; Zhang, Xia; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin

    2014-01-01

    The growth and optical properties of InP and InP/InAs nanostructures on GaAs nanowires are investigated. InP quantum well and quantum dots (QDs) are formed on the sidewalls of GaAs nanowires successively with increasing the deposition time of InP. The GaAs/InP nanowire heterostructure exhibits a type-II band alignment. The wavelength of the InP quantum well is in the range of 857–892 nm at 77 K, which means that the quantum well is nearly fully strained. The InP quantum dot, which has a bow-shaped cross section, exhibits dislocation-free pure zinc blende structure. Stranski-Krastanow InAs quantum dots are subsequently formed on the GaAs/InP nanowire core-shell structure. The InAs quantum dots are distributed over the middle part of the nanowire, indicating that the In atoms contributing to the quantum dots mainly come from the vapor rather than the substrate. The longest emission wavelength obtained from the InAs QDs is 1039 nm at 77 K. The linewidth is as narrow as 46.3 meV, which is much narrower than those on planar InP substrates and wurtzite InP nanowires, suggesting high-crystal-quality, phase-purity, and size-uniformity of quantum dots

  14. X-ray diffraction analysis of InAs nanowires

    International Nuclear Information System (INIS)

    Davydok, Anton

    2013-01-01

    Semiconductor nanowires have attracted great interest as building blocks for future electronic and optoelectronic devices. The variability of the growth process opens the opportunity to control and combine the various properties tailoring for specific application. It was shown that the electrical and optical characteristics of the nanowires are strongly connected with their structure. Despite intensive research in this field, the growth process is still not fully understood. In particular, extensive real structure investigations are required. Most of the reports dedicated on the structural researches are based on the results of scanning electron microscopy (SEM) or transmission electron microscopy (TEM). SEM provides an image of the surface with nanostructures and is mainly used to describe the morphology of the sample, but it does not bring information about the internal structure, phase composition and defect structure. At the same time, the internal structure can be examined by TEM down to atomic scale. TEM image of good quality are very expensive due to the efforts in sample preparation and in localisation of a single object. All these aspects make the statistical structural analysis difficult. In the present work, X-ray diffraction analysis has been applied for structural investigation of InAs nanowires grown by different techniques. Using various X-ray diffraction geometries, the nanowire systems were investigated in terms of the lattice parameters, phase composition, strains and displacement fields and stacking defects. In particular, realizing grazing incidence diffraction and controlling the penetration depth of X-ray beam, we characterized sample series grown by Au-assisted metal organic phase epitaxy on GaAs [111]B substrate with different growth time. According to the results of SEM and X-ray investigations, a model of the growth process has been proposed. A more detailed analysis was performed on InAs nanowires grown by molecular beam epitaxy (MBE) on

  15. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  16. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  17. Synthesis of uniform CdS nanowires in high yield and its single nanowire electrical property

    International Nuclear Information System (INIS)

    Yan Shancheng; Sun Litao; Qu Peng; Huang Ninping; Song Yinchen; Xiao Zhongdang

    2009-01-01

    Large-scale high quality CdS nanowires with uniform diameter were synthesized by using a rapid and simple solvothermal route. Field emission scan electron microscopy (FESEM) and transmission electron microscopy (TEM) images show that the CdS nanowires have diameter of about 26 nm and length up to several micrometres. High resolution TEM (HRTEM) study indicates the single-crystalline nature of CdS nanowires with an oriented growth along the c-axis direction. The optical properties of the products were characterized by UV-vis absorption spectra, photoluminescence spectra and Raman spectra. The resistivity, electron concentration and electron mobility of single NW are calculated by fitting the symmetric I-V curves measured on single NW by the metal-semiconductor-metal model based on thermionic field emission theory. - Graphical abstract: Large-scale high quality CdS nanowires (NWs) with uniform diameter were synthesized by using a rapid and simple solvothermal route. The reaction time is reduced to 2 h, comparing to other synthesis which needed long reaction time up to 12 h. In addition, the as-prepared CdS nanowires have more uniform diameter and high yield. More importantly, the I-V curve of present single CdS nanowire has a good symmetric characteristic as expected by the theory.

  18. Effect of field deposition and pore size on Co/Cu barcode nanowires by electrodeposition

    International Nuclear Information System (INIS)

    Cho, Ji Ung; Wu, J.-H.; Min, Ji Hyun; Lee, Ju Hun; Liu, H.-L.; Kim, Young Keun

    2007-01-01

    We have studied the effect of an external magnetic field applied during electrodeposition of Co/Cu barcode nanowires in anodic aluminum oxide nanotemplates. The magnetic properties of the barcode nanowires were greatly enhanced for 50 nm pore diameter regardless of segment aspect ratio, but field deposition has little effect on the 200 nm nanowires. The magnetic improvement is correlated with a structural change, attributed to field modification of the growth habit of the barcode nanowires. A mechanism of growth subject to geometric confinement is proposed

  19. Effect of field deposition and pore size on Co/Cu barcode nanowires by electrodeposition

    Science.gov (United States)

    Cho, Ji Ung; Wu, Jun-Hua; Min, Ji Hyun; Lee, Ju Hun; Liu, Hong-Ling; Kim, Young Keun

    2007-03-01

    We have studied the effect of an external magnetic field applied during electrodeposition of Co/Cu barcode nanowires in anodic aluminum oxide nanotemplates. The magnetic properties of the barcode nanowires were greatly enhanced for 50 nm pore diameter regardless of segment aspect ratio, but field deposition has little effect on the 200 nm nanowires. The magnetic improvement is correlated with a structural change, attributed to field modification of the growth habit of the barcode nanowires. A mechanism of growth subject to geometric confinement is proposed.

  20. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  1. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.; Zhao, Chao; Ng, Tien Khee

    2017-01-01

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  2. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.

    2017-04-27

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  3. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  4. Amorphous SiOx nanowires catalyzed by metallic Ge for optoelectronic applications

    International Nuclear Information System (INIS)

    Nie Tianxiao; Chen Zhigang; Wu Yueqin; Lin Jianhui; Zhang Jiuzhan; Fan Yongliang; Yang Xinju; Jiang Zuimin; Zou Jin

    2011-01-01

    Research highlights: → Metallic Ge has been demonstrated as an effective catalyst for the growth of SiO x nanowires on Si substrates. → Such a catalyst may avoid catalyst contamination caused by their unconsciousness left in the nanowires. → Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources. - Abstract: Amorphous SiO x nanowires, with diameters of ∼20 nm and lengths of tens of μm, were grown from self-organized GeSi quantum dots or GeSi alloy epilayers on Si substrates. The morphologies and yield of these amorphous nanowires depend strongly upon the synthesis temperature. Comparative experiments indicate that the present SiO x nanowires are induced by metallic Ge as catalysts via the solid liquid solid growth mechanism. Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources.

  5. Highly aligned vertical GaN nanowires using submonolayer metal catalysts

    Science.gov (United States)

    Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM

    2010-06-29

    A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.

  6. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    Science.gov (United States)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of . Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  7. Growth rates of breeder reactor fuel. Final report

    International Nuclear Information System (INIS)

    Ott, K.O.

    1979-01-01

    During the contract period, a consistent formalism for the definition of the growth rates (and thus the doubling time) of breeder reactor fuel has been developed. This formalism was then extended to symbiotic operation of breeder and converter reactors. Further, an estimation prescription for the growth rate has been developed which is based upon the breeding worth factors. The characteristics of this definition have been investigated, which led to an additional integral concept, the breeding bonus

  8. Smooth germanium nanowires prepared by a hydrothermal deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Pei, L.Z., E-mail: lzpei1977@163.com [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhao, H.S. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Tan, W. [Henkel Huawei Electronics Co. Ltd., Lian' yungang, Jiangsu 222006 (China); Yu, H.Y. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Chen, Y.W. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Fan, C.G. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhang, Qian-Feng, E-mail: zhangqf@ahut.edu.cn [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China)

    2009-11-15

    Smooth germanium nanowires were prepared using Ge and GeO{sub 2} as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  9. Smooth germanium nanowires prepared by a hydrothermal deposition process

    International Nuclear Information System (INIS)

    Pei, L.Z.; Zhao, H.S.; Tan, W.; Yu, H.Y.; Chen, Y.W.; Fan, C.G.; Zhang, Qian-Feng

    2009-01-01

    Smooth germanium nanowires were prepared using Ge and GeO 2 as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  10. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  11. Surface enhanced infrared spectroscopy using interacting gold nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Neubrech, Frank; Weber, Daniel; Pucci, Annemarie [Kirchhoff-Institut fuer Physik, Heidelberg (Germany); Shen, Hong [Universite Troyes, Troyes (France); Lamy de la Chapelle, Marc [Universite Paris 13, Bobigny (France)

    2009-07-01

    We performed surface enhanced infrared spectroscopy (SEIRS) of molecules adsorbed on gold nanowires using synchrotron light of the ANKA IR-beamline at the Forschungszentrum Karlsruhe (Germany). Arrays of gold nanowires with interparticle spacings down to 30nm were prepared by electron beam lithography. The interparticle distance was reduced further by wet-chemically increasing the size of the gold nanowires. The growth of the wires was proofed using IR spectroscopy as well as scanning electron microscopy. After this preparation step, appropriate arrays of nanowires with an interparticle distance down to a few nanometers were selected to demonstrate the surface enhanced infrared spectroscopy of one monolayer octadecanthiol (ODT). As know from SEIRS studies using single gold nanowires, the spectral position of the antenna-like resonance in relation to the absorption bands of ODT (2850cm-1 and 2919cm-1) is crucial for both, the lineshape of the molecular vibration and the signal enhancement. In contrast to single nanowires studies, a further increase of the enhanced signals is expected due to the interaction of the electromagnetic fields of the close-by nanowires.

  12. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  13. Synthesis of silicon nanowires and novel nano-dendrite structures

    International Nuclear Information System (INIS)

    Sinha, Saion; Gao Bo; Zhou, Otto

    2004-01-01

    We report a study on the effects of various parameters on the synthesis of silicon nanowires (5--50 nm in diameter) by pulsed laser ablation. A novel silicon nanodendrite structure is observed by changing some of the growth parameters abruptly. This growth mechanism is explained by a qualitative model. These nanodendrites show a promise of being used as a template in fabricating nanocircuits. Thermal quantum confinement effects were also observed on the silicon nanowires and have been reported

  14. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  15. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    Science.gov (United States)

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  16. Morphology and optical properties of ternary Zn-Sn-O semiconductor nanowires with catalyst-free growth

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Yuan-Chang, E-mail: yuanvictory@gmail.com [Institute of Materials Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China); Huang, Chiem-Lum; Hu, Chia-Yen; Deng, Xian-Shi; Zhong, Hua [Institute of Materials Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China)

    2012-10-05

    Highlights: Black-Right-Pointing-Pointer Zn{sub 2}SnO{sub 4} nanowires with various morphologies were successfully synthesized by thermal evaporation. Black-Right-Pointing-Pointer The as-synthesized Zn{sub 2}SnO{sub 4} nanowires have a face-centered cubic crystal structure. Black-Right-Pointing-Pointer Thermal annealing of Zn{sub 2}SnO{sub 4} nanowires changes the properties of the visible emission band. - Abstract: This study reports the synthesis of Zn{sub 2}SnO{sub 4} (ZTO) nanowires with various morphologies using thermal evaporation without a metal catalyst. X-ray diffraction patterns show that the structure of the as-synthesized ZTO nanowires is a face-centered cubic spinel phase. Scanning electron microscopy images exhibit that the as-synthesized nanowires have various morphologies, and homogeneously cover the area of interest. High-resolution transmittance electron microscopy reveals that these ZTO nanowires have single crystalline microstructures with four morphologies. The results of low-temperature cathodoluminescence (CL) measurements show the crystal defects of oxygen vacancies and interstitials may contribute to blue-green and yellow-orange emissions, respectively, for the as-synthesized single nanowire. This study also discusses the effects of thermal annealing under oxygen-rich and reducing ambient on the CL properties of the single ZTO nanowire.

  17. New Insights into the Origins of Sb-Induced Effects on Self-Catalyzed GaAsSb Nanowire Arrays.

    Science.gov (United States)

    Ren, Dingding; Dheeraj, Dasa L; Jin, Chengjun; Nilsen, Julie S; Huh, Junghwan; Reinertsen, Johannes F; Munshi, A Mazid; Gustafsson, Anders; van Helvoort, Antonius T J; Weman, Helge; Fimland, Bjørn-Ove

    2016-02-10

    Ternary semiconductor nanowire arrays enable scalable fabrication of nano-optoelectronic devices with tunable bandgap. However, the lack of insight into the effects of the incorporation of Vy element results in lack of control on the growth of ternary III-V(1-y)Vy nanowires and hinders the development of high-performance nanowire devices based on such ternaries. Here, we report on the origins of Sb-induced effects affecting the morphology and crystal structure of self-catalyzed GaAsSb nanowire arrays. The nanowire growth by molecular beam epitaxy is changed both kinetically and thermodynamically by the introduction of Sb. An anomalous decrease of the axial growth rate with increased Sb2 flux is found to be due to both the indirect kinetic influence via the Ga adatom diffusion induced catalyst geometry evolution and the direct composition modulation. From the fundamental growth analyses and the crystal phase evolution mechanism proposed in this Letter, the phase transition/stability in catalyst-assisted ternary III-V-V nanowire growth can be well explained. Wavelength tunability with good homogeneity of the optical emission from the self-catalyzed GaAsSb nanowire arrays with high crystal phase purity is demonstrated by only adjusting the Sb2 flux.

  18. BiOCl nanowire with hierarchical structure and its Raman features

    International Nuclear Information System (INIS)

    Tian Ye; Guo Chuanfei; Guo Yanjun; Wang Qi; Liu Qian

    2012-01-01

    BiOCl is a promising V-VI-VII-compound semiconductor with excellent optical and electrical properties, and has great potential applications in photo-catalysis, photoelectric, etc. We successfully synthesize BiOCl nanowire with a hierarchical structure by combining wet etch (top-down) with liquid phase crystal growth (bottom-up) process, opening a novel method to construct ordered bismuth-based nanostructures. The morphology and lattice structures of Bi nanowires, β-Bi 2 O 3 nanowires and BiOCl nanowires with the hierarchical structure are investigated by scanning electron microscope (SEM) and transition electron microscope (TEM). The formation mechanism of such ordered BiOCl hierarchical structure is considered to mainly originate from the highly preferred growth, which is governed by the lattice match between (1 1 0) facet of BiOCl and (2 2 0) or (0 0 2) facet of β-Bi 2 O 3 . A schematic model is also illustrated to depict the formation process of the ordered BiOCl hierarchical structure. In addition, Raman properties of the BiOCl nanowire with the hierarchical structure are investigated deeply.

  19. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  20. Control of the ZnO nanowires nucleation site using microfluidic channels.

    Science.gov (United States)

    Lee, Sang Hyun; Lee, Hyun Jung; Oh, Dongcheol; Lee, Seog Woo; Goto, Hiroki; Buckmaster, Ryan; Yasukawa, Tomoyuki; Matsue, Tomokazu; Hong, Soon-Ku; Ko, HyunChul; Cho, Meoung-Whan; Yao, Takafumi

    2006-03-09

    We report on the growth of uniquely shaped ZnO nanowires with high surface area and patterned over large areas by using a poly(dimethylsiloxane) (PDMS) microfluidic channel technique. The synthesis uses first a patterned seed template fabricated by zinc acetate solution flowing though a microfluidic channel and then growth of ZnO nanowire at the seed using thermal chemical vapor deposition on a silicon substrate. Variations the ZnO nanowire by seed pattern formed within the microfluidic channel were also observed for different substrates and concentrations of the zinc acetate solution. The photocurrent properties of the patterned ZnO nanowires with high surface area, due to their unique shape, were also investigated. These specialized shapes and patterning technique increase the possibility of realizing one-dimensional nanostructure devices such as sensors and optoelectric devices.

  1. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  2. Fabrication and PL of Al-doped gallium nitride nanowires

    International Nuclear Information System (INIS)

    Zhou Shaomin

    2006-01-01

    Mass Al-doped GaN nanowires with an average diameter of about 50 nm and lengths up to several millimeters are fabricated by a CVD approach. The as-fabricated products have a single crystal phase and grow along the direction. The growth of Al-doped GaN nanowires is suggested for quasi-vapor-solid mechanism (QVSM). In particular, for as large-scale GaN nanowires, a novel strong ultraviolet PL spectrum (from 3.3 to 3.7 eV) appears with a doping Al where the Al-doped GaN nanowires are found to be responsible for the different characteristics; the PL mechanism is explained in detail

  3. InP nanowire array solar cell with cleaned sidewalls

    NARCIS (Netherlands)

    Cui, Y.; Plissard, S.; Wang, J.; Vu, T.T.T.; Smalbrugge, E.; Geluk, E.J.; de Vries, T.; Bolk, J.; Trainor, M.J.; Verheijen, M.A.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2013-01-01

    We have fabricated InP nanowire array solar cells with an axial p-n junction. Catalyst gold nanoparticles were first patterned into an array by nanoimprint lithography. The nanowire array was grown in 19 minutes by vapor-liquid-solid growth. The sidewalls were in-situ etched by HCl and ex-situ

  4. The role of substrate surface alteration in the fabrication of vertically aligned CdTe nanowires

    International Nuclear Information System (INIS)

    Neretina, S; Devenyi, G A; Preston, J S; Mascher, P; Hughes, R A; Sochinskii, N V

    2008-01-01

    Previously we have described the deposition of vertically aligned wurtzite CdTe nanowires derived from an unusual catalytically driven growth mode. This growth mode could only proceed when the surface of the substrate was corrupted with an alcohol layer, although the role of the corruption was not fully understood. Here, we present a study detailing the remarkable role that this substrate surface alteration plays in the development of CdTe nanowires; it dramatically improves the size uniformity and largely eliminates lateral growth. These effects are demonstrated to arise from the altered surface's ability to limit Ostwald ripening of the catalytic seed material and by providing a surface unable to promote the epitaxial relationship needed to sustain a lateral growth mode. The axial growth of the CdTe nanowires is found to be exclusively driven through the direct impingement of adatoms onto the catalytic seeds leading to a self-limiting wire height associated with the sublimation of material from the sidewall facets. The work presented furthers the development of the mechanisms needed to promote high quality substrate-based vertically aligned CdTe nanowires. With our present understanding of the growth mechanism being a combination of selective area epitaxy and a catalytically driven vapour-liquid-solid growth mode, these results also raise the intriguing possibility of employing this growth mode in other material systems in an effort to produce superior nanowires

  5. Self-organized patterns along sidewalls of iron silicide nanowires on Si(110) and their origin

    Energy Technology Data Exchange (ETDEWEB)

    Das, Debolina; Mahato, J. C.; Bisi, Bhaskar; Dev, B. N., E-mail: msbnd@iacs.res.in [Department of Materials Science, Indian Association for the Cultivation of Science, Kolkata 700032 (India); Satpati, B. [Surface Physics and Material Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700064 (India)

    2014-11-10

    Iron silicide (cubic FeSi{sub 2}) nanowires have been grown on Si(110) by reactive deposition epitaxy and investigated by scanning tunneling microscopy and scanning/transmission electron microscopy. On an otherwise uniform nanowire, a semi-periodic pattern along the edges of FeSi{sub 2} nanowires has been discovered. The origin of such growth patterns has been traced to initial growth of silicide nanodots with a pyramidal Si base at the chevron-like atomic arrangement of a clean reconstructed Si(110) surface. The pyramidal base evolves into a comb-like structure along the edges of the nanowires. This causes the semi-periodic structure of the iron silicide nanowires along their edges.

  6. Plasma-plasmonics synergy in the Ga-catalyzed growth of Si-nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Bianco, Giuseppe Valerio, E-mail: giuseppevalerio.bianco@cnr.it [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona 4, 70126 Bari (Italy); Giangregorio, Maria M.; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona 4, 70126 Bari (Italy); Department of Electrical and Computer Engineering, Duke University, Durham, NC 27708 (United States); Kim, Tong-Ho; Brown, April S. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27708 (United States); Bruno, Giovanni, E-mail: giovanni.bruno@ba.imip.cnr.it [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona 4, 70126 Bari (Italy)

    2012-06-05

    This paper reports on the growth of Si nanowires (NWs) by SiH{sub 4}/H{sub 2} plasmas using the non-noble Ga-nanoparticles (NPs) catalysts. A comparative investigation of conventional Si-NWs vapour-liquid-solid (VLS) growth catalyzed by Au NPs is also reported. We investigate the use of a hydrogen plasma and of a SiH{sub 4}/H{sub 2} plasma for removing Ga oxide shell and for enhancing the Si dissolution into the catalyst, respectively. By exploiting the Ga NPs surface plasmon resonance (SPR) sensitivity to their surface chemistry, the SPR characteristic of Ga NPs has been monitored by real time spectroscopic ellipsometry in order to control the hydrogen plasma/Ga NPs interaction and the involved processes (oxide removal and NPs dissolution by volatile gallium hydride). Using in situ laser reflectance interferometry the metal catalyzed Si NWs growth process has been investigated to find the effect of the plasma activation on the growth kinetics. The role of atomic hydrogen in the NWs growth mechanism and, in particular, in the SiH{sub 4} dissolution into the catalysts, is discussed. We show that while Au catalysts because of the re-aggregation of NPs yields NWs that do not correspond to the original size of the Au NPs catalyst, the NWs grown by the Ga catalyst retains the diameter dictated by the size of the Ga NPs. Therefore, the advantage of Ga NPs as catalysts for controlling NWs diameter is demonstrated.

  7. Formation Mechanism of Self Assembled Horizontal ErSb Nanowires Embedded in a GaSb(001) Matrix

    Science.gov (United States)

    Wilson, Nathaniel; Kraemer, Stephan; PalmstrøM, Chris

    The ErxGa1-xSb exhibits a variety of self-assembling nanostructures. In order to harness these nanostructures for use in devices and other material systems it is important to understand their formation. We have characterized the growth mechanism of self-assembled horizontal ErSb nanowires in a GaSb(001) matrix through the use of in-situ Scanning Tunneling Microscopy (STM) as well as ex-situ Transmission Electron Microscopy (TEM). We observe large GaSb macrosteps on the growth surface of Er.3Ga.7Sb samples. The areas near the ledge and base of the macrosteps show significant differences in size and distribution of ErSb nanowires. Results suggest that the formation of macrosteps drives the transition from vertical to horizontal nanowires in the ErxGa1-xSb system. We also observe a low temperature growth mode, which results in horizontal nanowire formation under a wide range of flux conditions. This new growth mode does not exhibit the embedded growth observed in the formation of nanowires at higher temperatures and may allow for horizontal nanowire formation without the presence of macrosteps, as well as the formation of smaller nanoparticles which may be useful for achieving smaller nanoparticle dimensions and electron confinement effects. This work was supported by NSF-DMR under 1507875.

  8. Orientation-controlled synthesis and magnetism of single crystalline Co nanowires

    International Nuclear Information System (INIS)

    Huang, Gui-Fang; Huang, Wei-Qing; Wang, Ling-Ling; Zou, B.S.; Pan, Anlian

    2012-01-01

    Orientation control and the magnetic properties of single crystalline Co nanowires fabricated by electrodeposition have been systematically investigated. It is found that the orientation of Co nanowires can be effectively controlled by varying either the current density or the pore diameter of AAO templates. Lower current density or small diameter is favorable for forming the (1 0 0) texture, while higher current values or larger diameter leads to the emergence and enhancement of (1 1 0) texture of Co nanowires. The mechanism for the manipulated growth characterization is discussed in detail. The orientation of Co nanowires has a significant influence on the magnetic properties, resulting from the competition between the magneto-crystalline and shape anisotropy of Co nanowires. This work offers a simple method to manipulate the orientation and magnetic properties of nanowires for future applications. - Highlights: ► Single crystalline Co nanowires have successfully been grown by DC electrodeposition. ► Orientation controlling and its effect on magnetism of Co nanowires were investigated. ► The orientation of Co nanowires can be effectively controlled by varying current density. ► The crystalline orientation of Co nanowires has significant influence on the magnetic properties.

  9. Tungsten oxide nanowires grown on amorphous-like tungsten films

    International Nuclear Information System (INIS)

    Dellasega, D; Pezzoli, A; Russo, V; Passoni, M; Pietralunga, S M; Nasi, L; Conti, C; Vahid, M J; Tagliaferri, A

    2015-01-01

    Tungsten oxide nanowires have been synthesized by vacuum annealing in the range 500–710 °C from amorphous-like tungsten films, deposited on a Si(100) substrate by pulsed laser deposition (PLD) in the presence of a He background pressure. The oxygen required for the nanowires formation is already adsorbed in the W matrix before annealing, its amount depending on deposition parameters. Nanowire crystalline phase and stoichiometry depend on annealing temperature, ranging from W_1_8O_4_9-Magneli phase to monoclinic WO_3. Sufficiently long annealing induces the formation of micrometer-long nanowires, up to 3.6 μm with an aspect ratio up to 90. Oxide nanowire growth appears to be triggered by the crystallization of the underlying amorphous W film, promoting their synthesis at low temperatures. (paper)

  10. Fabrication and morphology of uniaxially aligned perylenediimide nanowires

    Science.gov (United States)

    Machida, Shinjiro; Tanikatsu, Makoto; Itaya, Akira; Ikeda, Noriaki

    2017-06-01

    Uniaxial alignment of crystalline nanowires consisting of N,N‧-dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C8) was achieved on poly(tetrafluoroethylene) (PTFE) layers prepared by friction transfer method on a glass substrate. The nanowires were formed by spin-coating a trifluoroacetic acid (TFA) solution of PTCDI-C8 on the PTFE layers and were further grown under TFA vapor atmosphere. The morphology of the PTCDI-C8 nanowires were characterized using atomic force microscope (AFM) and fluorescence optical microscope with changing the dye concentration in the spin coating solution, annealing time in the TFA vapor, and substrate materials. The nanowires prepared on the PTFE layer on a silica-coated silicon or a mica substrate did not grow so well as those on the glass substrate. This result suggests that the surface roughness would affect the PTFE layer and the growth of the PTCDI nanowires.

  11. Localized, stepwise template growth of functional nanowires from an amino acid-supported framework in a microfluidic chip.

    Science.gov (United States)

    Puigmartí-Luis, Josep; Rubio-Martínez, Marta; Imaz, Inhar; Cvetković, Benjamin Z; Abad, Llibertat; Pérez Del Pino, Angel; Maspoch, Daniel; Amabilino, David B

    2014-01-28

    A spatially controlled synthesis of nanowire bundles of the functional crystalline coordination polymer (CP) Ag(I)TCNQ (tetracyanoquinodimethane) from previously fabricated and trapped monovalent silver CP (Ag(I)Cys (cysteine)) using a room-temperature microfluidic-assisted templated growth method is demonstrated. The incorporation of microengineered pneumatic clamps in a two-layer polydimethylsiloxane-based (PDMS) microfluidic platform was used. Apart from guiding the formation of the Ag(I)Cys coordination polymer, this microfluidic approach enables a local trapping of the in situ synthesized structures with a simple pneumatic clamp actuation. This method not only enables continuous and multiple chemical events to be conducted upon the trapped structures, but the excellent fluid handling ensures a precise chemical activation of the amino acid-supported framework in a position controlled by interface and clamp location that leads to a site-specific growth of Ag(I)TCNQ nanowire bundles. The synthesis is conducted stepwise starting with Ag(I)Cys CPs, going through silver metal, and back to a functional CP (Ag(I)TCNQ); that is, a novel microfluidic controlled ligand exchange (CP → NP → CP) is presented. Additionally, the pneumatic clamps can be employed further to integrate the conductive Ag(I)TCNQ nanowire bundles onto electrode arrays located on a surface, hence facilitating the construction of the final functional interfaced systems from solution specifically with no need for postassembly manipulation. This localized self-supported growth of functional matter from an amino acid-based CP shows how sequential localized chemistry in a fluid cell can be used to integrate molecular systems onto device platforms using a chip incorporating microengineered pneumatic tools. The control of clamp pressure and in parallel the variation of relative flow rates of source solutions permit deposition of materials at different locations on a chip that could be useful for device

  12. Characterization and Growth Mechanism of Nickel Nanowires Resulting from Reduction of Nickel Formate in Polyol Medium

    OpenAIRE

    Logutenko, Olga A.; Titkov, Alexander I.; Vorob’yov, Alexander M.; Yukhin, Yriy M.; Lyakhov, Nikolay Z.

    2016-01-01

    Nickel linear nanostructures were synthesized by reduction of nickel formate with hydrazine hydrate in ethylene glycol medium in the absence of any surfactants or capping agents for direction of the particles growth. The effect of the synthesis conditions such as temperature, reduction time, type of polyol, and nickel formate concentration on the reduction products was studied. The size and morphology of the nickel nanowires were characterized by X-ray diffraction, scanning, and transmission ...

  13. Synthesis and characterization of straight and stacked-sheet AlN nanowires with high purity

    International Nuclear Information System (INIS)

    Lei, M.; Yang, H.; Li, P.G.; Tang, W.H.

    2008-01-01

    Large-scale AlN nanowires with hexagonal crystal structure were synthesized by the direct nitridation method at high temperatures. The experimental results indicate that these single-crystalline AlN nanowires have high purity and consist of straight and stacked-sheet nanowires. It is found that straight AlN nanowire grows along [1, 1, -2, 0] direction, whereas the stacked-sheet nanowire with hexagonal cross section is along [0 0 0 1] direction. It is thought that vapor-solid (VS) mechanism should be responsible for the growth of AlN nanowires

  14. Flexible integration of free-standing nanowires into silicon photonics.

    Science.gov (United States)

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  15. A simple method of growing silver chloride nanocubes on silver nanowires

    Science.gov (United States)

    Hosseinzadeh Khaligh, Hadi; Goldthorpe, Irene A.

    2015-09-01

    The growth of AgCl nanocubes directly on the sidewalls of Ag nanowires is demonstrated. The nanocubes can be simply obtained through extended low temperature annealing of polyol-synthesized silver nanowires in a vacuum. The length of time and temperature of the anneal and the diameter of the nanowire affect the size and density of the nanocubes obtained. It is hypothesized that the AgCl material is supplied from reactants leftover from the silver nanowire synthesis. This novel hybrid nanostructure may have applications in areas such as photovoltaics, surface enhanced Raman spectroscopy, and photocatalysis.

  16. Templated growth of cadmium zinc telluride (CZT) nanowires using pulsed-potentials in hot non-aqueous solution

    International Nuclear Information System (INIS)

    Gandhi, T.; Raja, K.S.; Misra, M.

    2006-01-01

    A single step non-aqueous electrodeposition of cadmium zinc telluride (CZT) nanowires on nanoporous TiO 2 substrate was investigated under pulsed-potential conditions. Propylene carbonate was used as the non-aqueous medium. Cyclic voltammogram studies were carried out to understand the growth mechanism of CZT. EDAX and XRD measurements indicated formation of a compound semiconductor with a stoichiometry of Cd 1-x Zn x Te, where x varied between 0.04 and 0.2. Variation of the pulsed-cathodic potentials could modulate the composition of the CZT. More negative cathodic potentials resulted in increased Zn content. The nanowires showed an electronic band gap of about 1.6 eV. Mott-Schottky analyses indicated p-type semiconductor properties of both as-deposited and annealed CZT materials. Increase in Zn content increased the charge carrier density. Annealing of the deposits resulted in lower charge carrier densities, in the order of 10 15 cm -3

  17. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  18. Si nanoparticle-decorated Si nanowire networks for Li-ion battery anodes

    KAUST Repository

    Hu, Liangbing

    2011-01-01

    We designed and fabricated binder-free, 3D porous silicon nanostructures for Li-ion battery anodes, where Si nanoparticles electrically contact current collectors via vertically grown silicon nanowires. When compared with a Si nanowire anode, the areal capacity was increased by a factor of 4 without having to use long, high temperature steps under vacuum that vapour-liquid-solid Si nanowire growth entails. © 2011 The Royal Society of Chemistry.

  19. Ag-catalyzed InAs nanowires grown on transferable graphite flakes

    DEFF Research Database (Denmark)

    Meyer-Holdt, Jakob; Kanne, Thomas; Sestoft, Joachim E.

    2016-01-01

    on exfoliated graphite flakes by molecular beam epitaxy. Ag catalyzes the InAs nanowire growth selectively on the graphite flakes and not on the underlying InAs substrates. This allows for easy transfer of the flexible graphite flakes with as-grown nanowire ensembles to arbitrary substrates by a micro...

  20. Scattering cross section of metal catalyst atoms in silicon nanowires

    DEFF Research Database (Denmark)

    Markussen, Troels; Rurali, R.; Cartoixa, X.

    2010-01-01

    A common technique to fabricate silicon nanowires is to use metal particles (e.g., Au, Ag, Cu, Al) to catalyze the growth reaction. As a consequence, the fabricated nanowires contain small concentrations of these metals as impurities. In this work we investigate the effect of the metallic impurit...

  1. Preparation of one-dimensional nickel nanowires by self-assembly process

    International Nuclear Information System (INIS)

    Wang Dapeng; Sun Dongbai; Yu Hongying; Qiu Zhigang; Meng Huimin

    2009-01-01

    Self-assembly nickel nanowires were prepared by soft template method in ethylene glycol solutions. The structure and micro-morphology of the products were analyzed using X-ray diffraction (XRD) and field emission scanning electron microscope (FESEM). The results showed that the products were pure nickel powders with face-centered cubic (fcc) structure. A growth model was presented to explain the growth mechanism. The effects of pH value, surfactant, reaction temperature and reaction time on the synthesis of nickel nanowires were discussed. When pH > 11.5, the reaction temperature was between 80 deg. C and 90 deg. C, and the concentration of cetyltrimethyl ammonium bromide (CTAB) was higher than 7.0 x 10 -3 , zigzag nickel nanowires with slenderness ratio about 20 could be synthesized

  2. Fabrication and characterization of nickel nanowires deposited on metal substrate

    International Nuclear Information System (INIS)

    Rahman, I.Z.; Razeeb, K.M.; Rahman, M.A.; Kamruzzaman, Md.

    2003-01-01

    The present investigation is a part of ongoing systematic study of production and process development of nanometer scale arrays of magnetic wires on metal substrates. Nickel nanowires are grown in ordered anodic alumina templates using galvanostatic electrodeposition. In this paper we report on the growth of nanowires on the electrochemical cell parameters such as bath temperature, pH and time. Focused ion beam analysis revealed heterogeneous growth of nickel nanowires. X-ray diffraction spectrum showed that FCC nickel changed the preferred orientation from (2 2 0) at lower bath temperatures to (2 0 0) at higher bath temperatures. Magnetic measurement showed that coercive fields were higher for wires with smaller diameters. Magneto-impedance was measured as a function of applied magnetic field and wire diameter

  3. Single-crystal apatite nanowires sheathed in graphitic shells: synthesis, characterization, and application.

    Science.gov (United States)

    Jeong, Namjo; Cha, Misun; Park, Yun Chang; Lee, Kyung Mee; Lee, Jae Hyup; Park, Byong Chon; Lee, Junghoon

    2013-07-23

    Vertically aligned one-dimensional hybrid structures, which are composed of apatite and graphitic structures, can be beneficial for orthopedic applications. However, they are difficult to generate using the current method. Here, we report the first synthesis of a single-crystal apatite nanowire encapsulated in graphitic shells by a one-step chemical vapor deposition. Incipient nucleation of apatite and its subsequent transformation to an oriented crystal are directed by derived gaseous phosphorine. Longitudinal growth of the oriented apatite crystal is achieved by a vapor-solid growth mechanism, whereas lateral growth is suppressed by the graphitic layers formed through arrangement of the derived aromatic hydrocarbon molecules. We show that this unusual combination of the apatite crystal and the graphitic shells can lead to an excellent osteogenic differentiation and bony fusion through a programmed smart behavior. For instance, the graphitic shells are degraded after the initial cell growth promoted by the graphitic nanostructures, and the cells continue proliferation on the bare apatite nanowires. Furthermore, a bending experiment indicates that such core-shell nanowires exhibited a superior bending stiffness compared to single-crystal apatite nanowires without graphitic shells. The results suggest a new strategy and direction for bone grafting materials with a highly controllable morphology and material conditions that can best stimulate bone cell differentiation and growth.

  4. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    Science.gov (United States)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  5. Directional Growth of Ultralong CsPbBr3 Perovskite Nanowires for High-Performance Photodetectors.

    Science.gov (United States)

    Shoaib, Muhammad; Zhang, Xuehong; Wang, Xiaoxia; Zhou, Hong; Xu, Tao; Wang, Xiao; Hu, Xuelu; Liu, Huawei; Fan, Xiaopeng; Zheng, Weihao; Yang, Tiefeng; Yang, Shuzhen; Zhang, Qinglin; Zhu, Xiaoli; Sun, Litao; Pan, Anlian

    2017-11-08

    Directional growth of ultralong nanowires (NWs) is significant for practical application of large-scale optoelectronic integration. Here, we demonstrate the controlled growth of in-plane directional perovskite CsPbBr 3 NWs, induced by graphoepitaxial effect on annealed M-plane sapphire substrates. The wires have a diameter of several hundred nanometers, with lengths up to several millimeters. Microstructure characterization shows that CsPbBr 3 NWs are high-quality single crystals, with smooth surfaces and well-defined cross section. The NWs have very strong band-edge photoluminescence (PL) with a long PL lifetime of ∼25 ns and can realize high-quality optical waveguides. Photodetectors constructed on these individual NWs exhibit excellent photoresponse with an ultrahigh responsivity of 4400 A/W and a very fast response speed of 252 μs. This work presents an important step toward scalable growth of high-quality perovskite NWs, which will provide promising opportunities in constructing integrated nanophotonic and optoelectronic systems.

  6. Graphene templated Directional Growth of an Inorganic Nanowire

    Science.gov (United States)

    2015-03-23

    14,23–25 have only formed randomly oriented or poorly aligned inorganic nanostructures. Here, we show that inorganic nanowires of gold(I) cyanide can... complex . TEM image simulation from the crystal structure The TEM image simulations are performed using MacTempas and CrystalKit. The imaging

  7. A simple method of growing silver chloride nanocubes on silver nanowires

    International Nuclear Information System (INIS)

    Khaligh, Hadi Hosseinzadeh; Goldthorpe, Irene A

    2015-01-01

    The growth of AgCl nanocubes directly on the sidewalls of Ag nanowires is demonstrated. The nanocubes can be simply obtained through extended low temperature annealing of polyol-synthesized silver nanowires in a vacuum. The length of time and temperature of the anneal and the diameter of the nanowire affect the size and density of the nanocubes obtained. It is hypothesized that the AgCl material is supplied from reactants leftover from the silver nanowire synthesis. This novel hybrid nanostructure may have applications in areas such as photovoltaics, surface enhanced Raman spectroscopy, and photocatalysis. (fast track communication)

  8. Superheating of Ag nanowires studied by molecular dynamics simulations

    International Nuclear Information System (INIS)

    Duan Wenshi; Ling Guangkong; Hong Lin; Li Hong; Liang Minghe

    2008-01-01

    The melting process of Ag nanowires was studied by molecular dynamics (MD) simulations at the atomic level. It is indicated that the Ag nanowires with Ni coating can be superheated depending on their radius and size. Also, in this paper the mechanism of superheating was analyzed and ascribed to the epitaxial Ag/Ni interface suppressing the nucleation and growth of melt. For the analysis, a thermodynamic model was constructed to describe the superheating mechanism of the Ni-coated Ag nanowires by considering the Ag/Ni interface free energy. We showed that the nucleation and growth of the Ag melt phase are both suppressed by the low energy Ag/Ni interfaces in Ni-coated Ag wires and the suppression of melt growth is crucial and plays a major role in the process of melting. The thermodynamic analysis gave a quantitative relation of superheating with the Ag wire radius and the contact angle of melting. The superheating decreased with Ag wire radius and also depended on the Ag/Ni interfacial condition. The results of the thermodynamic model were consistent with those of the MD simulations

  9. Fabrication and Characterization of Magnetic Nanowires in Anodic Alumina

    Science.gov (United States)

    Xiao, Z. L.; Han, Y. R.; Wang, H. H.; Welp, U.; Kwok, W. K.; Crabtree, G. W.

    2002-03-01

    Magnetic nanowires (cobalt, iron and nickel) with diameters down to 20 nm have been fabricated by electrodeposition. Both commercial and home-made anodized aluminum oxide (AAO) membranes with nanochannel arrays were used as templates. The structure and magnetization hysteresis of the specimens with nanowires were investigated with scanning electron microscope (SEM) and superconducting quantum interference device (SQUID), respectively. Growth of nanowires with both aqueous and dimethylsulfoxide (DMSO) solutions was conducted and better quality nanowires were obtained with the organic DMSO solution. The influence of the diameter, the length and the separation of the nanochannels on the magnetization orientation was investigated in detail. Work supported by the US Department of Energy (DOE), BES-Materials Science, Contract No. W-31-109-ENG-38.

  10. Growth scenarios with thorium fuel cycles in pressurised heavy water reactors

    International Nuclear Information System (INIS)

    Balakrishnan, M.R.

    1991-01-01

    Since India has generous deposits of thorium, the availability of thorium will not be a limiting factor in any growth scenario. It is fairly well accepted that the best system for utilisation of thorium is the heavy water reactor. The growth scenarios possible using thorium in HWRs are considered. The base has been taken as 50,000 tons of natural uranium and practically unlimited thorium. The reference reactor has been assumed to be the PHWR, and all other growth scenarios are compared with the growth scenario provided by the once-through natural cycle in the PHWR. Two reactor types have been considered: the heavy water moderated, heavy water cooled, pressure tube reactor, known as the PHWR; and the heavy water moderated and cooled pressure vessel kind, similar to the ATUCHA reactor in Argentina. For each reactor, a number of different fuel cycles have been studied. All these cycles have been based on thorium. These are: the self-sustaining equilibrium thorium cycle (SSET); the high conversion ratio high burnup cycle; and the once through thorium cycle (OTT). The cycle have been initiated in two ways: one is by starting the cycle with natural uranium, reprocessing the spent fuel to obtain plutonium, and use that plutonium to initiate the thorium cycle; the other is to enrich the uranium to about 2-3% U-235 (the so-called Low Enriched Uranium or LEU), and use the LEU to initiate the thorium cycle. Both cases have been studied, and growth scenarios have been projected for every one of the possible combinations. (author). 1 tab

  11. Fabrication and Characterization of Mg-Doped GaN Nanowires

    International Nuclear Information System (INIS)

    Dong-Dong, Zhang; Cheng-Shan, Xue; Hui-Zhao, Zhuang; Ying-Long, Huang; Zou-Ping, Wang; Ying, Wang; Yong-Fu, Guo

    2008-01-01

    Mg-doped GaN nanowires have been synthesized by ammoniating Ga 2 O 3 films doped with Mg under flowing ammonia atmosphere at 850° C. The Mg-doped GaN nanowires are characterized by x-ray diffraction (XRD), scanning electron microscope (SEM), high-resolution transmission electron microscopy (HRTEM) and photo-luminescence (PL). The results demonstrate that the nanowires are single crystalline with hexagonal wurzite structure. The diameters of the nanowires are 20–30 nm and the lengths are 50–100 μm. The GaN nanowires show three emission bands with well-defined PL peak at 3.45 eV, 3.26 eV, 2.95 eV, respectively. The large distinct blueshift of the bandgap emission can be attributed to the Burstein–Moss effect. The peak at 3.26 eV represents the transition from the conduction-band edge to the acceptor level AM (acceptor Mg). The growth mechanism of crystalline GaN nanowires is discussed briefly. (cross-disciplinary physics and related areas of science and technology)

  12. Highly ordered uniform single-crystal Bi nanowires: fabrication and characterization

    International Nuclear Information System (INIS)

    Bisrat, Y; Luo, Z P; Davis, D; Lagoudas, D

    2007-01-01

    A mechanical pressure injection technique has been used to fabricate uniform bismuth (Bi) nanowires in the pores of an anodic aluminum oxide (AAO) template. The AAO template was prepared from general purity aluminum by a two-step anodization followed by heat treatment to achieve highly ordered nanochannels. The nanowires were then fabricated by an injection technique whereby the molten Bi was injected into the AAO template using a hydraulic pressure method. The Bi nanowires prepared by this method were found to be dense and continuous with uniform diameter throughout the length. Electron diffraction experiments using the transmission electron microscope on cross-sectional and free-standing longitudinal Bi nanowires showed that the majority of the individual nanowires were single crystalline, with preferred orientation of growth along the [011] zone axis of the pseudo-cubic structure. The work presented here provides an inexpensive and effective way of fabricating highly ordered single-crystalline Bi nanowires, with uniform size distributions

  13. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  14. Nanoscale Electronic Conditioning for Improvement of Nanowire Light-Emitting-Diode Efficiency.

    Science.gov (United States)

    May, Brelon J; Belz, Matthew R; Ahamed, Arshad; Sarwar, A T M G; Selcu, Camelia M; Myers, Roberto C

    2018-04-24

    Commercial III-Nitride LEDs and lasers spanning visible and ultraviolet wavelengths are based on epitaxial films. Alternatively, nanowire-based III-Nitride optoelectronics offer the advantage of strain compliance and high crystalline quality growth on a variety of inexpensive substrates. However, nanowire LEDs exhibit an inherent property distribution, resulting in uneven current spreading through macroscopic devices that consist of millions of individual nanowire diodes connected in parallel. Despite being electrically connected, only a small fraction of nanowires, sometimes current in the ensemble devices. Burn-in electronic conditioning is performed by applying a short-term overload voltage; the nanoshorts experience very high current density, sufficient to render them open circuits, thereby forcing a new current path through more nanowire LEDs in an ensemble device. Current-voltage measurements of individual nanowires are acquired using conductive atomic force microscopy to observe the removal of nanoshorts using burn-in. In macroscopic devices, this results in a 33× increase in peak EL and reduced leakage current. Burn-in conditioning of nanowire ensembles therefore provides a straightforward method to mitigate nonuniformities inherent to nanowire devices.

  15. Optimization, Yield Studies and Morphology of WO3Nano-Wires Synthesized by Laser Pyrolysis in C2H2and O2Ambients—Validation of a New Growth Mechanism

    Directory of Open Access Journals (Sweden)

    Sideras-Haddad E

    2008-01-01

    Full Text Available Abstract Laser pyrolysis has been used to synthesize WO3nanostructures. Spherical nano-particles were obtained when acetylene was used to carry the precursor droplet, whereas thin films were obtained at high flow-rates of oxygen carrier gas. In both environments WO3nano-wires appear only after thermal annealing of the as-deposited powders and films. Samples produced under oxygen carrier gas in the laser pyrolysis system gave a higher yield of WO3nano-wires after annealing than the samples which were run under acetylene carrier gas. Alongside the targeted nano-wires, the acetylene-ran samples showed trace amounts of multi-walled carbon nano-tubes; such carbon nano-tubes are not seen in the oxygen-processed WO3nano-wires. The solid–vapour–solid (SVS mechanism [B. Mwakikunga et al., J. Nanosci. Nanotechnol., 2008] was found to be the possible mechanism that explains the manner of growth of the nano-wires. This model, based on the theory from basic statistical mechanics has herein been validated by length-diameter data for the produced WO3nano-wires.

  16. Stable field emission from arrays of vertically aligned free-standing metallic nanowires

    DEFF Research Database (Denmark)

    Xavier, S.; Mátéfi-Tempfli, Stefan; Ferain, E.

    2008-01-01

    We present a fully elaborated process to grow arrays of metallic nanowires with controlled geometry and density, based on electrochemical filling of nanopores in track-etched templates. Nanowire growth is performed at room temperature, atmospheric pressure and is compatible with low cost...

  17. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  18. Ensembles of indium phosphide nanowires: physical properties and functional devices integrated on non-single crystal platforms

    International Nuclear Information System (INIS)

    Kobayashi, Nobuhiko P.; Lohn, Andrew; Onishi, Takehiro; Mathai, Sagi; Li, Xuema; Straznicky, Joseph; Wang, Shih-Yuan; Williams, R.S.; Logeeswaran, V.J.; Islam, M.S.

    2009-01-01

    A new route to grow an ensemble of indium phosphide single-crystal semiconductor nanowires is described. Unlike conventional epitaxial growth of single-crystal semiconductor films, the proposed route for growing semiconductor nanowires does not require a single-crystal semiconductor substrate. In the proposed route, instead of using single-crystal semiconductor substrates that are characterized by their long-range atomic ordering, a template layer that possesses short-range atomic ordering prepared on a non-single-crystal substrate is employed. On the template layer, epitaxial information associated with its short-range atomic ordering is available within an area that is comparable to that of a nanowire root. Thus the template layer locally provides epitaxial information required for the growth of semiconductor nanowires. In the particular demonstration described in this paper, hydrogenated silicon was used as a template layer for epitaxial growth of indium phosphide nanowires. The indium phosphide nanowires grown on the hydrogenerated silicon template layer were found to be single crystal and optically active. Simple photoconductors and pin-diodes were fabricated and tested with the view towards various optoelectronic device applications where group III-V compound semiconductors are functionally integrated onto non-single-crystal platforms. (orig.)

  19. Ensembles of indium phosphide nanowires: physical properties and functional devices integrated on non-single crystal platforms

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, Nobuhiko P.; Lohn, Andrew; Onishi, Takehiro [University of California, Santa Cruz (United States). Baskin School of Engineering; NASA Ames Research Center, Nanostructured Energy Conversion Technology and Research (NECTAR), Advanced Studies Laboratories, Univ. of California Santa Cruz, Moffett Field, CA (United States); Mathai, Sagi; Li, Xuema; Straznicky, Joseph; Wang, Shih-Yuan; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Logeeswaran, V.J.; Islam, M.S. [University of California Davis, Electrical and Computer Engineering, Davis, CA (United States)

    2009-06-15

    A new route to grow an ensemble of indium phosphide single-crystal semiconductor nanowires is described. Unlike conventional epitaxial growth of single-crystal semiconductor films, the proposed route for growing semiconductor nanowires does not require a single-crystal semiconductor substrate. In the proposed route, instead of using single-crystal semiconductor substrates that are characterized by their long-range atomic ordering, a template layer that possesses short-range atomic ordering prepared on a non-single-crystal substrate is employed. On the template layer, epitaxial information associated with its short-range atomic ordering is available within an area that is comparable to that of a nanowire root. Thus the template layer locally provides epitaxial information required for the growth of semiconductor nanowires. In the particular demonstration described in this paper, hydrogenated silicon was used as a template layer for epitaxial growth of indium phosphide nanowires. The indium phosphide nanowires grown on the hydrogenerated silicon template layer were found to be single crystal and optically active. Simple photoconductors and pin-diodes were fabricated and tested with the view towards various optoelectronic device applications where group III-V compound semiconductors are functionally integrated onto non-single-crystal platforms. (orig.)

  20. Simple hydrothermal synthesis and sintering of Na0.5Bi0.5TiO3 nanowires

    International Nuclear Information System (INIS)

    Jiang Xiangping; Lin Mei; Tu Na; Chen Chao; Zhou Shulan; Zhan Hongquan

    2011-01-01

    Highlights: → Single-crystalline NBT nanowires were synthesized using a simple hydrothermal route. → Reaction time can significantly influence the growth behavior of powders. → 1D growth mechanism of NBT corresponds to the dissolution-recrystallization mechanism. → NBT ceramics derived from nanowires showed typical characteristics of relax or ferroelectrics. - Abstract: Single-crystalline Na 0.5 Bi 0.5 TiO 3 (NBT) nanowires, with diameters of 100 nm and lengths of about 4 μm, were synthesized by using a simple hydrothermal method. Phase composition, morphology and microstructure of the as-prepared powders were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscope (TEM). The effects of reaction temperature and reaction time on precipitation of the NBT nanowires were investigated. It was found that reaction time significantly influenced the growth behavior of the powders in the hydrothermal system. Based on the experimental results, the one-dimensional (1D) growth mechanism of the NBT was governed by a dissolution-recrystallization mechanism. NBT ceramics derived from the nanowires showed typical characteristics of relaxor ferroelectrics, with diffuseness exponent γ of as high as 1.73.

  1. Synthesis of platinum nanowire networks using a soft template.

    Science.gov (United States)

    Song, Yujiang; Garcia, Robert M; Dorin, Rachel M; Wang, Haorong; Qiu, Yan; Coker, Eric N; Steen, William A; Miller, James E; Shelnutt, John A

    2007-12-01

    Platinum nanowire networks have been synthesized by chemical reduction of a platinum complex using sodium borohydride in the presence of a soft template formed by cetyltrimethylammonium bromide in a two-phase water-chloroform system. The interconnected polycrystalline nanowires possess the highest surface area (53 +/- 1 m2/g) and electroactive surface area (32.4 +/- 3.6 m2/g) reported for unsupported platinum nanomaterials; the high surface area results from the small average diameter of the nanowires (2.2 nm) and the 2-10 nm pores determined by nitrogen adsorption measurements. Synthetic control over the network was achieved simply by varying the stirring rate and reagent concentrations, in some cases leading to other types of nanostructures including wormlike platinum nanoparticles. Similarly, substitution of a palladium complex for platinum gives palladium nanowire networks. A mechanism of formation of the metal nanowire networks is proposed based on confined metal growth within a soft template consisting of a network of swollen inverse wormlike micelles.

  2. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    Science.gov (United States)

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  3. Oriented epitaxial TiO2 nanowires for water splitting

    Science.gov (United States)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  4. Facile Synthesis of Long, Straight and Uniform Copper Nanowires via a Solvothermal Method

    Institute of Scientific and Technical Information of China (English)

    Chunfu Lin; Hong Lin; Ning Wang; Xing Zhang; Jun Yang; Jianbo Li; Xiaozhan Yang

    2006-01-01

    Copper nanowires were facilely prepared via a solvothermal method. In this method, cetyltrimethylammonium bromide (CTAB) was used as a soft template, copper nitrate was an inorganic precursor, and absolute ethanol served as a reducing agent as well as a solvent. X-ray diffraction (XRD) and scanning electron microscopy (SEM) were used to characterize the as-prepared copper nanowires. The as-prepared copper nanowires are fairly uniform and long. The majority of them are longer than 100 μm and some even longer than 200 μm. Furthermore, most nanowires are quite straight. In addition,The mechanism of the growth process of copper nanowires was discussed.

  5. Tree-like SnO2 nanowires and optical properties

    International Nuclear Information System (INIS)

    Tao Tao; Chen Qiyuan; Hu Huiping; Chen Ying

    2011-01-01

    Research highlights: → Tree-like SnO 2 nanowires can be grown as low as 1100 deg. C by a vapour-solid process using a milled SnO 2 powder as the evaporation source. → FT-IR and PL measurements have shown that the tree-like nanostructures lead to superb physical properties. → The PL spectrum of such tree-like nanowires exhibits a strong PL peak at 548 nm. - Abstract: Tree-like SnO 2 nanowires have been grown by a vapor-solid process using a milled SnO 2 powder as the evaporation source. Phase, structural evolution and chemical composition were investigated using X-ray diffraction (XRD), X-ray spectrometry (EDS), and scanning electron microscopy (SEM). The process yields a large proportion of ultra-long rutile nanowires of 50-150 nm diameter and lengths up to several tens of micrometers. High-resolution transmission electron microscopy (HRTEM) shows that the SnO 2 nanowires are single crystals in the (1 0 1) growth direction with scattered smaller crystals or nanowires as the tree branches. The SnO 2 nanostructures were also examined using Fourier transform infra-red (FT-IR) and photoluminescence (PL) spectroscopy. A strong emission band centered at 548 nm dominated the PL spectrum of the tree-like nanowires.

  6. Heteronanostructure of Ag particle on titanate nanowire membrane with enhanced photocatalytic properties and bactericidal activities

    International Nuclear Information System (INIS)

    Shang Lu; Li Bingjie; Dong Wenjun; Chen Benyong; Li Chaorong; Tang Weihua; Wang Ge; Wu Jian; Ying Yibin

    2010-01-01

    A novel seed induced method has been developed for syntheses of Ag particles on titanate nanowires, and then the heteronanostructured Ag/titanate nanowires were assembled into porous, flexible membranes. These titanate nanowires were about several hundreds micrometers in length and about 80 nm in diameter. The size of the Ag particle can be tuned within 300-700 nm. The pore size and thickness of the heteronanostructured membrane were easily controlled. An Ag/titanate nanowire membrane reactor has been developed to study the photocatalytic degradation of methamidophos in aqueous solution, and 87.0% of the methamidophos can be degraded in a concurrent filtration and photocatalytic oxidation process. The antibacterial activity was also investigated on the heteronanostructured membrane with UVA light (365 nm) irradiation, and a 99.99% satisfactory antibacterial effect on Escherichia coli was achieved.

  7. Lasing in robust cesium lead halide perovskite nanowires

    Science.gov (United States)

    Eaton, Samuel W.; Lai, Minliang; Gibson, Natalie A.; Wong, Andrew B.; Dou, Letian; Ma, Jie; Wang, Lin-Wang; Leone, Stephen R.; Yang, Peidong

    2016-01-01

    The rapidly growing field of nanoscale lasers can be advanced through the discovery of new, tunable light sources. The emission wavelength tunability demonstrated in perovskite materials is an attractive property for nanoscale lasers. Whereas organic–inorganic lead halide perovskite materials are known for their instability, cesium lead halides offer a robust alternative without sacrificing emission tunability or ease of synthesis. Here, we report the low-temperature, solution-phase growth of cesium lead halide nanowires exhibiting low-threshold lasing and high stability. The as-grown nanowires are single crystalline with well-formed facets, and act as high-quality laser cavities. The nanowires display excellent stability while stored and handled under ambient conditions over the course of weeks. Upon optical excitation, Fabry–Pérot lasing occurs in CsPbBr3 nanowires with an onset of 5 μJ cm−2 with the nanowire cavity displaying a maximum quality factor of 1,009 ± 5. Lasing under constant, pulsed excitation can be maintained for over 1 h, the equivalent of 109 excitation cycles, and lasing persists upon exposure to ambient atmosphere. Wavelength tunability in the green and blue regions of the spectrum in conjunction with excellent stability makes these nanowire lasers attractive for device fabrication. PMID:26862172

  8. Structural characterization of Fe−Pd nanowires grown by electrodeposition using an acid electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Domenichini, P. [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Condó, A.M. [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Centro Atómico Bariloche, Comisión Nacional de Energía Atómica, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Haberkorn, N., E-mail: nhaberk@cab.cnea.gov.ar [Instituto Balseiro, Universidad Nacional de Cuyo, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina); Centro Atómico Bariloche, Comisión Nacional de Energía Atómica, Av. Bustillo 9500, 8400 San Carlos de Bariloche (Argentina)

    2016-07-01

    Fe{sub 70}Pd{sub 30} nanostructures have potential application in actuators due to their conventional and magnetic shape memory. Here, we report the microstructure of electrodeposition grown Fe−Pd nanowires in which the process was confined to polycarbonate membranes with a nominal pore diameter of 200 nm. We used an acid electrolyte (pH ≈ 5) in which the solution was stabilized with sulfosalicylic acid. The average chemical concentration of the nanowires can be systematically shifted from rich palladium to rich iron by changing the growth potential. The study of the microstructure by transmission electron microscopy indicates high chemical inhomogeneities due to phase coexistence between rich palladium regions (with FCC structure) and rich iron regions. The latter present a combination of BCC and amorphous phases. The average chemical composition of the nanowires can be better adjusted by using a low frequency square wave voltage excitation (alternating rich Pd and rich Fe regions). However, independently of the growth process, the nanowires morphology collapses after thermal annealing. This could be ascribed to fragile grain boundaries due to the presence of amorphous hydroxides and chemical impurities produced during the electrochemical process. - Highlights: • Synthesis of Fe−Pd nanowires by electrodeposition is reported. • Structural characterization of the nanowires by transmission electron microscopy. • The synthesis of nanowires with austenitic phase is limited by fragile grain boundaries.

  9. Single n+-i-n+ InP nanowires for highly sensitive terahertz detection.

    Science.gov (United States)

    Peng, Kun; Parkinson, Patrick; Gao, Qian; Boland, Jessica L; Li, Ziyuan; Wang, Fan; Mokkapati, Sudha; Fu, Lan; Johnston, Michael B; Tan, Hark Hoe; Jagadish, Chennupati

    2017-03-24

    Developing single-nanowire terahertz (THz) electronics and employing them as sub-wavelength components for highly-integrated THz time-domain spectroscopy (THz-TDS) applications is a promising approach to achieve future low-cost, highly integrable and high-resolution THz tools, which are desirable in many areas spanning from security, industry, environmental monitoring and medical diagnostics to fundamental science. In this work, we present the design and growth of n + -i-n + InP nanowires. The axial doping profile of the n + -i-n + InP nanowires has been calibrated and characterized using combined optical and electrical approaches to achieve nanowire devices with low contact resistances, on which the highly-sensitive InP single-nanowire photoconductive THz detectors have been demonstrated. While the n + -i-n + InP nanowire detector has a only pA-level response current, it has a 2.5 times improved signal-to-noise ratio compared with the undoped InP nanowire detector and is comparable to traditional bulk THz detectors. This performance indicates a promising path to nanowire-based THz electronics for future commercial applications.

  10. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  11. EDITORIAL: Nanowires for energy Nanowires for energy

    Science.gov (United States)

    LaPierre, Ray; Sunkara, Mahendra

    2012-05-01

    This special issue of Nanotechnology focuses on studies illustrating the application of nanowires for energy including solar cells, efficient lighting and water splitting. Over the next three decades, nanotechnology will make significant contributions towards meeting the increased energy needs of the planet, now known as the TeraWatt challenge. Nanowires in particular are poised to contribute significantly in this development as presented in the review by Hiralal et al [1]. Nanowires exhibit light trapping properties that can act as a broadband anti-reflection coating to enhance the efficiency of solar cells. In this issue, Li et al [2] and Wang et al [3] present the optical properties of silicon nanowire and nanocone arrays. In addition to enhanced optical properties, core-shell nanowires also have the potential for efficient charge carrier collection across the nanowire diameter as presented in the contribution by Yu et al [4] for radial junction a-Si solar cells. Hybrid approaches that combine organic and inorganic materials also have potential for high efficiency photovoltaics. A Si-based hybrid solar cell is presented by Zhang et al [5] with a photoconversion efficiency of over 7%. The quintessential example of hybrid solar cells is the dye-sensitized solar cell (DSSC) where an organic absorber (dye) coats an inorganic material (typically a ZnO nanostructure). Herman et al [6] present a method of enhancing the efficiency of a DSSC by increasing the hetero-interfacial area with a unique hierarchical weeping willow ZnO structure. The increased surface area allows for higher dye loading, light harvesting, and reduced charge recombination through direct conduction along the ZnO branches. Another unique ZnO growth method is presented by Calestani et al [7] using a solution-free and catalyst-free approach by pulsed electron deposition (PED). Nanowires can also make more efficient use of electrical power. Light emitting diodes, for example, will eventually become the

  12. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    Directory of Open Access Journals (Sweden)

    Ali Vazinishayan

    2018-06-01

    Full Text Available In this work, we employed commercial finite element modeling (FEM software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular, Ag (pentagonal and Si (rectangular using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively. Keywords: Nanowires, Material effects, Mechanical properties, Brittle failure

  13. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    Science.gov (United States)

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  14. Engineering hybrid epitaxial InAsSb/Al nanowires for stronger topological protection

    DEFF Research Database (Denmark)

    Sestoft, Joachim E.; Kanne, Thomas; Gejl, Aske Nørskov

    2018-01-01

    The combination of strong spin-orbit coupling, large g factors, and the coupling to a superconductor can be used to create a topologically protected state in a semiconductor nanowire. Here we report on growth and characterization of hybrid epitaxial InAsSb/Al nanowires, with varying composition a...

  15. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  16. Mechanical behavior enhancement of ZnO nanowire by embedding different nanowires

    Science.gov (United States)

    Vazinishayan, Ali; Yang, Shuming; Lambada, Dasaradha Rao; Wang, Yiming

    2018-06-01

    In this work, we employed commercial finite element modeling (FEM) software package ABAQUS to analyze mechanical properties of ZnO nanowire before and after embedding with different kinds of nanowires, having different materials and cross-section models such as Au (circular), Ag (pentagonal) and Si (rectangular) using three point bending technique. The length and diameter of the ZnO nanowire were measured to be 12,280 nm and 103.2 nm, respectively. In addition, Au, Ag and Si nanowires were considered to have the length of 12,280 nm and the diameter of 27 nm. It was found that after embedding Si nanowire with rectangular cross-section into the ZnO nanowire, the distribution of Von Misses stresses criterion, displacement and strain were decreased than the other nanowires embedded. The highest stiffness, the elastic deformation and the high strength against brittle failure have been made by Si nanowire comparison to the Au and Ag nanowires, respectively.

  17. Growth of ZnO nanowires on polypropylene membrane surface—Characterization and reactivity

    Energy Technology Data Exchange (ETDEWEB)

    Bojarska, Marta, E-mail: m.bojarska@ichip.pw.edu.pl [Warsaw University of Technology, Faculty of Chemical and Process Engineering, Waryńskiego 1, 00-645 Warsaw (Poland); Lehrstuhl für Technische Chemie II, Universität Duisburg-Essen, Essen 45117 (Germany); Nowak, Bartosz, E-mail: novakbartosz@gmail.com [Warsaw University of Technology, Faculty of Chemical and Process Engineering, Waryńskiego 1, 00-645 Warsaw (Poland); Skowroński, Jarosław, E-mail: jaroslaw.skowronski@itee.radom.pl [Institute for Sustainable Technologies—National Research Institute, Pułaskiego 6/10, 26-600 Radom (Poland); Piątkiewicz, Wojciech, E-mail: w.piatkiewicz@polymemtech.com [Institute for Sustainable Technologies—National Research Institute, Pułaskiego 6/10, 26-600 Radom (Poland); PolymemTech Sp. z o.o., al. Niepodległości 118/90, 02-577 Warsaw (Poland); Gradoń, Leon, E-mail: l.gradon@ichip.pw.edu.pl [Warsaw University of Technology, Faculty of Chemical and Process Engineering, Waryńskiego 1, 00-645 Warsaw (Poland)

    2017-01-01

    Highlights: • ZnO nanowires were grown on a polypropylene microfiltration capillary membrane. • Plasma treatment was used for membrane activation and hydrophilization. • The photocatalytic/antibacterial properties were studied upon light irradiation. • PP/ZnO nanowires membrane show good photocatalytic and antibacterial activity. • We report a new method for obtaining reactive membranes with ZnO nanowires. - Abstract: Need for a new membrane is clearly visible in recent studies, mostly due to the fouling phenomenon. Authors, focused on problem of biofouling caused by microorganisms that are present in water environment. An attempt to form a new membrane with zinc oxide (ZnO) nanowires was made; where plasma treatment was used as a first step of modification followed by chemical bath deposition. Such membrane will exhibit additional reactive properties. ZnO, because of its antibacterial and photocatalytic properties, is more and more often used in commercial applications. The authors used SEM imaging, measurement of the contact angle, XRD and the FT–IR analysis for membrane characterization. Amount of ZnO deposited on membrane surface was also investigated by dithizone method. Photocatalytic properties of such membranes were examined through methylene blue and humic acid degradation in laboratory scale modules with LEDs as either: wide range white or UV light source. Antibacterial and antifouling properties of polypropylene membranes modified with ZnO nanowires were examined through a series of tests involving microorganisms: model gram-positive and −negative bacteria. The obtained results showed that it is possible to modify the membrane surface in such a way, that additional reactive properties will be given. Thus, not only did the membrane become a physical barrier, but also turned out to be a reactive one.

  18. Molecular beam epitaxy of InN nanowires on Si

    Science.gov (United States)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  19. Understanding the formation process of exceptionally long fullerene-based nanowires

    DEFF Research Database (Denmark)

    Solov'yov, Ilia; Geng, Junfeng; Solov'yov, Andrey V.

    2009-01-01

    solution of C$_60$. We have performed a thorough theoretical analysis, aiming at gaining an in-depth understanding of the exceptionally large aspect ratio of C$_60$-based nanowires. By accounting for different interactions in the system we have calculated the structures of the unit cell and determined...... the role of the fullerene and of the solvent molecules in the crystallization process of the nanowires. We have calculated the adhesion energy of C$_60$ molecules to the nanowire surface, and on the basis of this explained the growth anisotropy of the crystal. To get a more profound understanding...

  20. Site-selective fabrication of conducting molecular nanowires based on electrocrystallization

    International Nuclear Information System (INIS)

    Hasegawa, H.; Kubota, T.; Mashiko, S.

    2005-01-01

    We have grown nanowires in a selective position by using an electrochemical process and alternating current. Nanoscale electrocrystallization was carried out in an axially substituted phthalocyanine solution using substrates with two electrodes formed by photolithography. The growth area was limited to the narrowest part of the gap between the tips of the electrodes by using tapered electrodes. The nanowires obtained had a width of approximately 100 nm and a length of more than 1 μm. Analysis of the selected-area electron diffraction pattern showed that the nanowire structure was identical to that of bulk crystal

  1. Templated Control of Au nanospheres in Silica Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Tringe, J W; Vanamu, G; Zaidi, S H

    2007-03-15

    The formation of regularly-spaced metal nanostructures in selectively-placed insulating nanowires is an important step toward realization of a wide range of nano-scale electronic and opto-electronic devices. Here we report templated synthesis of Au nanospheres embedded in silica nanowires, with nanospheres consistently spaced with a period equal to three times their diameter. Under appropriate conditions, nanowires form exclusively on Si nanostructures because of enhanced local oxidation and reduced melting temperatures relative to templates with larger dimensions. We explain the spacing of the nanospheres with a general model based on a vapor-liquid-solid mechanism, in which an Au/Si alloy dendrite remains liquid in the nanotube until a critical Si concentration is achieved locally by silicon oxide-generated nanowire growth. Additional Si oxidation then locally reduces the surface energy of the Au-rich alloy by creating a new surface with minimum area inside of the nanotube. The isolated liquid domain subsequently evolves to become an Au nanosphere, and the process is repeated.

  2. Aging of Organic Nanowires

    DEFF Research Database (Denmark)

    Balzer, Frank; Schiek, Manuela; Osadnik, Andreas

    2012-01-01

    Organic semiconductors formed by epitaxial growth from small molecules such as the para-phenylenes or squaraines promise a vast application potential as the active ingredient in electric and optoelectronic devices. Their self-organization into organic nanowires or "nanofibers" adds a peculiar...... attribute, making them especially interesting for light generation in OLEDs and for light-harvesting devices such as solar cells. Functionalization of the molecules allows the customization of optical and electrical properties. However, aging of the wires might lead to a considerable decrease in device...... performance over time. In this study the morphological stability of organic nanoclusters and nanowires from the methoxy functionalized quaterphenylene, 4,4'''dimethoxy-1,1':4',1''4'',1'''-quaterphenylene (MOP4), is investigated in detail. Aging experiments conducted by atomic force microscopy under ambient...

  3. Conducting polymer nanowire arrays for high performance supercapacitors.

    Science.gov (United States)

    Wang, Kai; Wu, Haiping; Meng, Yuena; Wei, Zhixiang

    2014-01-15

    This Review provides a brief summary of the most recent research developments in the fabrication and application of one-dimensional ordered conducting polymers nanostructure (especially nanowire arrays) and their composites as electrodes for supercapacitors. By controlling the nucleation and growth process of polymerization, aligned conducting polymer nanowire arrays and their composites with nano-carbon materials can be prepared by employing in situ chemical polymerization or electrochemical polymerization without a template. This kind of nanostructure (such as polypyrrole and polyaniline nanowire arrays) possesses high capacitance, superior rate capability ascribed to large electrochemical surface, and an optimal ion diffusion path in the ordered nanowire structure, which is proved to be an ideal electrode material for high performance supercapacitors. Furthermore, flexible, micro-scale, threadlike, and multifunctional supercapacitors are introduced based on conducting polyaniline nanowire arrays and their composites. These prototypes of supercapacitors utilize the high flexibility, good processability, and large capacitance of conducting polymers, which efficiently extend the usage of supercapacitors in various situations, and even for a complicated integration system of different electronic devices. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. V-groove SnO2 nanowire sensors: fabrication and Pt-nanoparticle decoration

    International Nuclear Information System (INIS)

    Sun, Gun-Joo; Choi, Sun-Woo; Jung, Sung-Hyun; Katoch, Akash; Kim, Sang Sub

    2013-01-01

    Networked SnO 2 nanowire sensors were achieved using the selective growth of SnO 2 nanowires and their tangling ability, particularly on on-chip V-groove structures, in an effort to overcome the disadvantages imposed on the conventional trench-structured SnO 2 nanowire sensors. The sensing performance of the V-groove-structured SnO 2 nanowire sensors was highly dependent on the geometrical dimension of the groove, being superior to those of their conventional trench-structured counterparts. Pt nanoparticles were decorated on the surface of the networked SnO 2 nanowires via γ-ray radiolysis to enhance the sensing performances of the V-groove sensors whose V-groove widths had been optimized. The V-groove-structured Pt-nanoparticle-decorated SnO 2 nanowire sensors exhibited outstanding and reliable sensing capabilities towards toluene and nitrogen dioxide gases, indicating their potential for use as a platform for chemical gas sensors. (paper)

  5. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    Science.gov (United States)

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  6. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  7. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  8. Magnetic Iron Oxide Nanowires Formed by Reactive Dewetting.

    Science.gov (United States)

    Bennett, Roger A; Etman, Haitham A; Hicks, Hannah; Richards, Leah; Wu, Chen; Castell, Martin R; Dhesi, Sarnjeet S; Maccherozzi, Francesco

    2018-04-11

    The growth and reactive dewetting of ultrathin films of iron oxides supported on Re(0001) surfaces have been imaged in situ in real time. Initial growth forms a nonmagnetic stable FeO (wüstite like) layer in a commensurate network upon which high aspect ratio nanowires of several microns in length but less than 40 nm in width can be fabricated. The nanowires are closely aligned with the substrate crystallography and imaging by X-ray magnetic circular dichroism shows that each contain a single magnetic domain. The driving force for dewetting appears to be the minimization of strain energy of the Fe 3 O 4 crystallites and follows the Tersoff and Tromp model in which strain is minimized at constant height by extending in one epitaxially matched direction. Such wires are promising in spintronic applications and we predict that the growth will also occur on other hexagonal substrates.

  9. A review on III–V core–multishell nanowires: growth, properties, and applications

    International Nuclear Information System (INIS)

    Royo, Miquel; Rurali, Riccardo; De Luca, Marta; Zardo, Ilaria

    2017-01-01

    This review focuses on the emerging field of core–multishell (CMS) semiconductor nanowires (NWs). In these kinds of wires, a NW grown vertically on a substrate acts as a template for the coaxial growth of two or more layers wrapped around it. Thanks to the peculiar geometry, the strain is partially released along the radial direction, thus allowing the creation of fascinating heterostructures, even based on lattice mismatched materials that would hardly grow in a planar geometry. Enabling the unique bridging of the 1D nature of NWs with the exciting properties of 2D heterostructures, these novel systems are becoming attractive for material science, as well as fundamental and applied physics. We will focus on NWs made of III–V and III–V-based alloys as they represent a model system in which present growth techniques have reached a high degree of control on the material structural properties, and many physical properties have been assessed, from both the theoretical and experimental points of view. In particular, we provide an overview on the growth methods and structural properties of CMS NWs, on the modulation doping mechanisms enabled by these heterostructures, on the effects of a magnetic field, and on the phononic and optical properties typical of CMS NWs. Moreover, we review the main technological applications based on these systems, such as optoelectronic and photovoltaic devices. (topical review)

  10. Design and Characterisation of III-V Semiconductor Nanowire Lasers

    Science.gov (United States)

    Saxena, Dhruv

    The development of small, power-efficient lasers underpins many of the technologies that we utilise today. Semiconductor nanowires are promising for miniaturising lasers to even smaller dimensions. III-V semiconductors, such as Gallium Arsenide (GaAs) and Indium Phosphide (InP), are the most widely used materials for optoelectronic devices and so the development of nanowire lasers based on these materials is expected to have technologically significant outcomes. This PhD dissertation presents a comprehensive study of the design of III-V semiconductor nanowire lasers, with bulk and quantum confined active regions. Based on the design, various III-V semiconductor nanowire lasers are demonstrated, namely, GaAs nanowire lasers, GaAs/AlGaAs multi-quantum well (MQW) nanowire lasers and InP nanowire lasers. These nanowire lasers are shown to operate at room temperature, have low thresholds, and lase from different transverse modes. The structural and optoelectronic quality of nanowire lasers are characterised via electron microscopy and photoluminescence spectroscopic techniques. Lasing is characterised in all these devices by optical pumping. The lasing characteristics are analysed by rate equation modelling and the lasing mode(s) in these devices is characterised by threshold gain modelling, polarisation measurements and Fourier plane imaging. Firstly, GaAs nanowire lasers that operate at room temperature are demonstrated. This is achieved by determining the optimal nanowire diameter to reduce threshold gain and by passivating nanowires to improve their quantum efficiency (QE). High-quality surface passivated GaAs nanowires of suitable diameters are grown. The growth procedure is tailored to improve both QE and structural uniformity of nanowires. Room-temperature lasing is demonstrated from individual nanowires and lasing is characterised to be from TM01 mode by threshold gain modelling. To lower threshold even further, nanowire lasers with GaAs/AlGaAs coaxial multi

  11. Tungsten oxide nanowires grown on graphene oxide sheets as high-performance electrochromic material

    International Nuclear Information System (INIS)

    Chang, Xueting; Sun, Shibin; Dong, Lihua; Hu, Xiong; Yin, Yansheng

    2014-01-01

    Graphical abstract: Electrochromic mechanism of tungsten oxide nanowires-reduced graphene oxide composite. - Highlights: • A novel inorganic-nano-carbon hybrid composite was prepared. • The hybrid composite has sandwich-like structure. • The hybrid composite exhibited high-quality electrohcromic performance. - Abstract: In this work, we report the synthesis of a novel hybrid electrochromic composite through nucleation and growth of ultrathin tungsten oxide nanowires on graphene oxide sheets using a facile solvothermal route. The competition between the growth of tungsten oxide nanowires and the reduction of graphene oxide sheets leads to the formation of sandwich-structured tungsten oxide-reduced graphene oxide composite. Due to the strongly coupled effect between the ultrathin tungsten oxide nanowires and the reduced graphene oxide nanosheets, the novel electrochromic composite exhibited high-quality electrochromic performance with fast color-switching speed, good cyclic stability, and high coloration efficiency. The present tungsten oxide-reduced graphene oxide composite represents a new approach to prepare other inorganic-reduced graphene oxide hybrid materials for electrochemical applications

  12. Polyol Synthesis of Silver Nanowires by Heterogeneous Nucleation and Mechanistic Aspects Influencing its Length and Diameter

    Science.gov (United States)

    Schuette, Waynie Mark

    Various additives are employed in the polyol synthesis of silver nanowires (Ag NWs), which are typically halide salts such as NaCl. A variety of mechanistic roles have been suggested for these additives. My research showed that the early addition of NaCl in the polyol synthesis of Ag NWs from AgNO3 in ethylene glycol results in the rapid formation of AgCl nanocubes, which induce the heterogeneous nucleation of metallic Ag upon their surfaces. Ag NWs subsequently grow from these nucleation sites. The conclusions are supported by studies using ex-situ generated AgCl nanocubes. Additionally, the final mean silver nanowire diameter is found to be independent of the size of the heterogeneous nucleant, showing that the diameter is not significantly influenced by the nucleation event. Kinetics studies determine that nanowire diameter, length, and aspect ratio grow in parallel to one another and with the extent of the Ag+ reduction reaction, demonstrating that growth is reduction-rate limited. The results are interpreted to support nanowire growth by a surface-catalyzed reduction process occurring on all nanowire surfaces, and to exclude nanoparticle aggregation or Ostwald ripening as primary components of the growth mechanism.

  13. Large-area aligned growth of single-crystalline organic nanowire arrays for high-performance photodetectors

    International Nuclear Information System (INIS)

    Wu Yiming; Zhang Xiujuan; Pan Huanhuan; Zhang Xiwei; Zhang Yuping; Zhang Xiaozhen; Jie Jiansheng

    2013-01-01

    Due to their extraordinary properties, single-crystalline organic nanowires (NWs) are important building blocks for future low-cost and efficient nano-optoelectronic devices. However, it remains a critical challenge to assemble organic NWs rationally in an orientation-, dimensionality- and location-controlled manner. Herein, we demonstrate a feasible method for aligned growth of single-crystalline copper phthalocyanine (CuPc) NW arrays with high density, large-area uniformity and perfect crossed alignment by using Au film as a template. The growth process was investigated in detail. The Au film was found to have a critical function in the aligned growth of NWs, but may only serve as the active site for NW nucleation because of the large surface energy, as well as direct the subsequent aligned growth. The as-prepared NWs were then transferred to construct single NW-based photoconductive devices, which demonstrated excellent photoresponse properties with robust stability and reproducibility; the device showed a high switching ratio of ∼180, a fast response speed of ∼100 ms and could stand continuous operation up to 2 h. Importantly, this strategy can be extended to other organic molecules for their synthesis of NW arrays, revealing great potential for use in the construction of large-scale high-performance functional nano-optoelectronic devices. (paper)

  14. Indium Arsenide Nanowires

    DEFF Research Database (Denmark)

    Madsen, Morten Hannibal

    -ray diffraction. InAs NWs can be used in a broad range of applications, including detectors, high speed electronics and low temperature transport measurements, but in this thesis focus will be put on biological experiments on living cells. Good control of Au-assisted InAs NW growth has been achieved......This thesis is about growth of Au-assisted and self-assisted InAs nanowires (NWs). The wires are synthesized using a solid source molecular beam epitaxy (MBE) system and characterized with several techniques including scanning electron microscopy (SEM), transmission electron microscopy (TEM) and x...... by a systematic study to optimize the growth conditions; first the Au deposition, then the growth temperature and finally the beam fluxes. For further control of the growth, Au droplets have been positioned with electron beam lithography and large scale arrays with a > 99 % yield have been made on 2 inch...

  15. Synthesis and cathodoluminescence of Sb/P co-doped GaN nanowires

    International Nuclear Information System (INIS)

    Wang, Zaien; Liu, Baodan; Yuan, Fang; Hu, Tao; Zhang, Guifeng; Dierre, Benjamin; Hirosaki, Naoto; Sekiguchi, Takashi; Jiang, Xin

    2014-01-01

    Sb/P co-doped Gallium Nitride (GaN) nanowires were synthesized via a simple chemical vapor deposition (CVD) process by heating Ga 2 O 3 and Sb powders in NH 3 atmosphere. Scanning electron microscope (SEM), X-ray diffraction (XRD), transmission electron microscope (TEM) and energy dispersive X-ray spectroscopy (EDS) measurements confirmed the as-synthesized products were Sb/P co-doped GaN nanowires with rough morphology and hexagonal wurtzite structure. Room temperature cathodoluminescence (CL) demonstrated that an obvious band shift of GaN nanowires can be observed due to Sb/P co-doping. Possible explanation for the growth and luminescence mechanism of Sb/P co-doped GaN nanowires was discussed. Highlight: • Sb/P co-doped GaN nanowires were synthesized through a well-designed multi-channel chemical vapor deposition (CVD) process. • Sb/P co-doping leads to the crystallinity deterioration of GaN nanowires. • Sb/P co-doping caused the red-shift of GaN nanowires band-gap in UV range. • Compared with Sb doping, P atoms are more easy to incorporate into the GaN lattice

  16. Magnetoimpedance effects in a CoNiFe nanowire array

    Energy Technology Data Exchange (ETDEWEB)

    Atalay, S., E-mail: selcuk.atalay@inonu.edu.tr [Inonu University, Science and Arts Faculty, Physics Department, Malatya (Turkey); Kaya, H.; Atalay, F.E.; Aydogmus, E. [Inonu University, Science and Arts Faculty, Physics Department, Malatya (Turkey)

    2013-06-05

    Highlights: ► CoNiFe nanowires were produced by electrodeposition method. ► Magnetoimpedance effect of nanowires arrays were investigated. ► Single peak behaviour was observed in the magnetoimpedance curve. ► Nanowire arrays exhibit uniaxial magnetic anisotropy along the wire axis. -- Abstract: This report describes the growth of CoNiFe nanowires into highly ordered porous anodic alumina oxide (AAO) templates by DC electrodeposition at a pH value of 2.6. Scanning electron microscopy (SEM) observations revealed that the wires have diameters of approximately 270–290 nm and a length of 25 μm. The energy dispersive X-ray (EDX) analysis indicated that the composition of the nanowires is Co{sub 12}Ni{sub 64}Fe{sub 24}. Electrical contacts were created on both sides of the nanowire array, and their magnetoimpedance (MI) properties were investigated. The impedance value was initially 1.2 ohm at low frequency and increased to approximately 1000 ohm for a 33-MHz driving current frequency under no applied magnetic field. All the MI curves exhibited single peak behaviour due to the high shape anisotropy. The maximum MI change at the 33-MHz driving current frequency was 2.72%. The maximum resistance change was 5.4% at 33 MHz.

  17. Synthesis of Oxidation-Resistant Cupronickel Nanowires for Transparent Conducting Nanowire Networks

    Energy Technology Data Exchange (ETDEWEB)

    Rathmall, Aaron [Duke University; Nguyen, Minh [Duke University; Wiley, Benjamin J [Duke University

    2012-01-01

    Nanowires of copper can be coated from liquids to create flexible, transparent conducting films that can potentially replace the dominant transparent conductor, indium tin oxide, in displays, solar cells, organic light-emitting diodes, and electrochromic windows. One issue with these nanowire films is that copper is prone to oxidation. It was hypothesized that the resistance to oxidation could be improved by coating copper nanowires with nickel. This work demonstrates a method for synthesizing copper nanowires with nickel shells as well as the properties of cupronickel nanowires in transparent conducting films. Time- and temperature-dependent sheet resistance measurements indicate that the sheet resistance of copper and silver nanowire films will double after 3 and 36 months at room temperature, respectively. In contrast, the sheet resistance of cupronickel nanowires containing 20 mol % nickel will double in about 400 years. Coating copper nanowires to a ratio of 2:1 Cu:Ni gave them a neutral gray color, making them more suitable for use in displays and electrochromic windows. These properties, and the fact that copper and nickel are 1000 times more abundant than indium or silver, make cupronickel nanowires a promising alternative for the sustainable, efficient production of transparent conductors.

  18. A rapid hydrothermal synthesis of rutile SnO2 nanowires

    International Nuclear Information System (INIS)

    Lupan, O.; Chow, L.; Chai, G.; Schulte, A.; Park, S.; Heinrich, H.

    2009-01-01

    Tin oxide (SnO 2 ) nanowires with rutile structure have been synthesized by a facile hydrothermal method at 98 deg. C. The morphologies and structural properties of the as-grown nanowires/nanoneedles were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), selected area electron diffraction, X-ray diffraction and Raman spectroscopy. The SEM images reveal tetragonal nanowires of about 10-100 μm in length and 50-100 nm in radius. The Raman scattering peaks indicate a typical rutile phase of the SnO 2 . The effects of molar ratio of SnCl 4 to NH 4 OH on the growth mechanism are discussed

  19. Synthesis and Characterization of CdS/CdSxSe1-x Nanowires

    Science.gov (United States)

    Agueda Lopez, Kleyser; Wu, Marvin

    Semiconductor nanowire heterostructures are of interest for potential applications in solar cells and other advanced optoelectronic devices. We report here on synthesis of CdS/CdSxSe1-x nanowires (NWs) using a dual source vapor = liquid - solid technique, and characterization of these NWs with scanning electron microscopy and optical microscopy. We determine the effect of growth parameters, including source / substrate temperatures and time of exposure, on NW size, shape, and composition. The crystal structure and optical properties individual NWs from selected substrates has been mapped using transmission Kikuchi diffraction and photoluminescence (PL) microscopy. NWs consistently exhibit a hexagonal structure, with growth along the c-axis. Strong PL peaks are observed between the expected bandgap emission from CdS and CdSe, confirming formation of CdSxSe1-x. PL peaks vary significantly with intensity along the long axis of the nanowire, suggesting that the NW surface is not uniformly passivated. These nanowires show promise for future investigation and manipulation of energy band gaps contain in CdS/CdSe. CREST.

  20. The fracture behavior of twinned Cu nanowires: A molecular dynamics simulation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Jiapeng, E-mail: sun.jiap@gmail.com [College of Mechanics and Materials, Hohai University, Nanjing 210098 (China); Fang, Liang [State Key Laboratory for Mechanical Behavior of Materials, Xi’an Jiaotong University, Xi’an 710049, Shaanxi Province (China); Ma, Aibin, E-mail: aibin-ma@hhu.edu.cn [College of Mechanics and Materials, Hohai University, Nanjing 210098 (China); Jiang, Jinghua [College of Mechanics and Materials, Hohai University, Nanjing 210098 (China); Han, Ying [Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun 130012, Jilin Province (China); Chen, Huawei [Department of Applied Physics, School of Science, Xi’an Jiaotong University, Xi’an 710049, Shaanxi Province (China); Han, Jing [School of Mechanical and Electrical Engineering, China University of Mining and Technology, Xuzhou 221116, Jiangsu Province (China)

    2015-05-14

    The molecular dynamics simulations are performed to explore the fracture behavior and the ductility of the twinned Cu nanowires containing orthogonally oriented growth CTBs due to the uniaxial tensile deformation. The results reveal that, the fracture behavior and the ductility of the twinned nanowires are not related to the length of the nanowires but also intensively related to the twin boundary spacing. When the twin boundary space is changed, the twinned nanowires undergo three distinct failure modes which include ductile fracture, brittle fracture and ductile-to-brittle transition depending on the length of the nanowires. We also find a reduction in the ductility of the twinned nanowires, which is ascribed to the deformation localization induced by the Lomer dislocation and the rapid necking resulted from the twinning partial slipping. Finally, the atomic-level process that occurs during deformation until final fracture are examined in detail, and a new formation mechanism of the Lomer dislocation is observed when a 90° partial dislocation transmits across a coherent twin boundary.

  1. The fracture behavior of twinned Cu nanowires: A molecular dynamics simulation

    International Nuclear Information System (INIS)

    Sun, Jiapeng; Fang, Liang; Ma, Aibin; Jiang, Jinghua; Han, Ying; Chen, Huawei; Han, Jing

    2015-01-01

    The molecular dynamics simulations are performed to explore the fracture behavior and the ductility of the twinned Cu nanowires containing orthogonally oriented growth CTBs due to the uniaxial tensile deformation. The results reveal that, the fracture behavior and the ductility of the twinned nanowires are not related to the length of the nanowires but also intensively related to the twin boundary spacing. When the twin boundary space is changed, the twinned nanowires undergo three distinct failure modes which include ductile fracture, brittle fracture and ductile-to-brittle transition depending on the length of the nanowires. We also find a reduction in the ductility of the twinned nanowires, which is ascribed to the deformation localization induced by the Lomer dislocation and the rapid necking resulted from the twinning partial slipping. Finally, the atomic-level process that occurs during deformation until final fracture are examined in detail, and a new formation mechanism of the Lomer dislocation is observed when a 90° partial dislocation transmits across a coherent twin boundary

  2. Continuous wet-process growth of ZnO nanoarrays for wire-shaped photoanode of dye-sensitized solar cell.

    Science.gov (United States)

    Tao, Pan; Guo, Wanwan; Du, Jun; Tao, Changyuan; Qing, Shenglan; Fan, Xing

    2016-09-15

    Well-aligned ZnO nanorod arrays have been grown on metal-plated polymer fiber via a mild wet process in a newly-designed continuous reactor, aiming to provide wire-shaped photoanodes for wearable dye-sensitized solar cells. The growth conditions were systematically optimized with the help of computational flow-field simulation. The flow field in the reactor will not only affect the morphology of the ZnO nanorod⧹nanowire but also affect the pattern distribution of nanoarray on the electrode surface. Unlike the sectional structure from the traditional batch-type reactor, ZnO nanorods with finely-controlled length and uniform morphology could be grown from the continuous reactor. After optimization, the wire-shaped ZnO-type photoanode grown from the continuous reactor exhibited better photovoltaic performance than that from the traditional batch-type reactor. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Fabrication of CdS nanowires with increasing anionic precursor by SILAR method

    Science.gov (United States)

    Dariani, R. S.; Salehi, F.

    2016-05-01

    CdS nanowires were fabricated on glass substrate at room temperature by SILAR method with cadmium nitrate cationic and sodium sulfide anionic precursors. The deposition were done at different S:Cd concentration ratios of 1:1, 3:1, 5:1, and 7:1. Nanowires growth procedure was studied in the mentioned concentrations. The number of immersion cycles was kept constant at 15 cycles. EDX analysis showed that in all stoichiometric ratios, S/Cd composition ratio remains at about unity. Our results indicated that S:Cd concentration ratio of 7:1 had the longest nanowires with hexagonal structure. The main objective of this paper was to produce CdS nanowires with increasing concentration of sulfur.

  4. Microwave-controlled ultrafast synthesis of uniform silver nanocubes and nanowires

    Science.gov (United States)

    Zhao, Tian; Fan, Jun-Bing; Cui, Jing; Liu, Jin-Hua; Xu, Xiao-Bo; Zhu, Ming-Qiang

    2011-01-01

    Synthesis of well-defined silver nanostructure in terms of size and shape has been strongly motivated by the requirements to their size- and shape-dependent optical properties which achieve their practical applications ranging from biosensing to catalysis and optics. In this Letter, an ultrafast synthetic process for the well-defined Ag nanocubes and nanowires have been developed, which simply involve the microwave-mediated polyol reduction of silver nitrate in ethylene glycol by adding different amount sodium sulfide (Na2S) into the solution. The possible growth and evolution process of the Ag nanocubes and nanowires involves the microwave ultrafast nucleation and growth followed by oxidative etching of Ag nanocrystals.

  5. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  6. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Science.gov (United States)

    Barick, B. K.; Rodríguez-Fernández, Carlos; Cantarero, Andres; Dhar, S.

    2015-05-01

    Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS) technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [ 11 2 ¯ 0 ] direction (a-plane) to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  7. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Energy Technology Data Exchange (ETDEWEB)

    Barick, B. K., E-mail: bkbarick@gmail.com, E-mail: subho-dh@yahoo.co.in; Dhar, S., E-mail: bkbarick@gmail.com, E-mail: subho-dh@yahoo.co.in [Department of Physics, Indian Institute of Technology, Bombay, Mumbai-400076 (India); Rodríguez-Fernández, Carlos; Cantarero, Andres [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain)

    2015-05-15

    Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS) technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [112{sup -}0] direction (a-plane) to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  8. High-yield growth and characterization of ⟨100⟩ InP p−n diode nanowires

    NARCIS (Netherlands)

    Cavalli, A.; Wang, J.; Zadeh, I.E.; Reimer, M.E.; Verheijen, M.A.; Soini, M.; Plissard, S.R.; Zwiller, V.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2016-01-01

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to

  9. A novel method for preparing vertically grown single-crystalline gold nanowires

    International Nuclear Information System (INIS)

    Tung, H-T; Nien, Y-T; Chen, I-G; Song, J-M

    2008-01-01

    A surfactant-free, template-less and seed-less method, namely the thermal-assisted photoreduction (TAP) process, has been developed to synthesize vertically grown Au nanowires (30-80 nm in diameter and about 2 μm in length) on the surface of thin film titanium dioxide (TiO 2 ), which is locally excited by blackbody radiation. The Au nanowires thus produced are single-crystalline with a preferred [11 bar 0] growth direction. The electrical behavior investigated using a nanomanipulation device indicates that the Au nanowires possess an excellent electrical resistivity of about 3.49 x 10 -8 Ω m.

  10. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    International Nuclear Information System (INIS)

    Chen, Wanghua; Roca i Cabarrocas, Pere; Pareige, Philippe; Castro, Celia; Xu, Tao; Grandidier, Bruno; Stiévenard, Didier

    2015-01-01

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process

  11. Atomic characterization of Au clusters in vapor-liquid-solid grown silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wanghua; Roca i Cabarrocas, Pere [Laboratoire de Physique des Interfaces et Couches Minces (LPICM), UMR 7647, CNRS, Ecole Polytechnique, 91128 Palaiseau (France); Pareige, Philippe; Castro, Celia [Groupe de Physique des Matériaux (GPM), Université et INSA de Rouen, UMR 6634, CNRS, Av. de l' Université, BP 12, 76801 Saint Etienne du Rouvray (France); Xu, Tao; Grandidier, Bruno; Stiévenard, Didier [Institut d' Electronique et de Microélectronique et de Nanotechnologies (IEMN), UMR 8520, CNRS, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France)

    2015-09-14

    By correlating atom probe tomography with other conventional microscope techniques (scanning electron microscope, scanning transmission electron microscope, and scanning tunneling microscopy), the distribution and composition of Au clusters in individual vapor-liquid-solid grown Si nanowires is investigated. Taking advantage of the characteristics of atom probe tomography, we have developed a sample preparation method by inclining the sample at certain angle to characterize the nanowire sidewall without using focused ion beam. With three-dimensional atomic scale reconstruction, we provide direct evidence of Au clusters tending to remain on the nanowire sidewall rather than being incorporated into the Si nanowires. Based on the composition measurement of Au clusters (28% ± 1%), we have demonstrated the supersaturation of Si atoms in Au clusters, which supports the hypothesis that Au clusters are formed simultaneously during nanowire growth rather than during the cooling process.

  12. Rare earth silicide nanowires on silicon surfaces

    International Nuclear Information System (INIS)

    Wanke, Martina

    2008-01-01

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti Γ point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi 2 -monolayer and the Dy 3 Si 5 -multilayer on the Si(111) surface are investigated in comparison to the known ErSi 2 /Si(111) and Er 3 Si 5 /Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the vector k parallel space is elliptical at the anti M points, while the hole pocket at the anti Γ point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas of the sample surface, which are oriented

  13. Nanowire Lasers

    Directory of Open Access Journals (Sweden)

    Couteau C.

    2015-05-01

    Full Text Available We review principles and trends in the use of semiconductor nanowires as gain media for stimulated emission and lasing. Semiconductor nanowires have recently been widely studied for use in integrated optoelectronic devices, such as light-emitting diodes (LEDs, solar cells, and transistors. Intensive research has also been conducted in the use of nanowires for subwavelength laser systems that take advantage of their quasione- dimensional (1D nature, flexibility in material choice and combination, and intrinsic optoelectronic properties. First, we provide an overview on using quasi-1D nanowire systems to realize subwavelength lasers with efficient, directional, and low-threshold emission. We then describe the state of the art for nanowire lasers in terms of materials, geometry, andwavelength tunability.Next,we present the basics of lasing in semiconductor nanowires, define the key parameters for stimulated emission, and introduce the properties of nanowires. We then review advanced nanowire laser designs from the literature. Finally, we present interesting perspectives for low-threshold nanoscale light sources and optical interconnects. We intend to illustrate the potential of nanolasers inmany applications, such as nanophotonic devices that integrate electronics and photonics for next-generation optoelectronic devices. For instance, these building blocks for nanoscale photonics can be used for data storage and biomedical applications when coupled to on-chip characterization tools. These nanoscale monochromatic laser light sources promise breakthroughs in nanophotonics, as they can operate at room temperature, can potentially be electrically driven, and can yield a better understanding of intrinsic nanomaterial properties and surface-state effects in lowdimensional semiconductor systems.

  14. Electrochemical Investigation on the Formation of Cu Nanowires by Electroless Deposition

    Directory of Open Access Journals (Sweden)

    Felizco Jenichi Clairvaux E.

    2015-01-01

    Full Text Available The growth of copper (Cu nanowires by electroless deposition in aqueous solution at 60-80 °C was studied from an electrochemical perspective using in situ mixed potential measurements and potential-pH diagrams. Scanning Electron Microscopy (SEM showed that thick and short nanowires were obtained at high temperatures, while long and thin nanowires result from low reaction temperatures. In situ mixed potential measurements reveal that Cu(II reduction is more favored at higher reaction temperatures, hastening the reduction reaction. The fast reaction leads to a high concentration of Cu atoms in the solution. As a result, Cu deposition occurs rapidly, such that they attached on both sides and ends of the primary Cu nanowires. This results to the formation of thick and short structures. On the other hand, thin and long nanowires are obtained due to the slow reduction reaction, which gives the Cu atoms more time to orderly attach in a wire-like formation.

  15. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  16. Molecular Beam Epitaxy-Grown InGaN Nanowires and Nanomushrooms for Solid State Lighting

    KAUST Repository

    Gasim, Anwar A.

    2012-05-01

    InGaN is a promising semiconductor for solid state lighting thanks to its bandgap which spans the entire visible regime of the electromagnetic spectrum. InGaN is grown heteroepitaxially due to the absence of a native substrate; however, this results in a strained film and a high dislocation density—two effects that have been associated with efficiency droop, which is the disastrous drop in efficiency of a light-emitting diode (LED) as the input current increases. Heteroepitaxially grown nanowires have recently attracted great interest due to their property of eliminating the detrimental effects of the lattice mismatch and the corollary efficiency droop. In this study, InGaN nanowires were grown on a low-cost Si (111) substrate via molecular beam epitaxy. Unique nanostructures, taking the form of mushrooms, have been observed in localized regions on the samples. These nanomushrooms consist of a nanowire body with a wide cap on top. Photoluminescence characterization revealed that the nanowires emit violet-blue, whilst the nanomushrooms emit a broad yellow-orange-red luminescence. The simultaneous emission from the nanowires and nanomushrooms forms white light. Structural characterization of a single nanomushroom via transmission electron microscopy revealed a simultaneous increase in indium and decrease in gallium at the interface between the body and the cap. Furthermore, the cap itself was found to be indium-rich, confirming it as the source of the longer wavelength yellow-orange-red luminescence. It is believed that the nanomushroom cap formed as a consequence of the saturation of growth on the c-plane of the nanowire. It is proposed that the formation of an indium droplet on the tip of the nanowire saturated growth on the c-plane, forcing the indium and gallium adatoms to incorporate on the sidewall m-planes instead, but only at the nanowire tip. This resulted in the formation of a mushroom-like cap on the tip. How and why the indium droplets formed is not

  17. Direct Evidence of Mg Incorporation Pathway in Vapor-Liquid-Solid Grown p-type Nonpolar GaN Nanowires

    OpenAIRE

    Patsha, Avinash; Amirthapandian, S.; Pandian, Ramanathaswamy; Bera, S.; Bhattacharya, Anirban; Dhara, Sandip

    2015-01-01

    Doping of III-nitride based compound semiconductor nanowires is still a challenging issue to have a control over the dopant distribution in precise locations of the nanowire optoelectronic devices. Knowledge of the dopant incorporation and its pathways in nanowires for such devices is limited by the growth methods. We report the direct evidence of incorporation pathway for Mg dopants in p-type nonpolar GaN nanowires grown via vapour-liquid-solid (VLS) method in a chemical vapour deposition te...

  18. Controllable two-step growth and photoluminescence of waterweed-like SnO{sub 2} nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Li-Wei, E-mail: liwei0509@gmail.com [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China); Huang, Meng-Wen [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan (China); Li, Chung-Tien [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China); Shih, Han C., E-mail: hcshih@mx.nthu.edu.tw [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan (China); Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China); Department of Chemical and Materials Engineering, Chinese Culture University, Taipei 111, Taiwan (China)

    2013-08-15

    Waterweed-like SnO{sub 2} nanowires (NWs) were synthesized via a two-step thermal chemical vapor deposition (TVCD) process. Nanowhiskers with enlarged surface-to-volume ratio can be grown on NWs accurately, because of the product synthesized via the two-step process. These NWs and nanowhiskers with unusual [1 0 1] and [121{sup ¯}] growth directions have very straight and uniform diameters and lengths. Photoluminescence shows that waterweed-like SnO{sub 2} NWs have three prominent emission bands at 540, 612, and 668 nm. The waterweed-like SnO{sub 2} can be applied in optoelectronic devices and potential applications to lithium-ion batteries, because of its high surface-to-volume ratio and high electric capacity properties.

  19. Rapid determination of nanowires electrical properties using a dielectrophoresis-well based system

    Science.gov (United States)

    Constantinou, Marios; Hoettges, Kai F.; Krylyuk, Sergiy; Katz, Michael B.; Davydov, Albert; Rigas, Grigorios-Panagiotis; Stolojan, Vlad; Hughes, Michael P.; Shkunov, Maxim

    2017-03-01

    The use of high quality semiconducting nanomaterials for advanced device applications has been hampered by the unavoidable growth variability of electrical properties of one-dimensional nanomaterials, such as nanowires and nanotubes, thus highlighting the need for the characterization of efficient semiconducting nanomaterials. In this study, we demonstrate a low-cost, industrially scalable dielectrophoretic (DEP) nanowire assembly method for the rapid analysis of the electrical properties of inorganic single crystalline nanowires, by identifying key features in the DEP frequency response spectrum from 1 kHz to 20 MHz in just 60 s. Nanowires dispersed in anisole were characterized using a three-dimensional DEP chip (3DEP), and the resultant spectrum demonstrated a sharp change in nanowire response to DEP signal in 1-20 MHz frequency range. The 3DEP analysis, directly confirmed by field-effect transistor data, indicates that nanowires of higher quality are collected at high DEP signal frequency range above 10 MHz, whereas lower quality nanowires, with two orders of magnitude lower current per nanowire, are collected at lower DEP signal frequencies. These results show that the 3DEP platform can be used as a very efficient characterization tool of the electrical properties of rod-shaped nanoparticles to enable dielectrophoretic selective deposition of nanomaterials with superior conductivity properties.

  20. Nanowires and nanotubes of BN, GaN and Si3N4

    International Nuclear Information System (INIS)

    Deepak, F.L.; Gundiah, G.; Govindaraj, A.; Rao, C.N.

    2002-01-01

    Simple methods of synthesizing nanotubes and nanowires of boron nitride, gallium nitride and silicon nitride have been investigated. The nanotubes and nanowires have been examined by electron microscopy and other techniques. In the case of BN, activated carbon or multi-walled carbon nanotubes (MWNTs) was heated with boric acid in the presence of NH 3 . With activated carbon, BN nanowires constitute the primary products, but good yields of BN nanotubes are obtained with MWNTs. Aligned BN nanotubes are obtained when aligned MWNTs are employed as the starting material suggesting templating role of carbon nanotubes. Single crystal gallium nitride nanowires have been obtained by heating carbon nanotubes coated with gallium acetylacetonate in NH 3 vapor at 910 o C. Single walled carbon nanotubes were used as templated to reduce the diameter of the GaN nanowires. The growth direction of the GaN nanowires is nearly perpendicular to the [100] planes and the nanowires exhibit satisfactory photoluminescence spectra. Si 3 N 4 nanowires have been synthesized by heating multi-walled carbon nanotubes with silica gel at 1360 o C in an atmosphere of NH 3 . Si 3 N 4 nanotubes are found occasionally when aligned multi-walled nanotubes are employed as templates. (author)

  1. Low temperature synthesis of seed mediated CuO bundle of nanowires, their structural characterisation and cholesterol detection.

    Science.gov (United States)

    Ibupoto, Z H; Khun, K; Liu, X; Willander, M

    2013-10-01

    In this study, we have successfully synthesised CuO bundle of nanowires using simple, cheap and low temperature hydrothermal growth method. The growth parameters such as precursor concentration and time for duration of growth were optimised. The field emission scanning electron microscopy (FESEM) has demonstrated that the CuO bundles of nanowires are highly dense, uniform and perpendicularly oriented to the substrate. The high resolution transmission electron microscopy (HRTEM) has demonstrated that the CuO nanostructures consist of bundle of nanowires and their growth pattern is along the [010] direction. The X-ray diffraction (XRD) technique described that CuO bundle of nanowires possess the monoclinic crystal phase. The surface and chemical composition analyses were carried out with X-ray photoelectron spectroscopy (XPS) technique and the obtained results suggested the pure crystal state of CuO nanostructures. In addition, the CuO nanowires were used for the cholesterol sensing application by immobilising the cholesterol oxidase through electrostatic attraction. The infrared reflection absorption spectroscopy study has also revealed that CuO nanostructures are consisting of only CuO bonding and has also shown the possible interaction of cholesterol oxidase with the sharp edge surface of CuO bundle of nanowires. The proposed cholesterol sensor has demonstrated the wide range of detection of cholesterol with good sensitivity of 33.88±0.96 mV/decade. Moreover, the CuO bundle of nanowires based sensor electrode has revealed good repeatability, reproducibility, stability, selectivity and a fast response time of less than 10s. The cholesterol sensor based on the immobilised cholesterol oxidase has good potential applicability for the determination of cholesterol from the human serum and other biological samples. Copyright © 2013 Elsevier B.V. All rights reserved.

  2. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong; Yang, Rusen; Wang, Zhong Lin

    2008-01-01

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically

  3. Electrodeposition of CdSe coatings on ZnO nanowire arrays for extremely thin absorber solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Majidi, Hasti [Department of Chemical and Biological Engineering, Drexel University, 3141 Chestnut St, Philadelphia, PA 19104 (United States); Baxter, Jason B., E-mail: jbaxter@drexel.ed [Department of Chemical and Biological Engineering, Drexel University, 3141 Chestnut St, Philadelphia, PA 19104 (United States)

    2011-02-15

    We report on electrodeposition of CdSe coatings onto ZnO nanowire arrays and determine the effect of processing conditions on material properties such as morphology and microstructure. CdSe-coated ZnO nanowire arrays have potential use in extremely thin absorber (ETA) solar cells, where CdSe absorbs visible light and injects photoexcited electrons into the ZnO nanowires. We show that room-temperature electrodeposition enables growth of CdSe coatings that are highly crystalline, uniform, and conformal with precise control over thickness and microstructure. X-ray diffraction and transmission electron microscopy show nanocrystalline CdSe in both hexagonal and cubic phases with grain size {approx}5 nm. Coating morphology depends on electrodeposition current density. Uniform and conformal coatings were achieved using moderate current densities of {approx}2 mA cm{sup -2} for nanowires with roughness factor of {approx}10, while lower current densities resulted in sparse nucleation and growth of larger, isolated islands. Electrodeposition charge density controls the thickness of the CdSe coating, which was exploited to investigate the evolution of the morphology at early stages of nucleation and growth. UV-vis transmission spectroscopy and photoelectrochemical solar cell measurements demonstrate that CdSe effectively sensitizes ZnO nanowires to visible light.

  4. Electrodeposition of CdSe coatings on ZnO nanowire arrays for extremely thin absorber solar cells

    International Nuclear Information System (INIS)

    Majidi, Hasti; Baxter, Jason B.

    2011-01-01

    We report on electrodeposition of CdSe coatings onto ZnO nanowire arrays and determine the effect of processing conditions on material properties such as morphology and microstructure. CdSe-coated ZnO nanowire arrays have potential use in extremely thin absorber (ETA) solar cells, where CdSe absorbs visible light and injects photoexcited electrons into the ZnO nanowires. We show that room-temperature electrodeposition enables growth of CdSe coatings that are highly crystalline, uniform, and conformal with precise control over thickness and microstructure. X-ray diffraction and transmission electron microscopy show nanocrystalline CdSe in both hexagonal and cubic phases with grain size ∼5 nm. Coating morphology depends on electrodeposition current density. Uniform and conformal coatings were achieved using moderate current densities of ∼2 mA cm -2 for nanowires with roughness factor of ∼10, while lower current densities resulted in sparse nucleation and growth of larger, isolated islands. Electrodeposition charge density controls the thickness of the CdSe coating, which was exploited to investigate the evolution of the morphology at early stages of nucleation and growth. UV-vis transmission spectroscopy and photoelectrochemical solar cell measurements demonstrate that CdSe effectively sensitizes ZnO nanowires to visible light.

  5. Structural and electronic properties of InN nanowire network grown by vapor-liquid-solid method

    Directory of Open Access Journals (Sweden)

    B. K. Barick

    2015-05-01

    Full Text Available Growth of InN nanowires have been carried out on quartz substrates at different temperatures by vapor-liquid-solid (VLS technique using different thicknesses of Au catalyst layer. It has been found that a narrow window of Au layer thickness and growth temperature leads to multi-nucleation, in which each site acts as the origin of several nanowires. In this multi-nucleation regime, several tens of micrometer long wires with diameter as small as 20 nm are found to grow along [ 11 2 ̄ 0 ] direction (a-plane to form a dense network. Structural and electronic properties of these wires are studied. As grown nanowires show degenerate n-type behavior. Furthermore, x-ray photoemission study reveals an accumulation of electrons on the surface of these nanowires. Interestingly, the wire network shows persistence of photoconductivity for several hours after switching off the photoexcitation.

  6. Metal-Catalyst-Free Synthesis and Characterization of Single-Crystalline Silicon Oxynitride Nanowires

    Directory of Open Access Journals (Sweden)

    Shuang Xi

    2012-01-01

    Full Text Available Large quantities of single-crystal silicon oxynitride nanowires with high N concentration have been synthesized directly on silicon substrate at 1200°C without using any metal catalyst. The diameter of these ternary nanowires is ranging from 10 to 180 nm with log-normal distribution, and the length of these nanowires varies from a few hundreds of micrometers to several millimeters. A vapor-solid mechanism was proposed to explain the growth of the nanowires. These nanowires are grown to form a disordered mat with an ultrabright white nonspecular appearance. The mat demonstrates highly diffusive reflectivity with the optical reflectivity of around 80% over the whole visible wavelength, which is comparable to the most brilliant white beetle scales found in nature. The whiteness might be resulted from the strong multiscattering of a large fraction of incident light on the disordered nanowire mat. These ultra-bright white nanowires could form as reflecting surface to meet the stringent requirements of bright-white light-emitting-diode lighting for higher optical efficiency. They can also find applications in diverse fields such as sensors, cosmetics, paints, and tooth whitening.

  7. Wurtzite InP/InAs/InP core-shell nanowires emitting at telecommunication wavelengths on Si substrate

    International Nuclear Information System (INIS)

    Hadj Alouane, M H; Anufriev, R; Chauvin, N; Bru-Chevallier, C; Khmissi, H; Ilahi, B; Maaref, H; Naji, K; Gendry, M; Patriarche, G

    2011-01-01

    Optical properties of wurtzite InP/InAs/InP core-shell nanowires grown on silicon substrates by solid source molecular beam epitaxy are studied by means of photoluminescence and microphotoluminescence. The growth conditions were optimized to obtain purely wurtzite radial quantum wells emitting in the telecom bands with a radiative lifetime in the 5-7 ns range at 14 K. Optical studies on single nanowires reveal that the polarization is mainly parallel to the growth direction. A 20-fold reduction of the photoluminescence intensity is observed between 14 and 300 K confirming the very good quality of the nanowires.

  8. Digital selective growth of a ZnO nanowire array by large scale laser decomposition of zinc acetate.

    Science.gov (United States)

    Hong, Sukjoon; Yeo, Junyeob; Manorotkul, Wanit; Kang, Hyun Wook; Lee, Jinhwan; Han, Seungyong; Rho, Yoonsoo; Suh, Young Duk; Sung, Hyung Jin; Ko, Seung Hwan

    2013-05-07

    We develop a digital direct writing method for ZnO NW micro-patterned growth on a large scale by selective laser decomposition of zinc acetate. For ZnO NW growth, by replacing the bulk heating with the scanning focused laser as a fully digital local heat source, zinc acetate crystallites can be selectively activated as a ZnO seed pattern to grow ZnO nanowires locally on a larger area. Together with the selective laser sintering process of metal nanoparticles, more than 10,000 UV sensors have been demonstrated on a 4 cm × 4 cm glass substrate to develop all-solution processible, all-laser mask-less digital fabrication of electronic devices including active layer and metal electrodes without any conventional vacuum deposition, photolithographic process, premade mask, high temperature and vacuum environment.

  9. Room temperature synthesis and characterization of ultralong Cd(OH)2 nanowires: a simple and template-free chemical route

    International Nuclear Information System (INIS)

    Sahraei, R.; Daneshfar, A.; Roushani, M.; Mihandoost, A.; Nabiyouni, G.; Majles Ara, M.H.

    2012-01-01

    Ultralong Cd(OH) 2 nanowires were fabricated in high yield by a convenient chemical method using alkali medium at room temperature without using any templates. The preparation conditions induce a unilateral growth of nanowires, despite the absence of any template. The length of the nanowires reached several hundreds of micrometers, giving an aspect ratio of a few thousands. The X-ray diffraction shows that the Cd(OH) 2 nanostructures crystallized in the wurtzite structure without any special orientation. The photoluminescence spectrum of Cd(OH) 2 nanostructures appears as two emission bands: one related to green emission at 475-510 nm, and the other related to deep level emission at 510-540 nm. Also the formation mechanisms of the nanowires are presented. The growth mechanism involves the irreversible and specifically oriented self-assembly of primary nanocrystals and results in the formation of the nanowires. (orig.)

  10. Growth of plant root cultures in liquid- and gas-dispersed reactor environments.

    Science.gov (United States)

    McKelvey, S A; Gehrig, J A; Hollar, K A; Curtis, W R

    1993-01-01

    The growth of Agrobacterium transformed "hairy root" cultures of Hyoscyamus muticus was examined in various liquid- and gas-dispersed bioreactor configurations. Reactor runs were replicated to provide statistical comparisons of nutrient availability on culture performance. Accumulated tissue mass in submerged air-sparged reactors was 31% of gyratory shake-flask controls. Experiments demonstrate that poor performance of sparged reactors is not due to bubble shear damage, carbon dioxide stripping, settling, or flotation of roots. Impaired oxygen transfer due to channeling and stagnation of the liquid phase are the apparent causes of poor growth. Roots grown on a medium-perfused inclined plane grew at 48% of gyratory controls. This demonstrates the ability of cultures to partially compensate for poor liquid distribution through vascular transport of nutrients. A reactor configuration in which the medium is sprayed over the roots and permitted to drain down through the root tissue was able to provide growth rates which are statistically indistinguishable (95% T-test) from gyratory shake-flask controls. In this type of spray/trickle-bed configuration, it is shown that distribution of the roots becomes a key factor in controlling the rate of growth. Implications of these results regarding design and scale-up of bioreactors to produce fine chemicals from root cultures are discussed.

  11. A comprehensive study of thermoelectric and transport properties of β-silicon carbide nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Valentín, L. A.; Betancourt, J.; Fonseca, L. F., E-mail: luis.fonseca@upr.edu [Department of Physics University of Puerto Rico, Rio Piedras (Puerto Rico); Pettes, M. T.; Shi, L. [Department of Mechanical Engineering, The University of Texas at Austin, Texas 78712 (United States); Soszyński, M.; Huczko, A. [Department of Chemistry, Warsaw University, Pasteur 1 Str., 02-093 Warsaw (Poland)

    2013-11-14

    The temperature dependence of the Seebeck coefficient, the electrical and thermal conductivities of individual β-silicon carbide nanowires produced by combustion in a calorimetric bomb were studied using a suspended micro-resistance thermometry device that allows four-point probe measurements to be conducted on each nanowire. Additionally, crystal structure and growth direction for each measured nanowire was directly obtained by transmission electron microscopy analysis. The Fermi level, the carrier concentration, and mobility of each nanostructure were determined using a combination of Seebeck coefficient and electrical conductivity measurements, energy band structure and transport theory calculations. The temperature dependence of the thermal and electrical conductivities of the nanowires was explained in terms of contributions from boundary, impurity, and defect scattering.

  12. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  13. Ultra-low reflection porous silicon nanowires for solar cell applications

    OpenAIRE

    Najar , Adel; Charrier , Joël; Pirasteh , Parastesh; Sougrat , R.

    2012-01-01

    International audience; High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measuremen...

  14. Sub-critical crack growth and clad integrity in a PWR reactor pressure vessel

    International Nuclear Information System (INIS)

    Tice, D.R.; Foreman, A.J.E.; Sharples, J.K.

    1987-10-01

    The possibility of in-service growth of sub-critical defects in a PWR reactor pressure vessel to a critical size which could result in vessel failure was addressed in both the 1976 and 1982 reports of the Light Water Reactor Study Group (LWRSG), under the Chairmanship of Dr W Marshall (now Lord Marshall). An addendum to this report was published by UKAEA in April 1987. The section of the addendum dealing with subcritical crack growth and the related issue of integrity of the stainless steel cladding on the inner vessel surface is reproduced in this report. This section of the LWRSG addendum provides a review of the current status of fatigue crack growth and environmentally assisted cracking research for pressure vessel steels in light water reactor environments, as well as a review of developments in crack growth assessment methods. The review concludes that the alternative assessment procedures now being developed give a more realistic prediction of in service crack growth than the ASME Section XI Appendix A fatigue crack growth curves. (author)

  15. Influence factors of the inter-nanowire thermal contact resistance in the stacked nanowires

    Science.gov (United States)

    Wu, Dongxu; Huang, Congliang; Zhong, Jinxin; Lin, Zizhen

    2018-05-01

    The inter-nanowire thermal contact resistance is important for tuning the thermal conductivity of a nanocomposite for thermoelectric applications. In this paper, the stacked copper nanowires are applied for studying the thermal contact resistance. The stacked copper nanowires are firstly made by the cold-pressing method, and then the nanowire stacks are treated by sintering treatment. With the effect of the volumetric fraction of nanowires in the stack and the influence of the sintering-temperature on the thermal contact resistance discussed, results show that: The thermal conductivity of the 150-nm copper nanowires can be enlarged almost 2 times with the volumetric fraction increased from 32 to 56% because of the enlarged contact-area and contact number of a copper nanowire. When the sintering temperature increases from 293 to 673 K, the thermal conductivity of the stacked 300-nm nanowires could be enlarged almost 2.5 times by the sintering treatment, because of the improved lattice property of the contact zone. In conclusion, application of a high volumetric fraction or/and a sintering-treatment are effectivity to tune the inter-nanowire thermal contact resistance, and thus to tailor the thermal conductivity of a nanowire network or stack.

  16. Construction of 3D Metallic Nanowire Arrays on Arbitrarily-Shaped Substrate.

    Science.gov (United States)

    Chen, Fei; Li, Jingning; Yu, Fangfang; Peng, Ru-Wen; Wang, Mu; Mu Wang Team

    Formation of three-dimensional (3D) nanostructures is an important step of advanced manufacture for new concept devices with novel functionality. Despite of great achievements in fabricating nanostructures with state of the art lithography approaches, these nanostructures are normally limited on flat substrates. Up to now it remains challenging to build metallic nanostructures directly on a rough and bumpy surface. Here we demonstrate a unique approach to fabricate metallic nanowire arrays on an arbitrarily-shaped surface by electrodeposition, which is unknown before 2016. Counterintuitively here the growth direction of the nanowires is perpendicular to their longitudinal axis, and the specific geometry of nanowires can be achieved by introducing specially designed shaped substrate. The spatial separation and the width of the nanowires can be tuned by voltage, electrolyte concentration and temperature in electrodeposition. By taking cobalt nanowire array as an example, we demonstrate that head-to-head and tail-to-tail magnetic domain walls can be easily introduced and modulated in the nanowire arrays, which is enlightening to construct new devices such as domain wall racetrack memory. We acknowledge the foundation from MOST and NSF(China).

  17. Direct observation of short-circuit diffusion during the formation of a single cupric oxide nanowire

    International Nuclear Information System (INIS)

    Cheng, C-L; Ma, Y-R; Chou, M H; Huang, C Y; Yeh, V; Wu, S Y

    2007-01-01

    Short-circuit diffusion was observed in a single CuO nanowire synthesized using a thermal oxidation method. The confocal Raman spectra of a single CuO nanowire permit direct observation of the nature of an individual CuO nanowire. The parameter order obtained from the inverse Raman B g 2 peak linewidth results in the length dependence of the linewidth and a short-circuit diffusion length of 3.3 μm. The observed structural information is also consistent with the energy dispersive x-ray spectroscopic mapping. The results confirm that the growth of CuO nanowires occurs through the short-circuit diffusion mechanism

  18. Measurement of fatigue crack growth rate of reactor structural material in air based on DCPD method

    International Nuclear Information System (INIS)

    Du Donghai; Chen Kai; Yu Lun; Zhang Lefu; Shi Xiuqiang; Xu Xuelian

    2014-01-01

    The principles and details of direct current potential drop (DCPD) in monitoring the crack growth of reactor structural materials was introduced in this paper. Based on this method, the fatigue crack growth rate (CGR) of typical structural materials in nuclear power systems was measured. The effects of applied load, load ratio and loading frequency on the fatigue crack growth rate of reactor structural materials were discussed. The result shows that the fatigue crack growth rate of reactor structural materials depends on the hardness of materials, and the harder the material is, the higher the rate of crack growth is. (authors)

  19. Nanowire-integrated microporous silicon membrane for continuous fluid transport in micro cooling device

    International Nuclear Information System (INIS)

    So, Hongyun; Pisano, Albert P.; Cheng, Jim C.

    2013-01-01

    We report an efficient passive micro pump system combining the physical properties of nanowires and micropores. This nanowire-integrated microporous silicon membrane was created to feed coolant continuously onto the surface of the wick in a micro cooling device to ensure it remains hydrated and in case of dryout, allow for regeneration of the system. The membrane was fabricated by photoelectrochemical etching to form micropores followed by hydrothermal growth of nanowires. This study shows a promising approach to address thermal management challenges for next generation electronic devices with absence of external power

  20. Physical origin of the incubation time of self-induced GaN nanowires

    International Nuclear Information System (INIS)

    Consonni, V.; Trampert, A.; Geelhaar, L.; Riechert, H.

    2011-01-01

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 ± 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  1. Rare earth silicide nanowires on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wanke, Martina

    2008-11-10

    The growth, structure and electronic properties of rare earth silicide nanowires are investigated on planar and vicinal Si(001) und Si(111) surfaces with scanning tunneling microscopy (STM), low energy electron diffraction (LEED) and angle-resolved photoelectron spectroscopy (ARPES). On all surfaces investigated within this work hexagonal disilicides are grown epitaxially with a lattice mismatch of -2.55% up to +0.83% along the hexagonal a-axis. Along the hexagonal c-axis the lattice mismatch is essentially larger with 6.5%. On the Si(001)2 x 1 surface two types of nanowires are grown epitaxially. The socalled broad wires show a one-dimensional metallic valence band structure with states crossing the Fermi level. Along the nanowires two strongly dispersing states at the anti J point and a strongly dispersing state at the anti {gamma} point can be observed. Along the thin nanowires dispersing states could not be observed. Merely in the direction perpendicular to the wires an intensity variation could be observed, which corresponds to the observed spacial structure of the thin nanowires. The electronic properties of the broad erbium silicide nanowires are very similar to the broad dysprosium silicide nanowires. The electronic properties of the DySi{sub 2}-monolayer and the Dy{sub 3}Si{sub 5}-multilayer on the Si(111) surface are investigated in comparison to the known ErSi{sub 2}/Si(111) and Er{sub 3}Si{sub 5}/Si(111) system. The positions and the energetic locations of the observed band in the surface Brillouin zone will be confirmed for dysprosium. The shape of the electron pockets in the (vector)k {sub parallel} space is elliptical at the anti M points, while the hole pocket at the anti {gamma} point is showing a hexagonal symmetry. On the Si(557) surface the structural and electronic properties depend strongly on the different preparation conditions likewise, in particular on the rare earth coverage. At submonolayer coverage the thin nanowires grow in wide areas

  2. Evaluation of creep-fatigue crack growth for large-scale FBR reactor vessel and NDE assessment

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young Sang; Kim, Jong Bum; Kim, Seok Hun; Yoo, Bong

    2001-03-01

    Creep fatigue crack growth contributes to the failure of FRB reactor vessels in high temperature condition. In the design stage of reactor vessel, crack growth evaluation is very important to ensure the structural safety and setup the in-service inspection strategy. In this study, creep-fatigue crack growth evaluation has been performed for the semi-elliptical surface cracks subjected to thermal loading. The thermal stress analysis of a large-scale FBR reactor vessel has been carried out for the load conditions. The distributions of axial, radial, hoop, and Von Mises stresses were obtained for the loading conditions. At the maximum point of the axial and hoop stress, the longitudinal and circumferential surface cracks (i.e. PTS crack, NDE short crack and shallow long crack) were postulated. Using the maximum and minimum values of stresses, the creep-fatigue crack growth of the proposed cracks was simulated. The crack growth rate of circumferential cracks becomes greater than that of longitudinal cracks. The total crack growth of the largest PTS crack is very small after 427 cycles. The structural integrity of a large-scale reactor can be maintained for the plant life. The crack depth growth of the shallow long crack is faster than that of the NDE short crack. In the ISI of the large-scale FBR reactor vessel, the ultrasonic inspection is beneficial to detect the shallow circumferential cracks.

  3. Self-catalyzed growth of dilute nitride GaAs/GaAsSbN/GaAs core-shell nanowires by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kasanaboina, Pavan Kumar [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Ahmad, Estiak [Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Li, Jia; Iyer, Shanthi [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Reynolds, C. Lewis; Liu, Yang [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-07

    Bandgap tuning up to 1.3 μm in GaAsSb based nanowires by incorporation of dilute amount of N is reported. Highly vertical GaAs/GaAsSbN/GaAs core-shell configured nanowires were grown for different N contents on Si (111) substrates using plasma assisted molecular beam epitaxy. X-ray diffraction analysis revealed close lattice matching of GaAsSbN with GaAs. Micro-photoluminescence (μ-PL) revealed red shift as well as broadening of the spectra attesting to N incorporation in the nanowires. Replication of the 4K PL spectra for several different single nanowires compared to the corresponding nanowire array suggests good compositional homogeneity amongst the nanowires. A large red shift of the Raman spectrum and associated symmetric line shape in these nanowires have been attributed to phonon localization at point defects. Transmission electron microscopy reveals the dominance of stacking faults and twins in these nanowires. The lower strain present in these dilute nitride nanowires, as opposed to GaAsSb nanowires having the same PL emission wavelength, and the observation of room temperature PL demonstrate the advantage of the dilute nitride system offers in the nanowire configuration, providing a pathway for realizing nanoscale optoelectronic devices in the telecommunication wavelength region.

  4. Synthesis of single crystalline CdS nanowires with polyethylene glycol 400 as inducing template

    Institute of Scientific and Technical Information of China (English)

    2006-01-01

    Solvothermal technique, an one-step soft solution-processing route was successfully employed to synthesize single crystalline CdS nanowires in ethylenediamine medium at lower temperature (170 □) for 1-8 d. In this route, polyethylene glycol 400 (PEG400)was used as surfactant, which played a crucial role in preferentially oriented growth of semiconductor nanowires. Characterizations of as-prepared CdS nanowires by X-ray powder diffraction(XRD), transmission electron microscopy(TEM) indicate that the naonowires,with typical diameters of 20nm and lengths up to several micrometers, have preferential [001] orientation. Also, investigations into the physical properties of the CdS nanowires were conducted with UV-Vis absorption spectroscopy and photoluminescence emission spectroscopy. The excitonic photo-optical phenomena of the nanowires shows the potential in the practical applications.

  5. Synthesis of ZnTe nanowires onto TiO2 nanotubular arrays by pulse-reverse electrodeposition

    International Nuclear Information System (INIS)

    Gandhi, T.; Raja, K.S.; Misra, M.

    2009-01-01

    Growth of ZnTe nanowires using a pulse-reverse electrodeposition technique from a non-aqueous solution is reported. ZnTe nanowires were grown on to an ordered nanotubular TiO 2 template in a propylene carbonate solution at 130 o C inside a controlled atmosphere glove box. The pulse-reverse electro deposition process consisted of a cathodic pulse at - 0.62 V and an anodic pulse at 0.75 V Vs Zn 2+ /Zn. Stoichiometry growth of crystalline ZnTe nanowires was observed in the as-deposited condition. The anodic pulse cycle of the pulse-reverse electrodeposition process presumably introduced zinc vacancies as deep level acceptors at an energy level of E v + 0.47 eV. The resultant ZnTe nanowires showed p-type semiconductivity with a resistivity of 7.8 x 10 4 Ω cm and a charge carrier density of 1.67 x 10 14 cm -3 . Annihilation of the defects occurred upon thermal annealing that resulted in marginal decrease in the defect density.

  6. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    Science.gov (United States)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  7. Fully transparent thin-film transistor devices based on SnO2 nanowires.

    Science.gov (United States)

    Dattoli, Eric N; Wan, Qing; Guo, Wei; Chen, Yanbin; Pan, Xiaoqing; Lu, Wei

    2007-08-01

    We report on studies of field-effect transistor (FET) and transparent thin-film transistor (TFT) devices based on lightly Ta-doped SnO2 nano-wires. The nanowire-based devices exhibit uniform characteristics with average field-effect mobilities exceeding 100 cm2/V x s. Prototype nano-wire-based TFT (NW-TFT) devices on glass substrates showed excellent optical transparency and transistor performance in terms of transconductance, bias voltage range, and on/off ratio. High on-currents and field-effect mobilities were obtained from the NW-TFT devices even at low nanowire coverage. The SnO2 nanowire-based TFT approach offers a number of desirable properties such as low growth cost, high electron mobility, and optical transparency and low operation voltage, and may lead to large-scale applications of transparent electronics on diverse substrates.

  8. Structural and luminescence properties of GaN nanowires grown using cobalt phthalocyanine as catalyst

    Science.gov (United States)

    Yadav, Shivesh; Rodríguez-Fernández, Carlos; de Lima, Mauricio M.; Cantarero, Andres; Dhar, Subhabrata

    2015-12-01

    Catalyst free methods have usually been employed to avoid any catalyst induced contamination for the synthesis of GaN nanowires with better transport and optical properties. Here, we have used a catalytic route to grow GaN nanowires, which show good optical quality. Structural and luminescence properties of GaN nanowires grown by vapor-liquid-solid technique using cobalt phthalocyanine as catalyst are systematically investigated as a function of various growth parameters such as the growth temperature and III/V ratio. The study reveals that most of the nanowires, which are several tens of microns long, grow along [ 10 1 ¯ 0 ] direction. Interestingly, the average wire diameter has been found to decrease with the increase in III/V ratio. It has also been observed that in these samples, defect related broad luminescence features, which are often present in GaN, are completely suppressed. At all temperatures, photoluminescence spectrum is found to be dominated only by a band edge feature, which comprises of free and bound excitonic transitions. Our study furthermore reveals that the bound excitonic feature is associated with excitons trapped in certain deep level defects, which result from the deficiency of nitrogen during growth. This transition has a strong coupling with the localized vibrational modes of the defects.

  9. Strain at a semiconductor nanowire-substrate interface studied using geometric phase analysis, convergent beam electron diffraction and nanobeam diffraction

    DEFF Research Database (Denmark)

    Persson, Johan Mikael; Wagner, Jakob Birkedal; Dunin-Borkowski, Rafal E.

    2011-01-01

    Semiconductor nanowires have been studied using electron microscopy since the early days of nanowire growth, e.g. [1]. A common approach for analysing nanowires using transmission electron microscopy (TEM) involves removing them from their substrate and subsequently transferring them onto carbon...... with CBED and NBED [4,5] have shown a high degree of consistency. Strain has previously only been measured in nanowires removed from their substrate [6], or only using GPA [7]. The sample used for the present investigation was an InP nanowire grown on a Si substrate using metal organic vapor phase...

  10. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F.S.; Shih, Han C.

    2012-01-01

    Highlights: ► ZnS nanowires have been achieved by thermal evaporation. ► The nanowires were 20–50 nm in diameter and up to tens of nanometers in length. ► Single-crystalline wurtzite and sphalerite ZnS phase are coexist in the nanowires. ► The ZnS nanowires showed almost identical blue luminescence at room temperature. ► ZnS nanowires may be appropriate for use in UV/blue LED phosphor materials. - Abstract: Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20–50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ∼581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  11. Fabrication of SiC Composites with Synergistic Toughening of Carbon Whisker and In Situ 3C-SiC Nanowire

    Directory of Open Access Journals (Sweden)

    Zhang Yunlong

    2016-01-01

    Full Text Available The SiC composites with synergistic toughening of carbon whisker and in situ 3C-SiC nanowire have been fabricated by hot press sinter technology and annealed treatment technology. Effect of annealed time on the morphology of SiC nanowires and mechanical properties of the Cw/SiC composites was surveyed in detail. The appropriate annealed time improved mechanical properties of the Cw/SiC composites. The synergistic effect of carbon whisker and SiC nanowire can improve the fracture toughness for Cw/SiC composites. The vapor-liquid-solid growth (VLS mechanism was proposed. TEM photo showed that 3C-SiC nanowire can be obtained with preferential growth plane ({111}, which corresponded to interplanar spacing about 0.25 nm.

  12. Ordered ZnO/AZO/PAM nanowire arrays prepared by seed-layer-assisted electrochemical deposition

    International Nuclear Information System (INIS)

    Shen, Yu-Min; Pan, Chih-Huang; Wang, Sheng-Chang; Huang, Jow-Lay

    2011-01-01

    An Al-doped ZnO (AZO) seed layer is prepared on the back side of a porous alumina membrane (PAM) substrate by spin coating followed by annealing in a vacuum at 400 °C. Zinc oxide in ordered arrays mediated by a high aspect ratio and an ordered pore array of AZO/PAM is synthesized. The ZnO nanowire array is prepared via a 3-electrode electrochemical deposition process using ZnSO 4 and H 2 O 2 solutions at a potential of − 1 V (versus saturated calomel electrode) and temperatures of 65 and 80 °C. The microstructure and chemical composition of the AZO seed layer and ZnO/AZO/PAM nanowire arrays are characterized by field emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HR-TEM), and energy-dispersive X-ray spectroscopy (EDS). Results indicate that the ZnO/AZO/PAM nanowire arrays were assembled in the nanochannel of the porous alumina template with diameters of 110–140 nm. The crystallinity of the ZnO nanowires depends on the AZO seed layer during the annealing process. The nucleation and growth process of ZnO/AZO/PAM nanowires are interpreted by the seed-layer-assisted growth mechanism.

  13. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  14. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  15. A Facile Fabrication of Silver-Coated Copper Nanowires by Galvanic Replacement

    Directory of Open Access Journals (Sweden)

    Xin He

    2016-01-01

    Full Text Available We demonstrated a general strategy to fabricate silver-coated copper nanowires by a galvanic replacement, which is guided by the chemical principle that metal ions (silver ions with a relatively high reduction potential can galvanically etch nanostructure made from a less metal (copper. Well-dispersed and high-yielded copper nanowires were initially synthesized and then introduced into silver-ammonia solution for the growth of silver nanocrystals on the nanowire surfaces under vigorous oscillation. The results of X-ray diffraction, scanning electron microscope, and transmission electron microscope revealed that the silver nanocrystals were uniformly distributed on the copper nanowire surfaces to form Cu-Ag heterostructures. The concentration of silver-ammonia solution and the time of replacement reaction determine the size and density of the silver nanocrystals. Our investigation might pave the way to the synthesis of other bimetallic nanostructures via a facile, fast, and economical route.

  16. Topological insulator nanowires and nanowire hetero-junctions

    Science.gov (United States)

    Deng, Haiming; Zhao, Lukas; Wade, Travis; Konczykowski, Marcin; Krusin-Elbaum, Lia

    2014-03-01

    The existing topological insulator materials (TIs) continue to present a number of challenges to complete understanding of the physics of topological spin-helical Dirac surface conduction channels, owing to a relatively large charge conduction in the bulk. One way to reduce the bulk contribution and to increase surface-to-volume ratio is by nanostructuring. Here we report on the synthesis and characterization of Sb2Te3, Bi2Te3 nanowires and nanotubes and Sb2Te3/Bi2Te3 heterojunctions electrochemically grown in porous anodic aluminum oxide (AAO) membranes with varied (from 50 to 150 nm) pore diameters. Stoichiometric rigid polycrystalline nanowires with controllable cross-sections were obtained using cell voltages in the 30 - 150 mV range. Transport measurements in up to 14 T magnetic fields applied along the nanowires show Aharonov-Bohm (A-B) quantum oscillations with periods corresponding to the nanowire diameters. All nanowires were found to exhibit sharp weak anti-localization (WAL) cusps, a characteristic signature of TIs. In addition to A-B oscillations, new quantization plateaus in magnetoresistance (MR) at low fields (< 0 . 7T) were observed. The analysis of MR as well as I - V characteristics of heterojunctions will be presented. Supported in part by NSF-DMR-1122594, NSF-DMR-1312483-MWN, and DOD-W911NF-13-1-0159.

  17. Nanowire structures and electrical devices

    Science.gov (United States)

    Bezryadin, Alexey; Remeika, Mikas

    2010-07-06

    The present invention provides structures and devices comprising conductive segments and conductance constricting segments of a nanowire, such as metallic, superconducting or semiconducting nanowire. The present invention provides structures and devices comprising conductive nanowire segments and conductance constricting nanowire segments having accurately selected phases including crystalline and amorphous states, compositions, morphologies and physical dimensions, including selected cross sectional dimensions, shapes and lengths along the length of a nanowire. Further, the present invention provides methods of processing nanowires capable of patterning a nanowire to form a plurality of conductance constricting segments having selected positions along the length of a nanowire, including conductance constricting segments having reduced cross sectional dimensions and conductance constricting segments comprising one or more insulating materials such as metal oxides.

  18. TiO2 nanowire-templated hierarchical nanowire network as water-repelling coating

    Science.gov (United States)

    Hang, Tian; Chen, Hui-Jiuan; Xiao, Shuai; Yang, Chengduan; Chen, Meiwan; Tao, Jun; Shieh, Han-ping; Yang, Bo-ru; Liu, Chuan; Xie, Xi

    2017-12-01

    Extraordinary water-repelling properties of superhydrophobic surfaces make them novel candidates for a great variety of potential applications. A general approach to achieve superhydrophobicity requires low-energy coating on the surface and roughness on nano- and micrometre scale. However, typical construction of superhydrophobic surfaces with micro-nano structure through top-down fabrication is restricted by sophisticated fabrication techniques and limited choices of substrate materials. Micro-nanoscale topographies templated by conventional microparticles through surface coating may produce large variations in roughness and uncontrollable defects, resulting in poorly controlled surface morphology and wettability. In this work, micro-nanoscale hierarchical nanowire network was fabricated to construct self-cleaning coating using one-dimensional TiO2 nanowires as microscale templates. Hierarchical structure with homogeneous morphology was achieved by branching ZnO nanowires on the TiO2 nanowire backbones through hydrothermal reaction. The hierarchical nanowire network displayed homogeneous micro/nano-topography, in contrast to hierarchical structure templated by traditional microparticles. This hierarchical nanowire network film exhibited high repellency to both water and cell culture medium after functionalization with fluorinated organic molecules. The hierarchical structure templated by TiO2 nanowire coating significantly increased the surface superhydrophobicity compared to vertical ZnO nanowires with nanotopography alone. Our results demonstrated a promising strategy of using nanowires as microscale templates for the rational design of hierarchical coatings with desired superhydrophobicity that can also be applied to various substrate materials.

  19. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  20. Low temperature synthesis of seed mediated CuO bundle of nanowires, their structural characterisation and cholesterol detection

    Energy Technology Data Exchange (ETDEWEB)

    Ibupoto, Z.H., E-mail: zafar.hussin.ibupoto@liu.se [Department of Science and Technology, Linköping University, Campus Norrköping, SE-60174 Norrköping (Sweden); Khun, K. [Department of Science and Technology, Linköping University, Campus Norrköping, SE-60174 Norrköping (Sweden); Liu, X. [Department of Physics, Chemistry, and Biology (IFM), Linköping University, 58183 Linköping Sweden (Sweden); Willander, M. [Department of Science and Technology, Linköping University, Campus Norrköping, SE-60174 Norrköping (Sweden)

    2013-10-15

    In this study, we have successfully synthesised CuO bundle of nanowires using simple, cheap and low temperature hydrothermal growth method. The growth parameters such as precursor concentration and time for duration of growth were optimised. The field emission scanning electron microscopy (FESEM) has demonstrated that the CuO bundles of nanowires are highly dense, uniform and perpendicularly oriented to the substrate. The high resolution transmission electron microscopy (HRTEM) has demonstrated that the CuO nanostructures consist of bundle of nanowires and their growth pattern is along the [010] direction. The X-ray diffraction (XRD) technique described that CuO bundle of nanowires possess the monoclinic crystal phase. The surface and chemical composition analyses were carried out with X-ray photoelectron spectroscopy (XPS) technique and the obtained results suggested the pure crystal state of CuO nanostructures. In addition, the CuO nanowires were used for the cholesterol sensing application by immobilising the cholesterol oxidase through electrostatic attraction. The infrared reflection absorption spectroscopy study has also revealed that CuO nanostructures are consisting of only Cu-O bonding and has also shown the possible interaction of cholesterol oxidase with the sharp edge surface of CuO bundle of nanowires. The proposed cholesterol sensor has demonstrated the wide range of detection of cholesterol with good sensitivity of 33.88 ± 0.96 mV/decade. Moreover, the CuO bundle of nanowires based sensor electrode has revealed good repeatability, reproducibility, stability, selectivity and a fast response time of less than 10 s. The cholesterol sensor based on the immobilised cholesterol oxidase has good potential applicability for the determination of cholesterol from the human serum and other biological samples. - Highlights: • This study describes the synthesis of bundle of CuO nanowires by hydrothermal method. • CuO nanostructures exhibit good alignment and

  1. Low temperature synthesis of seed mediated CuO bundle of nanowires, their structural characterisation and cholesterol detection

    International Nuclear Information System (INIS)

    Ibupoto, Z.H.; Khun, K.; Liu, X.; Willander, M.

    2013-01-01

    In this study, we have successfully synthesised CuO bundle of nanowires using simple, cheap and low temperature hydrothermal growth method. The growth parameters such as precursor concentration and time for duration of growth were optimised. The field emission scanning electron microscopy (FESEM) has demonstrated that the CuO bundles of nanowires are highly dense, uniform and perpendicularly oriented to the substrate. The high resolution transmission electron microscopy (HRTEM) has demonstrated that the CuO nanostructures consist of bundle of nanowires and their growth pattern is along the [010] direction. The X-ray diffraction (XRD) technique described that CuO bundle of nanowires possess the monoclinic crystal phase. The surface and chemical composition analyses were carried out with X-ray photoelectron spectroscopy (XPS) technique and the obtained results suggested the pure crystal state of CuO nanostructures. In addition, the CuO nanowires were used for the cholesterol sensing application by immobilising the cholesterol oxidase through electrostatic attraction. The infrared reflection absorption spectroscopy study has also revealed that CuO nanostructures are consisting of only Cu-O bonding and has also shown the possible interaction of cholesterol oxidase with the sharp edge surface of CuO bundle of nanowires. The proposed cholesterol sensor has demonstrated the wide range of detection of cholesterol with good sensitivity of 33.88 ± 0.96 mV/decade. Moreover, the CuO bundle of nanowires based sensor electrode has revealed good repeatability, reproducibility, stability, selectivity and a fast response time of less than 10 s. The cholesterol sensor based on the immobilised cholesterol oxidase has good potential applicability for the determination of cholesterol from the human serum and other biological samples. - Highlights: • This study describes the synthesis of bundle of CuO nanowires by hydrothermal method. • CuO nanostructures exhibit good alignment and

  2. PVP存在下液液界面生长法制备硒纳米线%Preparation of Selenium Nanowires by Liquid-liquid Interface Growth in the Presence of Polyvinylpyrrolidone

    Institute of Scientific and Technical Information of China (English)

    张胜义; 张娟; 刘明珠; 朱俊杰; 陈洪渊

    2005-01-01

    Nanowires of trigonal selenium were synthesized in large-scale by two step processes: reaction in homogeneous solution and growth at liquid-liquid interface. Polyvinylpyrrolidone was used as a soft template in the synthesis.

  3. Effect of reactor temperature on direct growth of carbon nanomaterials on stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Edzatty, A. N., E-mail: nuredzatty@gmail.com; Syazwan, S. M., E-mail: mdsyazwan.sanusi@gmail.com; Norzilah, A. H., E-mail: norzilah@unimap.edu.my; Jamaludin, S. B., E-mail: sbaharin@unimap.edu.my [Centre of Excellence for Frontier Materials Research, School of Materials Engineering, University Malaysia Perlis (Malaysia)

    2016-07-19

    Currently, carbon nanomaterials (CNMs) are widely used for various applications due to their extraordinary electrical, thermal and mechanical properties. In this work, CNMs were directly grown on the stainless steel (SS316) via chemical vapor deposition (CVD). Acetone was used as a carbon source and argon was used as carrier gas, to transport the acetone vapor into the reactor when the reaction occurred. Different reactor temperature such as 700, 750, 800, 850 and 900 °C were used to study their effect on CNMs growth. The growth time and argon flow rate were fixed at 30 minutes and 200 ml/min, respectively. Characterization of the morphology of the SS316 surface after CNMs growth using Scanning Electron Microscopy (SEM) showed that the diameter of grown-CNMs increased with the reactor temperature. Energy Dispersive X-ray (EDX) was used to analyze the chemical composition of the SS316 before and after CNMs growth, where the results showed that reduction of catalyst elements such as iron (Fe) and nickel (Ni) at high temperature (700 – 900 °C). Atomic Force Microscopy (AFM) analysis showed that the nano-sized hills were in the range from 21 to 80 nm. The best reactor temperature to produce CNMs was at 800 °C.

  4. DFT study of anisotropy effects on the electronic properties of diamond nanowires with nitrogen-vacancy center.

    Science.gov (United States)

    Solano, Jesús Ramírez; Baños, Alejandro Trejo; Durán, Álvaro Miranda; Quiroz, Eliel Carvajal; Irisson, Miguel Cruz

    2017-09-26

    In the development of quantum computing and communications, improvements in materials capable of single photon emission are of great importance. Advances in single photon emission have been achieved experimentally by introducing nitrogen-vacancy (N-V) centers on diamond nanostructures. However, theoretical modeling of the anisotropic effects on the electronic properties of these materials is almost nonexistent. In this study, the electronic band structure and density of states of diamond nanowires with N-V defects were analyzed through first principles approach using the density functional theory and the supercell scheme. The nanowires were modeled on two growth directions [001] and [111]. All surface dangling bonds were passivated with hydrogen (H) atoms. The results show that the N-V introduces multiple trap states within the energy band gap of the diamond nanowire. The energy difference between these states is influenced by the growth direction of the nanowires, which could contribute to the emission of photons with different wavelengths. The presence of these trap states could reduce the recombination rate between the conduction and the valence band, thus favoring the single photon emission. Graphical abstract Diamond nanowires with nitrogen-vacancy centerᅟ.

  5. Radial Nanowire Light-Emitting Diodes in the (AlxGa1-x)yIn1-yP Material System

    DEFF Research Database (Denmark)

    Berg, Alexander; Yazdi, Sadegh; Nowzari, Ali

    2016-01-01

    layer for emission and AlGaInP as charge carrier barriers. The different layers were analyzed by X-ray diffraction to ensure lattice-matched radial structures. Furthermore, we evaluated the material composition and heterojunction interface sharpness by scanning transmission electron microscopy energy......Nanowires have the potential to play an important role for next-generation light-emitting diodes. In this work, we present a growth scheme for radial nanowire quantum-well structures in the AlGaInP material system using a GaInP nanowire core as a template for radial growth with GaInP as the active...... dispersive X-ray spectroscopy. The electro-optical properties were investigated by injection luminescence measurements. The presented results can be a valuable track toward radial nanowire light-emitting diodes in the AlGaInP material system in the red/orange/yellow color spectrum....

  6. Uniaxial Magnetization Performance of Textured Fe Nanowire Arrays Electrodeposited by a Pulsed Potential Deposition Technique

    Science.gov (United States)

    Neetzel, C.; Ohgai, T.; Yanai, T.; Nakano, M.; Fukunaga, H.

    2017-11-01

    Textured ferromagnetic Fe nanowire arrays were electrodeposited using a rectangular-pulsed potential deposition technique into anodized aluminum oxide nanochannels. During the electrodeposition of Fe nanowire arrays at a cathodic potential of - 1.2 V, the growth rate of the nanowires was ca. 200 nm s-1. The aspect ratio of Fe nanowires with a diameter of 30 ± 5 nm reached ca. 2000. The long axis of Fe nanowires corresponded with the direction when a large overpotential during the on-time pulse was applied, whereas it orientated to the direction under the potentiostatic condition with a small overpotential. By shifting the on-time cathode potential up to - 1.8 V, the texture coefficient for the (200) plane, TC200, reached up to 1.94. Perpendicular magnetization performance was observed in Fe nanowire arrays. With increasing TC200, the squareness of Fe nanowire arrays increased up to 0.95 with the coercivity maintained at 1.4 kOe at room temperature. This research result has opened a novel possibility of Fe nanowire arrays that can be applied for a new permanent magnetic material without rare-earth metals.

  7. Precise Placement of Metallic Nanowires on a Substrate by Localized Electric Fields and Inter-Nanowire Electrostatic Interaction

    Directory of Open Access Journals (Sweden)

    U Hyeok Choi

    2017-10-01

    Full Text Available Placing nanowires at the predetermined locations on a substrate represents one of the significant hurdles to be tackled for realization of heterogeneous nanowire systems. Here, we demonstrate spatially-controlled assembly of a single nanowire at the photolithographically recessed region at the electrode gap with high integration yield (~90%. Two popular routes, such as protruding electrode tips and recessed wells, for spatially-controlled nanowire alignment, are compared to investigate long-range dielectrophoretic nanowire attraction and short-range nanowire-nanowire electrostatic interaction for determining the final alignment of attracted nanowires. Furthermore, the post-assembly process has been developed and tested to make a robust electrical contact to the assembled nanowires, which removes any misaligned ones and connects the nanowires to the underlying electrodes of circuit.

  8. Native oxide formation on pentagonal copper nanowires: A TEM study

    Science.gov (United States)

    Hajimammadov, Rashad; Mohl, Melinda; Kordas, Krisztian

    2018-06-01

    Hydrothermally synthesized copper nanowires were allowed to oxidize in air at room temperature and 30% constant humidity for the period of 22 days. The growth of native oxide layer was followed up by high-resolution transmission electron microscopy and diffraction to reveal and understand the kinetics of the oxidation process. Copper oxides appear in the form of differently oriented crystalline phases around the metallic core as a shell-like layer (Cu2O) and as nanoscopic islands (CuO) on the top of that. Time dependent oxide thickness data suggests that oxidation follows the field-assisted growth model at the beginning of the process, as practically immediately an oxide layer of ∼2.8 nm thickness develops on the surface. However, after this initial rapid growth, the local field attenuates and the classical parabolic diffusion limited growth plays the main role in the oxidation. Because of the single crystal facets on the side surface of penta-twinned Cu nanowires, the oxidation rate in the diffusion limited regime is lower than in polycrystalline films.

  9. Structural Investigations of Nanowires Using X-Ray Diffraction

    DEFF Research Database (Denmark)

    Stankevic, Tomas

    Advancements in growth of the nanowire-based devices opened another dimension of possible structures and material combinations, which nd their applications in a wide variety of elds, including everyday life. Characterization of such devices brings its own challenges and here we show that X-rays oer...

  10. Cascade of Quantum Transitions and Magnetocaloric Anomalies in an Open Nanowire

    Science.gov (United States)

    Val'kov, V. V.; Mitskan, V. A.; Shustin, M. S.

    2017-12-01

    A sequence of magnetocaloric anomalies occurring with the change in a magnetic field H is predicted for an open nanowire with the Rashba spin-orbit coupling and the induced superconducting pairing potential. The nature of such anomalies is due to the cascade of quantum transitions related to the successive changes in the fermion parity of the nanowire ground state with the growth of the magnetic field. It is shown that the critical H c values fall within the parameter range corresponding to the nontrivial values of the Z 2 topological invariant of the corresponding 1D band Hamiltonian characteristic of the D symmetry class. It is demonstrated that such features in the behavior of the open nanowire are retained even in the presence of Coulomb interactions.

  11. Synthesis, structure and optical properties of single-crystalline In{sub 2}O{sub 3} nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Hadia, N.M.A., E-mail: nomery_abass@yahoo.com [Physics Department, Faculty of Science, Sohag University, 82524 Sohag (Egypt); Mohamed, H.A. [Physics Department, Faculty of Science, Sohag University, 82524 Sohag (Egypt); King Saud University, Teachers College, Science Department (Physics), 11148 Riyadh (Saudi Arabia)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Metal and metal oxide one dimensional (1D) nanostructured materials are of crucial importance. Black-Right-Pointing-Pointer The paper deals with the synthesis of In{sub 2}O{sub 3} nanowires without the use of catalysts. Black-Right-Pointing-Pointer The optical constants and Photoluminescence (PL) of In{sub 2}O{sub 3} nanowires were evaluated. - Abstract: Indium oxide In{sub 2}O{sub 3} nanowires have been recently synthesized revealing interesting properties and used in various applications. In order to reduce as much as possible the influence of undesired dopants and/or impurities on the observed properties, In{sub 2}O{sub 3} nanowires have been grown without the use of catalysts, directly from metallic indium by a vapor transport technique and a controlled oxidation with oxygen-argon mixtures. Depending on the growth conditions (temperature, vapor pressure, oxygen concentration, etc.) different results have been achieved and it has been observed that a 'proper' In condensation on the substrates may enhance the nanowires growth. Detailed structural analysis showed that the In{sub 2}O{sub 3} nanostructures are single crystalline with a cubic crystal structure. The grown In{sub 2}O{sub 3} nanowires were optically characterized in order to evaluate the absorption coefficient, optical band gap, refractive index and extinction coefficient. Room temperature Photoluminescence (PL) spectrum showed broad and intense blue emission at 375 nm.

  12. Strong sp-d exchange coupling in ZnMnTe/ZnMgTe core/shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Wojnar, Piotr; Janik, Elzbieta; Szymura, Malgorzata; Zaleszczyk, Wojciech; Kret, Slawomir; Klopotowski, Lukasz; Wojciechowski, Tomasz; Baczewski, Lech T.; Wiater, Maciej; Karczewski, Grzegorz; Wojtowicz, Tomasz; Kossut, Jacek [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Suffczynski, Jan; Papierska, Joanna [Institute of Experimental Physics, Warsaw University, ul. Hoza 69, 00-681 Warsaw (Poland)

    2014-07-15

    In this work, our recent progress in the growth and optical studies of telluride nanowire heterostructures containing a small molar fraction of magnetic Mn-ions of only a few percent is overviewed. ZnMnTe/ZnMgTe core/shell nanowires (NWs) are grown by molecular beam epitaxy by employing the vapor-liquid-solid growth mechanism assisted with gold catalyst. The structures are studied by means of photoluminescence and microphotoluminescence in an external magnetic field. In the first step, however, an activation of the near band edge emission from ZnTe and ZnMnTe nanowires is described, which is achieved by coating the nanowires with shells made of ZnMgTe. The role of these shells is to passivate Zn(Mn)Te surface states. The incorporation of Mn ions into the crystalline lattice of ZnMnTe nanowires is manifested as a considerable blue shift of near band edge emission with increasing Mn concentration inside the nanowire cores, which reflects directly the increase of their energy gap. In an external magnetic field the near band edge emission exhibits a giant spectral redshift accompanied by an increase of the circular polarization of the emitted light. Both effect are fingerprints of giant Zeeman splitting of the band edges due to sp-d exchange interaction between the band carriers and magnetic Mn-ions. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Controlled Living Nanowire Growth: Precise Control over the Morphology and Optical Properties of AgAuAg Bimetallic Nanowires

    Science.gov (United States)

    2015-01-01

    Inspired by the concept of living polymerization reaction, we are able to produce silver–gold–silver nanowires with a precise control over their total length and plasmonic properties by establishing a constant silver deposition rate on the tips of penta-twinned gold nanorods used as seed cores. Consequently, the length of the wires increases linearly in time. Starting with ∼210 nm × 32 nm gold cores, we produce nanowire lengths up to several microns in a highly controlled manner, with a small self-limited increase in thickness of ∼4 nm, corresponding to aspect ratios above 100, whereas the low polydispersity of the product allows us to detect up to nine distinguishable plasmonic resonances in a single colloidal solution. We analyze the spatial distribution and the nature of the plasmons by electron energy loss spectroscopy and obtain excellent agreement between measurements and electromagnetic simulations, clearly demonstrating that the presence of the gold core plays a marginal role, except for relatively short wires or high-energy modes. PMID:26134470

  14. A simple route to the synthesis of single crystalline copper metagermanate nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Pei, L.Z., E-mail: lzpei@ahut.edu.cn [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Lab of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhao, H.S. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Lab of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Tan, W. [Henkel Huawei Electronics Co. Ltd., Lian' yungang, Jiangsu 222006 (China); Yu, H.Y. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Lab of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Chen, Y.W. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Zhang Qianfeng; Fan, C.G. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Lab of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China)

    2009-12-15

    Single crystalline copper metagermanate (CuGeO{sub 3}) nanowires with the diameter of 30-300 nm and length of longer than 100 {mu}m have been prepared by a simple hydrothermal deposition route. X-ray diffraction (XRD), selected area electron diffraction (SAED), high-resolution transmission electron microscopy (HRTEM) and Raman analyses confirm that the nanowires are orthorhombic single crystals with a main growth direction along <101>. Room temperature photoluminescence (PL) measurement shows a strong blue emission peak at 442 nm with a broad emission band. The blue emission may be ascribed to radiative recombination of oxygen vacancies and oxygen-germanium vacancies. The formation process of CuGeO{sub 3} nanowires is also discussed.

  15. Wafer-Scale High-Throughput Ordered Growth of Vertically Aligned ZnO Nanowire Arrays

    KAUST Repository

    Wei, Yaguang

    2010-09-08

    This article presents an effective approach for patterned growth of vertically aligned ZnO nanowire (NW) arrays with high throughput and low cost at wafer scale without using cleanroom technology. Periodic hole patterns are generated using laser interference lithography on substrates coated with the photoresist SU-8. ZnO NWs are selectively grown through the holes via a low-temperature hydrothermal method without using a catalyst and with a superior control over orientation, location/density, and as-synthesized morphology. The development of textured ZnO seed layers for replacing single crystalline GaN and ZnO substrates extends the large-scale fabrication of vertically aligned ZnO NW arrays on substrates of other materials, such as polymers, Si, and glass. This combined approach demonstrates a novel method of manufacturing large-scale patterned one-dimensional nanostructures on various substrates for applications in energy harvesting, sensing, optoelectronics, and electronic devices. © 2010 American Chemical Society.

  16. Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Ji-Hyeon Park

    2014-01-01

    Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.

  17. α-MnO2 nanowires transformed from precursor δ-MnO2 by refluxing under ambient pressure: The key role of pH and growth mechanism

    International Nuclear Information System (INIS)

    Zhang Qin; Xiao Zhidong; Feng Xionghan; Tan Wenfeng; Qiu Guohong; Liu Fan

    2011-01-01

    α-MnO 2 nanowires were obtained by reflux treatment of precursor δ-MnO 2 in acidic medium under ambient pressure. The great effects of pH on the transformation of δ-MnO 2 to α-MnO 2 and the concentration of coexistent cations (K + , Mn 2+ ) was investigated in systematically designed experiments by using powder X-ray diffraction and atomic absorption spectrometry analysis. The specific surface area of the products could be simply controlled by adjusting the initial pH value of the suspension. The micro-morphologies during the transition process from the precursors to final products were characterized by SEM and TEM. A dissolution-recrystallization mechanism was proposed to describe the growth process of the one-dimensional nanowire. MnO x units or MnO 6 octahedra was formed firstly from the dissolution of outmost surfaces of δ-MnO 2 , followed by a rearrangement/crystallization to form one-dimensional α-MnO 2 nanowire. In addition, the time-dependent process of dissolution would take place gradually from the external to internal of the precursor.

  18. Synthesis and characterization of amorphous SiO{sub 2} nanowires via pulsed laser deposition accompanied by N{sub 2} annealing

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui; Guan, Leilei; Xu, Zhuoqi; Zhao, Yu; Sun, Jian; Wu, Jiada; Xu, Ning, E-mail: ningxu@fudan.edu.cn

    2016-12-15

    Highlights: • The SiO{sub 2} nanowires were synthesized by PLD accompanied by N{sub 2} annealing. • The as-grown SiO{sub 2} nanowires were analyzed by HRTEM, SAED and EDS. • The grown SiO{sub 2} nanowire films are transparent in the range of 350–800 nm. • The SiO{sub 2} nanowire films can emit stable ultraviolet emission. - Abstract: Amorphous SiO{sub 2} nanowires are successfully fabricated on fused silica substrates covered by nickel/carbon catalyst bilayers via a method of pulsed laser deposition accompanied by annealing in ambient N{sub 2}. The field emission scanning electron microscopy images show that the optimum annealing temperature for the growth of SiO{sub 2} nanowires is about 1200 °C and the grown SiO{sub 2} nanowires become denser, longer and more uniform with the increment of annealing duration. The results of transmission electron microscopy and high-resolution transmission electron microscopy show that the grown nanowires are amorphous and have dark spheres on their tops. The analyses of energy dispersive X-ray spectroscopy reveal that the nanowires are composed of SiO{sub 2} and the dark spheres on their tops contain little nickel. It is inferred that nickel, carbon and CO are the key elements to promote the SiO{sub 2} nanowire growth in the solid-liquid-solid mode. Transmission spectra demonstrate that the as-grown nanowire thin films can have about 94% average transmittance in the range of 350–800 nm, meanwhile the photoluminescence spectra of the as-grown SiO{sub 2} nanowire samples show stable ultraviolet emission centered at about 363 nm with a shoulder at about 393 nm.

  19. Fabrication of silver nanowires via a β-cyclodextrin-derived soft template

    Directory of Open Access Journals (Sweden)

    C. Y. Liu

    2018-07-01

    Full Text Available Supramolecular β-cyclodextrin (β-CD was used as a soft template for the fabrication of long silver nanowires. A novel design using self-assembled β-CD for the reduction of silver ions was studied. The concentrations of iron chloride, silver nitrate, and the template were controlling factors for the growth of the silver nanowires. Iron chloride was used to accelerate and facilitate the formation of the silver nanowires and inhibit oxidative etching. However, an excessive concentration of Fe+3 resulted in etching of the silver nanostructures. Furthermore, the silver concentration was another controlling factor. The length of the silver nanowires increased as the concentration of silver cations increased. Nevertheless, an excess concentration of silver cations formed various silver crystalline structures. In this study, the optimal ratio between iron chloride and silver nitrate was determined to be 1:13.3. A maximum length of 20 µm was achieved using a concentration of 0.23 M for the soft template. Moreover, the junction of two growing silver nanowires was observed, forming a long fused nanowire, and some significant boundaries were observed. The observed results were further confirmed using scanning electron microscopy (SEM and transmission electron microscopy (TEM analyses. X-ray diffraction (XRD and energy dispersive spectrometer (EDS analyses were used to indicate the presence of silver and the formation of crystalline materials.

  20. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee

    2014-03-08

    We report on the properties and growth kinetics of defect-free, photoluminescence (PL) efficient mushroom-like nanowires (MNWs) in the form of ~30nm thick hexagonal-shaped InGaN-nanodisk on GaN nanowires, coexisting with the conventional rod-like InGaN-on-GaN nanowires (RNWs) on (111)-silicon-substrate. When characterized using confocal microscopy (CFM) with 458nm laser excitation, while measuring spontaneous-emission at fixed detection wavelengths, the spatial intensity map evolved from having uniform pixelated emission, to having only an emission ring, and then a round emission spot. This corresponds to the PL emission with increasing indium composition; starting from emission mainly from the RNW, and then the 540 nm emission from one MNWs ensemble, followed by the 590 nm emission from a different MNW ensemble, respectively. These hexagonal-shaped InGaN-nano-disks ensembles were obtained during molecular-beam-epitaxy (MBE) growth. On the other hand, the regular rod-like InGaN-on-GaN nanowires (RNWs) were emitting at a shorter peak wavelength of 490 nm. While the formation of InGaN rod-like nanowire is well-understood, the formation of the hexagonal-shaped InGaN-nanodisk-on-GaN-nanowire requires further investigation. It was postulated to arise from the highly sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further accumulated more indium adatoms due to a higher cohesive bond between metallic molecules. © (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  1. Ion Beam Synthesis of Ge Nanowires. rev. ed.

    Energy Technology Data Exchange (ETDEWEB)

    Mueller, T.

    2001-01-01

    The formation of Ge nanowires in V-grooves has been studied experimentally as well as theoretically. As substrate oxide covered Si V-grooves were used formed by anisotropic etching of (001)Si wafers and subsequent oxidation of their surface. Implantation of 1 x 10{sup 17} Ge{sup +}cm{sup -2} at 70 keV was carried out into the oxide layer covering the V-grooves. Ion irradiation induces shape changes of the V-grooves, which are captured in a novel continuum model of surface evolution. It describes theoretically the effects of sputtering, redeposition of sputtered atoms, and swelling. Thereby, the time evolution of the target surface is determined by a nonlinear integro-differential equation, which was solved numerically for the V-groove geometry. A very good agreement is achieved for the predicted surface shape and the shape observed in XTEM images. Surprisingly, the model predicts material (Si, O, Ge) transport into the V-groove bottom which also suggests an Ge accumulation there proven by STEM-EDX investigations. In this Ge rich bottom region, subsequent annealing in N{sub 2} atmosphere results in the formation of a nanowire by coalescence of Ge precipitates shown by XTEM images. The process of phase separation during the nanowire growth was studied by means of kinetic 3D lattice Monte-Carlo simulations. These simulations also indicate the disintegration of continuous wires into droplets mediated by thermal fluctuations. Energy considerations have identified a fragmentation threshold and a lower boundary for the droplet radii which were confirmed by the Monte Carlo simulation. The here given results indicate the possibility of achieving nanowires being several nanometers wide by further growth optimizations as well as chains of equally spaced clusters with nearly uniform diameter. (orig.)

  2. Facile Formation of High-quality InGaN/GaN Quantum-disks-in-Nanowires on Bulk-Metal Substrates for High-power Light-emitters

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Wei, Nini; Prabaswara, Aditya; Alias, Mohd Sharizal; Janjua, Bilal; Shen, Chao; Ooi, Boon S.

    2016-01-01

    High-quality nitride materials grown on scalable and low-cost metallic substrates are considerably attractive for high-power light emitters. We demonstrate here, for the first time, the high-power red (705 nm) InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) self-assembled directly on metal-substrate. The LEDs exhibited a low turn-on voltage of ~2 V without efficiency droop up to injection current of 500 mA (1.6 kA/cm2) at ~5 V. This is achieved through the direct growth and optimization of high-quality nanowires on titanium (Ti) coated bulk polycrystalline-molybdenum (Mo) substrates. We performed extensive studies on the growth mechanisms, obtained high-crystal-quality nanowires, and confirmed the epitaxial relationship between the cubic titanium nitride (TiN) transition layer and the hexagonal nanowires. The growth of nanowires on all-metal stack of TiN/Ti/Mo enables simultaneous implementation of n-metal contact, reflector and heat-sink, which greatly simplifies the fabrication process of high-power light emitters. Our work ushers in a practical platform for high-power nanowires light emitters, providing versatile solutions for multiple cross-disciplinary applications that are greatly enhanced by leveraging on the chemical stability of nitride materials, large specific surface of nanowires, chemical lift-off ready layer structures, and reusable Mo substrates.

  3. Facile Formation of High-quality InGaN/GaN Quantum-disks-in-Nanowires on Bulk-Metal Substrates for High-power Light-emitters

    KAUST Repository

    Zhao, Chao

    2016-01-08

    High-quality nitride materials grown on scalable and low-cost metallic substrates are considerably attractive for high-power light emitters. We demonstrate here, for the first time, the high-power red (705 nm) InGaN/GaN quantum-disks (Qdisks)-in-nanowire light-emitting diodes (LEDs) self-assembled directly on metal-substrate. The LEDs exhibited a low turn-on voltage of ~2 V without efficiency droop up to injection current of 500 mA (1.6 kA/cm2) at ~5 V. This is achieved through the direct growth and optimization of high-quality nanowires on titanium (Ti) coated bulk polycrystalline-molybdenum (Mo) substrates. We performed extensive studies on the growth mechanisms, obtained high-crystal-quality nanowires, and confirmed the epitaxial relationship between the cubic titanium nitride (TiN) transition layer and the hexagonal nanowires. The growth of nanowires on all-metal stack of TiN/Ti/Mo enables simultaneous implementation of n-metal contact, reflector and heat-sink, which greatly simplifies the fabrication process of high-power light emitters. Our work ushers in a practical platform for high-power nanowires light emitters, providing versatile solutions for multiple cross-disciplinary applications that are greatly enhanced by leveraging on the chemical stability of nitride materials, large specific surface of nanowires, chemical lift-off ready layer structures, and reusable Mo substrates.

  4. From nanodiamond to nanowires.

    Energy Technology Data Exchange (ETDEWEB)

    Barnard, A.; Materials Science Division

    2005-01-01

    Recent advances in the fabrication and characterization of semiconductor and metallic nanowires are proving very successful in meeting the high expectations of nanotechnologists. Although the nanoscience surrounding sp{sup 3} bonded carbon nanotubes has continued to flourish over recent years the successful synthesis of the sp{sup 3} analogue, diamond nanowires, has been limited. This prompts questions as to whether diamond nanowires are fundamentally unstable. By applying knowledge obtained from examining the structural transformations in nanodiamond, a framework for analyzing the structure and stability of diamond nanowires may be established. One possible framework will be discussed here, supported by results of ab initio density functional theory calculations used to study the structural relaxation of nanodiamond and diamond nanowires. The results show that the structural stability and electronic properties of diamond nanowires are dependent on the surface morphology, crystallographic direction of the principal axis, and the degree of surface hydrogenation.

  5. Low temperature transport in p-doped InAs nanowires

    DEFF Research Database (Denmark)

    Upadhyay, Shivendra; Jespersen, Thomas Sand; Madsen, Morten Hannibal

    2013-01-01

    We present low temperature electrical measurements of p-type Indium Arsenide nanowires grown via molecular beam epitaxy using Beryllium as a dopant. Growth of p-type wires without stacking faults is demonstrated. Devices in field-effect geometries exhibit ambipolar behavior, and the temperature...

  6. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  7. High-performance InGaN/GaN Quantum-Disks-in-Nanowires Light-emitters for Monolithic Metal-Optoelectronics

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Wei, Nini; Janjua, Bilal; Elafandy, Rami T.; Prabaswara, Aditya; Shen, Chao; Consiglio, Giuseppe B.; Albadri, Abdulrahman; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ooi, Boon S.

    2016-01-01

    The first droop-free, reliable, and high-power InGaN/GaN quantum-disks-in-nanowires light-emitting diode on molybdenum substrates was demonstrated. The high performance was achieved through the epitaxial growth of high-quality nanowires on the all-metal stack of TiN/Ti/Mo.

  8. High-performance InGaN/GaN Quantum-Disks-in-Nanowires Light-emitters for Monolithic Metal-Optoelectronics

    KAUST Repository

    Zhao, Chao

    2016-11-21

    The first droop-free, reliable, and high-power InGaN/GaN quantum-disks-in-nanowires light-emitting diode on molybdenum substrates was demonstrated. The high performance was achieved through the epitaxial growth of high-quality nanowires on the all-metal stack of TiN/Ti/Mo.

  9. Flow-Solution-Liquid-Solid Growth of Semiconductor Nanowires: A Novel Approach for Controlled Synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, Jennifer A. [Los Alamos National Laboratory; Palaniappan, Kumaranand [Los Alamos National Laboratory; Laocharoensuk, Rawiwan [National Science and Technology Center, Thailand; Smith, Nickolaus A. [Los Alamos National Laboratory; Dickerson, Robert M. [Los Alamos National Laboratory; Casson, Joanna L. [Los Alamos National Laboratory; Baldwin, Jon K. [Los Alamos National Laboratory

    2012-06-07

    Semiconductor nanowires (SC-NWs) have potential applications in diverse technologies from nanoelectronics and photonics to energy harvesting and storage due to their quantum-confined opto-electronic properties coupled with their highly anisotropic shape. Here, we explore new approaches to an important solution-based growth method known as solution-liquid-solid (SLS) growth. In SLS, molecular precursors are reacted in the presence of low-melting metal nanoparticles that serve as molten fluxes to catalyze the growth of the SC-NWs. The mechanism of growth is assumed to be similar to that of vapor-liquid-solid (VLS) growth, with the clear distinctions of being conducted in solution in the presence of coordinating ligands and at relatively lower temperatures (<300 C). The resultant SC-NWs are soluble in common organic solvents and solution processable, offering advantages such as simplified processing, scale-up, ultra-small diameters for quantum-confinement effects, and flexible choice of materials from group III-V to groups II-VI, IV-VI, as well as truly ternary I-III-VI semiconductors as we recently demonstrates. Despite these advantages of SLS growth, VLS offers several clear opportunities not allowed by conventional SLS. Namely, VLS allows sequential addition of precursors for facile synthesis of complex axial heterostructures. In addition, growth proceeds relatively slowly compared to SLS, allowing clear assessments of growth kinetics. In order to retain the materials and processing flexibility afforded by SLS, but add the elements of controlled growth afforded by VLS, we transformed SLS into a flow based method by adapting it to synthesis in a microfluidic system. By this new method - so-called 'flow-SLS' (FSLS) - we have now demonstrated unprecedented fabrication of multi-segmented SC-NWs, e.g., 8-segmented CdSe/ZnSe defined by either compositionally abrupt or alloyed interfaces as a function of growth conditions. In addition, we have studied growth

  10. A force sensor using nanowire arrays to understand biofilm formation (Conference Presentation)

    Science.gov (United States)

    Sahoo, Prasana K.; Cavalli, Alessandro; Pelegati, Vitor B.; Murillo, Duber M.; Souza, Alessandra A.; Cesar, Carlos L.; Bakkers, Erik P. A. M.; Cotta, Monica A.

    2016-03-01

    Understanding the cellular signaling and function at the nano-bio interface can pave the way towards developing next-generation smart diagnostic tools. From this perspective, limited reports detail so far the cellular and subcellular forces exerted by bacterial cells during the interaction with abiotic materials. Nanowire arrays with high aspect ratio have been used to detect such small forces. In this regard, live force measurements were performed ex-vivo during the interaction of Xylella fastidiosa bacterial cells with InP nanowire arrays. The influence of nanowire array topography and surface chemistry on the response and motion of bacterial cells was studied in detail. The nanowire arrays were also functionalized with different cell adhesive promoters, such as amines and XadA1, an afimbrial protein of X.fastidiosa. By employing the well-defined InP nanowire arrays platform, and single cell confocal imaging system, we were able to trace the bacterial growth pattern, and show that their initial attachment locations are strongly influenced by the surface chemistry and nanoscale surface topography. In addition, we measure the cellular forces down to few nanonewton range using these nanowire arrays. In case of nanowire functionalized with XadA1, the force exerted by vertically and horizontally attached single bacteria on the nanowire is in average 14% and 26% higher than for the pristine array, respectively. These results provide an excellent basis for live-cell force measurements as well as unravel the range of forces involved during the early stages of bacterial adhesion and biofilm formation.

  11. Ambient template synthesis of multiferroic MnWO4 nanowires and nanowire arrays

    International Nuclear Information System (INIS)

    Zhou Hongjun; Yiu Yuen; Aronson, M.C.; Wong, Stanislaus S.

    2008-01-01

    The current report describes the systematic synthesis of polycrystalline, multiferroic MnWO 4 nanowires and nanowire arrays with controllable chemical composition and morphology, using a modified template-directed methodology under ambient room-temperature conditions. We were able to synthesize nanowires measuring 55±10, 100±20, and 260±40 nm in diameter, respectively, with lengths ranging in the microns. Extensive characterization of as-prepared samples has been performed using X-ray diffraction, scanning electron microscopy, transmission electron microscopy (TEM), high-resolution TEM, and energy-dispersive X-ray spectroscopy. Magnetic behavior in these systems was also probed. - Graphical abstract: Systematic synthesis of crystalline, multiferroic MnWO4 nanowires and nanowire arrays with controllable chemical composition and morphology, using a modified template-directed methodology under ambient room-temperature conditions

  12. Synthesis and properties of silicon nanowire devices

    Science.gov (United States)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  13. Relationship between BaTiO₃ nanowire aspect ratio and the dielectric permittivity of nanocomposites.

    Science.gov (United States)

    Tang, Haixiong; Zhou, Zhi; Sodano, Henry A

    2014-04-23

    The aspect ratio of barium titanate (BaTiO3) nanowires is demonstrated to be successfully controlled by adjusting the temperature of the hydrothermal growth from 150 to 240 °C, corresponding to aspect ratios from 9.3 to 45.8, respectively. Polyvinylidene fluoride (PVDF) nanocomposites are formed from the various aspect ratio nanowires and the relationship between the dielectric constant of the nanocomposite and the aspect ratio of the fillers is quantified. It was found that the dielectric constant of the nanocomposite increases with the aspect ratio of the nanowires. Nanocomposites with 30 vol % BaTiO3 nanowires and an aspect ratio of 45.8 can reach a dielectric constant of 44.3, which is 30.7% higher than samples with an aspect ratio of 9.3 and 352% larger than the polymer matrix. These results demonstrate that using high-aspect-ratio nanowires is an effective way to control and improve the dielectric performance of nanocomposites for future capacitor applications.

  14. Electronic transport in narrow-gap semiconductor nanowires

    International Nuclear Information System (INIS)

    Bloemers, Christian

    2012-01-01

    Throughout this work the electronic transport properties of InAs, InN, and GaAs/InAs core/shell nanowires have been analyzed. This includes the analysis of specific resistivity at room temperature and low temperatures as well as the breakdown of resistivity by a contribution of mobility and carrier concentration using gate measurements. While the InN nanowires showed homogeneous transport properties, there was a large statistical spread in the properties of InAs nanowires. Differing crystal structures and the surface conditions are identified to be the main reasons for the statistical spread. Both quantities of influence have been pointed out by comparing the transport parameters before and after a surface treatment (electron irradiation and long time ambient air exposure), and by comparing the transport parameters of wires grown by different growth methods which exhibit different kinds of crystal structure. In particular, the temperature dependence of the conductivity revealed different activation energies in nanowires with differing crystal structures. An explanation has been suggested in terms of stacking fault induced potential barriers. A field-effect measurement setup has been utilized to determine the nanowire mobility and carrier concentration. Even though this method is widely used for nanowires, it is subject to a serious disadvantage concerning the influence of surface and interface states on the measurements. As an alternative method which does not suffer from this drawback, Hall measurements have been successfully performed on InAs nanowires for the first time. These measurements became possible because of the utilization of a new electron beam lithographic procedure with an alignment accuracy in the 5 nm range. Carrier concentration values could be determined and compared to the ones obtained from conventional field-effect measurements. The results of the Hall measurements revealed a methodical overestimation of the carrier concentrations obtained

  15. Electronic transport in narrow-gap semiconductor nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Bloemers, Christian

    2012-10-19

    Throughout this work the electronic transport properties of InAs, InN, and GaAs/InAs core/shell nanowires have been analyzed. This includes the analysis of specific resistivity at room temperature and low temperatures as well as the breakdown of resistivity by a contribution of mobility and carrier concentration using gate measurements. While the InN nanowires showed homogeneous transport properties, there was a large statistical spread in the properties of InAs nanowires. Differing crystal structures and the surface conditions are identified to be the main reasons for the statistical spread. Both quantities of influence have been pointed out by comparing the transport parameters before and after a surface treatment (electron irradiation and long time ambient air exposure), and by comparing the transport parameters of wires grown by different growth methods which exhibit different kinds of crystal structure. In particular, the temperature dependence of the conductivity revealed different activation energies in nanowires with differing crystal structures. An explanation has been suggested in terms of stacking fault induced potential barriers. A field-effect measurement setup has been utilized to determine the nanowire mobility and carrier concentration. Even though this method is widely used for nanowires, it is subject to a serious disadvantage concerning the influence of surface and interface states on the measurements. As an alternative method which does not suffer from this drawback, Hall measurements have been successfully performed on InAs nanowires for the first time. These measurements became possible because of the utilization of a new electron beam lithographic procedure with an alignment accuracy in the 5 nm range. Carrier concentration values could be determined and compared to the ones obtained from conventional field-effect measurements. The results of the Hall measurements revealed a methodical overestimation of the carrier concentrations obtained

  16. Chemical insights into the roles of nanowire cores on the growth and supercapacitor performances of Ni-Co-O/Ni(OH)₂ core/shell electrodes.

    Science.gov (United States)

    Yin, Xuesong; Tang, Chunhua; Zhang, Liuyang; Yu, Zhi Gen; Gong, Hao

    2016-02-09

    Nanostructured core/shell electrodes have been experimentally demonstrated promising for high-performance electrochemical energy storage devices. However, chemical insights into the significant roles of nanowire cores on the growth of shells and their supercapacitor behaviors still remain as a research shortfall. In this work, by substituting 1/3 cobalt in the Co3O4 nanowire core with nickel, a 61% enhancement of the specific mass-loading of the Ni(OH)2 shell, a tremendous 93% increase of the volumetric capacitance and a superior cyclability were achieved in a novel NiCo2O4/Ni(OH)2 core/shell electrode in contrast to a Co3O4/Ni(OH)2 one. A comparative study suggested that not only the growth of Ni(OH)2 shells but also the contribution of cores were attributed to the overall performances. Importantly, their chemical origins were revealed through a theoretical simulation of the core/shell interfacial energy changes. Besides, asymmetric supercapacitor devices and applications were also explored. The scientific clues and practical potentials obtained in this work are helpful for the design and analysis of alternative core/shell electrode materials.

  17. Growth of uranyl hydroxide nanowires and nanotubes by the electrodeposition method and their transformation to one-dimensional U3O8 nanostructures

    International Nuclear Information System (INIS)

    Wang, Lin; Zhao, Ran; Gu, Zhan-jun; Zhao, Yu-liang; Shi, Wei-qun; Chai, Zhi-fang

    2014-01-01

    Actinide nanomaterials have great potential for application in the fabrication of nuclear fuels and spent fuel reprocessing in advanced nuclear energy systems. In this work, we used track-etched nanoporous membranes as hard templates to synthesize uranium-based nanomaterials with new structures by electrodeposition. Through electrochemical behavior investigations and subsequent product characterization, the chemical compositions of the deposition product has been confirmed to be uranyl hydroxide. More importantly, accurate control of the morphologies of the deposition product (i.e., nanowires and nanotubes) could be achieved by carefully adjusting the growth parameters such as deposition time and current density. The preferred morphology of the electrodeposition product was nanowires when a low current density was applied, whereas nanotubes could be formed only when a high current density and a short deposition time were both applied. The formation of nanotubes is attributed to the hydrogen bubbles generated by water electrolysis under the overpotential electroreduction conditions. Additionally, we transformed the main chemical composition of the deposition products from uranyl hydroxide to triuranium octoxide by calcination, and SEM results showed that the morphologies of the nanowires and nanotubes were very well maintained after the calcination. Our work provides a useful protocol for the synthesis of one-dimensional uranium-based nanomaterials. (Copyright copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Fabrication of diameter-modulated and ultrathin porous nanowires in anodic aluminum oxide templates

    Energy Technology Data Exchange (ETDEWEB)

    Sulka, Grzegorz D., E-mail: Sulka@chemia.uj.edu.pl [Max Planck Institute of Microstructure Physics, Weinberg 2, 06120 Halle (Germany); Department of Physical Chemistry and Electrochemistry, Jagiellonian University, Ingardena 3, 30060 Krakow (Poland); Brzozka, Agnieszka [AGH University of Science and Technology, Faculty of Non-Ferrous Metals, Al. Mickiewicza 30, Krakow 30-059 (Poland); Liu, Lifeng [Max Planck Institute of Microstructure Physics, Weinberg 2, 06120 Halle (Germany)

    2011-05-30

    Graphical abstract: Display Omitted Highlights: > AAO templates with modulated pore diameter were fabricated by pulse anodization. > HA pulse duration tunes the shape of pores and the structure of AAO channels. > Au, Ag, Ni and Ag-Au diameter-modulated nanowires were synthetized. > Porous ultrathin Au nanowires were obtained by dealloying Ag-Au nanowires. - Abstract: Anodic aluminum oxide (AAO) membranes with modulated pore diameter were synthesized by pulse anodization in 0.3 M sulfuric acid at 1 deg. C. For AAO growth, a typical combination of alternating mild anodizing (MA) and hard anodizing (HA) pulses with applied potential pulses of 25 V and 35 V was applied. The control of the duration of HA pulses will provide an interesting way to tune the shape of pores and the structure of AAO channels. It was found that a non-uniform length of HA segments in cross section of AAO is usually observed when the HA pulse duration is shorter than 1.2 s. The pulse anodization performed with longer HA pulses leads to the formation of AAO templates with periodically modulated pore diameter and nearly uniform length of segments. Various diameter-modulated metallic nanowires (Au, Ag, Ni and Ag-Au) were fabricated by electrodeposition in the pores of anodic alumina membranes. A typical average nanowire diameter was about 30 nm and 48 nm for MA and HA nanowire segments, respectively. After a successful dealloying silver from Ag-Au nanowires, porous ultrathin Au nanowires were obtained.

  19. Fabrication of diameter-modulated and ultrathin porous nanowires in anodic aluminum oxide templates

    International Nuclear Information System (INIS)

    Sulka, Grzegorz D.; Brzozka, Agnieszka; Liu, Lifeng

    2011-01-01

    Graphical abstract: Display Omitted Highlights: → AAO templates with modulated pore diameter were fabricated by pulse anodization. → HA pulse duration tunes the shape of pores and the structure of AAO channels. → Au, Ag, Ni and Ag-Au diameter-modulated nanowires were synthetized. → Porous ultrathin Au nanowires were obtained by dealloying Ag-Au nanowires. - Abstract: Anodic aluminum oxide (AAO) membranes with modulated pore diameter were synthesized by pulse anodization in 0.3 M sulfuric acid at 1 deg. C. For AAO growth, a typical combination of alternating mild anodizing (MA) and hard anodizing (HA) pulses with applied potential pulses of 25 V and 35 V was applied. The control of the duration of HA pulses will provide an interesting way to tune the shape of pores and the structure of AAO channels. It was found that a non-uniform length of HA segments in cross section of AAO is usually observed when the HA pulse duration is shorter than 1.2 s. The pulse anodization performed with longer HA pulses leads to the formation of AAO templates with periodically modulated pore diameter and nearly uniform length of segments. Various diameter-modulated metallic nanowires (Au, Ag, Ni and Ag-Au) were fabricated by electrodeposition in the pores of anodic alumina membranes. A typical average nanowire diameter was about 30 nm and 48 nm for MA and HA nanowire segments, respectively. After a successful dealloying silver from Ag-Au nanowires, porous ultrathin Au nanowires were obtained.

  20. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    Science.gov (United States)

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  1. High-resolution TEM characterization of ZnO core-shell nanowires for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Divitini, G; Ducati, C [Department of Materials Science, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Plank, N O V; Welland, M E [Nanoscience Centre, Department of Engineering, University of Cambridge, CB3 0FF (United Kingdom); Snaith, H J, E-mail: gd322@cam.ac.u [Clarendon Laboratory, Department of Physics, University of Oxford, OX1 3PU (United Kingdom)

    2010-07-01

    Recently ZnO nanowire films have been used in very promising and inexpensive dye-sensitized solar cells (DSSC). It was found that the performance of the devices can be enhanced by functionalising the nanowires with a thin metal oxide coating. This nm-scale shell is believed to tailor the electronic structure of the nanowire, and help the absorption of the dye. Core-shell ZnO nanowire structures are synthesised at low temperature (below 120{sup 0}C) by consecutive hydrothermal growth steps. Different materials are investigated for the coating, including Mg, Al, Cs and Zr oxides. High resolution TEM is used to characterise the quality of both the nanowire core and the shell, and to monitor the thickness and the degree of crystallisation of the oxide coating. The interface between the nanowire core and the outer shell is investigated in order to understand the adhesion of the coating, and give valuable feedback for the synthesis process. Nanowire films are packaged into dye-sensitised solar cell prototypes; samples coated with ZrO{sub 2} and MgO show the largest enhancement in the photocurrent and open-circuit voltage and look very promising for further improvement.

  2. Piezoelectric-nanowire-enabled power source for driving wireless microelectronics.

    Science.gov (United States)

    Xu, Sheng; Hansen, Benjamin J; Wang, Zhong Lin

    2010-10-19

    Harvesting energy from irregular/random mechanical actions in variable and uncontrollable environments is an effective approach for powering wireless mobile electronics to meet a wide range of applications in our daily life. Piezoelectric nanowires are robust and can be stimulated by tiny physical motions/disturbances over a range of frequencies. Here, we demonstrate the first chemical epitaxial growth of PbZr(x)Ti(1-x)O(3) (PZT) nanowire arrays at 230 °C and their application as high-output energy converters. The nanogenerators fabricated using a single array of PZT nanowires produce a peak output voltage of ~0.7 V, current density of 4 μA cm(-2) and an average power density of 2.8 mW cm(-3). The alternating current output of the nanogenerator is rectified, and the harvested energy is stored and later used to light up a commercial laser diode. This work demonstrates the feasibility of using nanogenerators for powering mobile and even personal microelectronics.

  3. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    Science.gov (United States)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  4. Quantum optics with nanowires (Conference Presentation)

    Science.gov (United States)

    Zwiller, Val

    2017-02-01

    Nanowires offer new opportunities for nanoscale quantum optics; the quantum dot geometry in semiconducting nanowires as well as the material composition and environment can be engineered with unprecedented freedom to improve the light extraction efficiency. Quantum dots in nanowires are shown to be efficient single photon sources, in addition because of the very small fine structure splitting, we demonstrate the generation of entangled pairs of photons from a nanowire. By doping a nanowire and making ohmic contacts on both sides, a nanowire light emitting diode can be obtained with a single quantum dot as the active region. Under forward bias, this will act as an electrically pumped source of single photons. Under reverse bias, an avalanche effect can multiply photocurrent and enables the detection of single photons. Another type of nanowire under study in our group is superconducting nanowires for single photon detection, reaching efficiencies, time resolution and dark counts beyond currently available detectors. We will discuss our first attempts at combining semiconducting nanowire based single photon emitters and superconducting nanowire single photon detectors on a chip to realize integrated quantum circuits.

  5. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  6. Further Development of Crack Growth Detection Techniques for US Test and Research Reactors

    International Nuclear Information System (INIS)

    Kohse, Gordon; Carpenter, David M.; Ostrovsky, Yakov; Joseph Palmer, A.; Teysseyre, Sebastien P.; Davis, Kurt L.; Rempe, Joy L.

    2015-01-01

    One of the key issues facing Light Water Reactors (LWRs) in extending lifetimes beyond 60 years is characterizing the combined effect of irradiation and water chemistry on material degradation and failure. Irradiation Assisted Stress Corrosion Cracking (IASCC), in which a crack propagates in a susceptible material under stress in an aggressive environment, is a mechanism of particular concern. Full understanding of IASCC depends on real time crack growth data acquired under relevant irradiation conditions. Techniques to measure crack growth in actively loaded samples under irradiation have been developed outside the US - at the Halden Boiling Water Reactor, for example. Several types of IASCC tests have also been deployed at the MITR, including passively loaded crack growth measurements and actively loaded slow strain rate tests. However, there is not currently a facility available in the US to measure crack growth on actively loaded, pre-cracked specimens in LWR irradiation environments. A joint program between the Idaho National Laboratory (INL) and the Massachusetts Institute of Technology (MIT) Nuclear Reactor Laboratory (NRL) is currently underway to develop and demonstrate such a capability for US test and research reactors. Based on the Halden design, the samples will be loaded using miniature high pressure bellows and a compact loading mechanism, with crack length measured in real time using the switched Direct Current Potential Drop (DCPD) method. The basic design and initial mechanical testing of the load system and implementation of the DCPD method have been previously reported. This paper presents the results of initial autoclave testing at INL and the adaptation of the design for use in the high pressure, high temperature water loop at the MITR 6 MW research reactor, where an initial demonstration is planned in mid-2015. Materials considerations for the high pressure bellows are addressed. Design modifications to the loading mechanism required by the

  7. Structural and electrochemical properties of single crystalline MoV 2O8 nanowires for energy storage devices

    KAUST Repository

    Shahid, Muhammad; Liu, Jingling; Ali, Zahid; Shakir, Imran; Warsi, Muhammad Farooq

    2013-01-01

    We report the synthesis of MoV2O8 nanowires of high quality using spin coating followed by the thermal annealing process. Transmission electron microscopy (TEM) reveals the average diameter of synthesized nanowire about 100 nm, and average length ranges from 1 to 5 μm. The TEM analysis further confirms the <001> growth direction of MoV 2O8 nanowires. The electrochemical properties of synthesized nanowires using cyclic voltammetry show the specific capacitance 56 Fg-1 at the scan rate of 5 mV s-1 that remains 24 Fg -1 at 100 mV s-1. The electrochemical measurements suggest that the MoV2O8 nanowires can be used as a material for the future electrochemical capacitors (energy storage devices). © 2012 Published by Elsevier Inc. All rights reserved.

  8. Structural and electrochemical properties of single crystalline MoV 2O8 nanowires for energy storage devices

    KAUST Repository

    Shahid, Muhammad

    2013-05-01

    We report the synthesis of MoV2O8 nanowires of high quality using spin coating followed by the thermal annealing process. Transmission electron microscopy (TEM) reveals the average diameter of synthesized nanowire about 100 nm, and average length ranges from 1 to 5 μm. The TEM analysis further confirms the <001> growth direction of MoV 2O8 nanowires. The electrochemical properties of synthesized nanowires using cyclic voltammetry show the specific capacitance 56 Fg-1 at the scan rate of 5 mV s-1 that remains 24 Fg -1 at 100 mV s-1. The electrochemical measurements suggest that the MoV2O8 nanowires can be used as a material for the future electrochemical capacitors (energy storage devices). © 2012 Published by Elsevier Inc. All rights reserved.

  9. Dissolution-Induced Nanowire Synthesis on Hot-Dip Galvanized Surface in Supercritical Carbon Dioxide

    Directory of Open Access Journals (Sweden)

    Aaretti Kaleva

    2017-07-01

    Full Text Available In this study, we demonstrate a rapid treatment method for producing a needle-like nanowire structure on a hot-dip galvanized sheet at a temperature of 50 °C. The processing method involved only supercritical carbon dioxide and water to induce a reaction on the zinc surface, which resulted in growth of zinc hydroxycarbonate nanowires into flower-like shapes. This artificial patina nanostructure predicts high surface area and offers interesting opportunities for its use in industrial high-end applications. The nanowires can significantly improve paint adhesion and promote electrochemical stability for organic coatings, or be converted to ZnO nanostructures by calcining to be used in various semiconductor applications.

  10. Magnetoconductance correction in zinc-blende semiconductor nanowires with spin-orbit coupling

    Science.gov (United States)

    Kammermeier, Michael; Wenk, Paul; Schliemann, John; Heedt, Sebastian; Gerster, Thomas; Schäpers, Thomas

    2017-12-01

    We study the effects of spin-orbit coupling on the magnetoconductivity in diffusive cylindrical semiconductor nanowires. Following up on our former study on tubular semiconductor nanowires, we focus in this paper on nanowire systems where no surface accumulation layer is formed but instead the electron wave function extends over the entire cross section. We take into account the Dresselhaus spin-orbit coupling resulting from a zinc-blende lattice and the Rashba spin-orbit coupling, which is controlled by a lateral gate electrode. The spin relaxation rate due to Dresselhaus spin-orbit coupling is found to depend neither on the spin density component nor on the wire growth direction and is unaffected by the radial boundary. In contrast, the Rashba spin relaxation rate is strongly reduced for a wire radius that is smaller than the spin precession length. The derived model is fitted to the data of magnetoconductance measurements of a heavily doped back-gated InAs nanowire and transport parameters are extracted. At last, we compare our results to previous theoretical and experimental studies and discuss the occurring discrepancies.

  11. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  12. Plasmonic engineering of metal-oxide nanowire heterojunctions in integrated nanowire rectification units

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Luchan; Zhou, Y. Norman, E-mail: liulei@tsinghua.edu.cn, E-mail: nzhou@uwaterloo.ca [Department of Mechanical Engineering, State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Centre for Advanced Materials Joining, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada); Zou, Guisheng; Liu, Lei, E-mail: liulei@tsinghua.edu.cn, E-mail: nzhou@uwaterloo.ca [Department of Mechanical Engineering, State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Duley, Walt W. [Centre for Advanced Materials Joining, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada); Department of Physics and Astronomy, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2016-05-16

    We show that irradiation with femtosecond laser pulses can produce robust nanowire heterojunctions in coupled non-wetting metal-oxide Ag-TiO{sub 2} structures. Simulations indicate that joining arises from the effect of strong plasmonic localization in the region of the junction. Strong electric field effects occur in both Ag and TiO{sub 2} resulting in the modification of both surfaces and an increase in wettability of TiO{sub 2}, facilitating the interconnection of Ag and TiO{sub 2} nanowires. Irradiation leads to the creation of a thin layer of highly defected TiO{sub 2} in the contact region between the Ag and TiO{sub 2} nanowires. The presence of this layer allows the formation of a heterojunction and offers the possibility of engineering the electronic characteristics of interfacial structures. Rectifying junctions with single and bipolar properties have been generated in Ag-TiO{sub 2} nanowire circuits incorporating asymmetrical and symmetrical interfacial structures, respectively. This fabrication technique should be applicable for the interconnection of other heterogeneous metal-oxide nanowire components and demonstrates that femtosecond laser irradiation enables interfacial engineering for electronic applications of integrated nanowire structures.

  13. Plasmonic engineering of metal-oxide nanowire heterojunctions in integrated nanowire rectification units

    Science.gov (United States)

    Lin, Luchan; Zou, Guisheng; Liu, Lei; Duley, Walt W.; Zhou, Y. Norman

    2016-05-01

    We show that irradiation with femtosecond laser pulses can produce robust nanowire heterojunctions in coupled non-wetting metal-oxide Ag-TiO2 structures. Simulations indicate that joining arises from the effect of strong plasmonic localization in the region of the junction. Strong electric field effects occur in both Ag and TiO2 resulting in the modification of both surfaces and an increase in wettability of TiO2, facilitating the interconnection of Ag and TiO2 nanowires. Irradiation leads to the creation of a thin layer of highly defected TiO2 in the contact region between the Ag and TiO2 nanowires. The presence of this layer allows the formation of a heterojunction and offers the possibility of engineering the electronic characteristics of interfacial structures. Rectifying junctions with single and bipolar properties have been generated in Ag-TiO2 nanowire circuits incorporating asymmetrical and symmetrical interfacial structures, respectively. This fabrication technique should be applicable for the interconnection of other heterogeneous metal-oxide nanowire components and demonstrates that femtosecond laser irradiation enables interfacial engineering for electronic applications of integrated nanowire structures.

  14. The ITO-capped WO3 nanowires biosensor based on field-effect transistor in label-free protein sensing

    International Nuclear Information System (INIS)

    Shariati, Mohsen

    2017-01-01

    The fabrication of ITO-capped WO 3 nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO 3 nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was 'label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO 3 nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics. (orig.)

  15. Effect of growth temperature on the morphology and phonon properties of InAs nanowires on Si substrates

    Directory of Open Access Journals (Sweden)

    Lei Wen

    2011-01-01

    Full Text Available Abstract Catalyst-free, vertical array of InAs nanowires (NWs are grown on Si (111 substrate using MOCVD technique. The as-grown InAs NWs show a zinc-blende crystal structure along a < 111 > direction. It is found that both the density and length of InAs NWs decrease with increasing growth temperatures, while the diameter increases with increasing growth temperature, suggesting that the catalyst-free growth of InAs NWs is governed by the nucleation kinetics. The longitudinal optical and transverse optical (TO mode of InAs NWs present a phonon frequency slightly lower than those of InAs bulk materials, which are speculated to be caused by the defects in the NWs. A surface optical mode is also observed for the InAs NWs, which shifts to lower wave-numbers when the diameter of NWs is decreased, in agreement with the theory prediction. The carrier concentration is extracted to be 2.25 × 1017 cm-3 from the Raman line shape analysis. A splitting of TO modes is also observed. PACS: 62.23.Hj; 81.07.Gf; 63.22.Gh; 61.46.Km

  16. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    Science.gov (United States)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  17. Fabrication of Coaxial Si1−xGex Heterostructure Nanowires by O2 Flow-Induced Bifurcate Reactions

    Directory of Open Access Journals (Sweden)

    Kim Ilsoo

    2010-01-01

    Full Text Available Abstract We report on bifurcate reactions on the surface of well-aligned Si1−xGex nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si1−xGex nanowires were grown in a chemical vapor transport process using SiCl4 gas and Ge powder as a source. After the growth of nanowires, SiCl4 flow was terminated while O2 gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO2 by the O2 gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O2 pressure without any intermediate region and enables selectively fabricated Ge/Si1−xGex or SiO2/Si1−xGex coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  18. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    Science.gov (United States)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  19. On the difficulties in characterizing ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Schlenker, E; Bakin, A; Wehmann, H-H; Waag, A [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, D-38106 Braunschweig (Germany); Weimann, T; Hinze, P; Weber, D H [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, D-38116 Braunschweig (Germany); Goelzhaeuser, A [Physics of Supramolecular Systems, University of Bielefeld, Universitaetsstrasse 25, D-33615 Bielefeld (Germany)], E-mail: e.schlenker@tu-bs.de

    2008-09-10

    The electrical properties of single ZnO nanowires grown by vapor phase transport were investigated. While some samples were contacted by Ti/Au electrodes, another set of samples was investigated using a manipulator tip in a low energy electron point-source microscope. The deduced resistivities range from 1 to 10{sup 3} {omega}cm. Additionally, the resistivities of nanowires from multiple publications were brought together and compared to the values obtained from our measurements. The overview of all data shows enormous differences (10{sup -3}-10{sup 5} {omega}cm) in the measured resistivities. In order to reveal the origin of the discrepancies, the influence of growth parameters, measuring methods, contact resistances, crystal structures and ambient conditions are investigated and discussed in detail.

  20. On the difficulties in characterizing ZnO nanowires.

    Science.gov (United States)

    Schlenker, E; Bakin, A; Weimann, T; Hinze, P; Weber, D H; Gölzhäuser, A; Wehmann, H-H; Waag, A

    2008-09-10

    The electrical properties of single ZnO nanowires grown by vapor phase transport were investigated. While some samples were contacted by Ti/Au electrodes, another set of samples was investigated using a manipulator tip in a low energy electron point-source microscope. The deduced resistivities range from 1 to 10(3) Ωcm. Additionally, the resistivities of nanowires from multiple publications were brought together and compared to the values obtained from our measurements. The overview of all data shows enormous differences (10(-3)-10(5) Ωcm) in the measured resistivities. In order to reveal the origin of the discrepancies, the influence of growth parameters, measuring methods, contact resistances, crystal structures and ambient conditions are investigated and discussed in detail.

  1. Are Microbial Nanowires Responsible for Geoelectrical Changes at Hydrocarbon Contaminated Sites?

    Science.gov (United States)

    Hager, C.; Atekwana, E. A.; Gorby, Y. A.; Duris, J. W.; Allen, J. P.; Atekwana, E. A.; Ownby, C.; Rossbach, S.

    2007-05-01

    Significant advances in near-surface geophysics and biogeophysics in particular, have clearly established a link between geoelectrical response and the growth and enzymatic activities of microbes in geologic media. Recent studies from hydrocarbon contaminated sites suggest that the activities of distinct microbial populations, specifically syntrophic, sulfate reducing, and dissimilatory iron reducing microbial populations are a contributing factor to elevated sediment conductivity. However, a fundamental mechanistic understanding of the processes and sources resulting in the measured electrical response remains uncertain. The recent discovery of bacterial nanowires and their electron transport capabilities suggest that if bacterial nanowires permeate the subsurface, they may in part be responsible for the anomalous conductivity response. In this study we investigated the microbial population structure, the presence of nanowires, and microbial-induced alterations of a hydrocarbon contaminated environment and relate them to the sediments' geoelectrical response. Our results show that microbial communities varied substantially along the vertical gradient and at depths where hydrocarbons saturated the sediments, ribosomal intergenic spacer analysis (RISA) revealed signatures of microbial communities adapted to hydrocarbon impact. In contrast, RISA profiles from a background location showed little community variations with depth. While all sites showed evidence of microbial activity, a scanning electron microscope (SEM) study of sediment from the contaminated location showed pervasive development of "nanowire-like structures" with morphologies consistent with nanowires from laboratory experiments. SEM analysis suggests extensive alteration of the sediments by microbial Activity. We conclude that, excess organic carbon (electron donor) but limited electron acceptors in these environments cause microorganisms to produce nanowires to shuttle the electrons as they seek for

  2. High yield polyol synthesis of round- and sharp-end silver nanowires with high aspect ratio

    Energy Technology Data Exchange (ETDEWEB)

    Nekahi, A.; Marashi, S.P.H., E-mail: pmarashi@aut.ac.ir; Fatmesari, D. Haghshenas

    2016-12-01

    Long silver nanowires (average length of 28 μm, average aspect ratio of 130) with uniform diameter along their length were produced by polyol synthesis of AgNO{sub 3} in ethylene glycol in the presence of PVP as preferential growth agent. Nanowires were produced with no addition of chloride salts such as NaCl or CuCl{sub 2} (or other additives such as Na{sub 2}S) which are usually used for lowering reduction rate of Ag ions by additional etchant of O{sub 2}/Cl{sup −}. Lower reduction rate was obtained by increasing the injection time of PVP and AgNO{sub 3} solutions, which was the significant factor in the formation of nanowires. Therefore, there was enough time for reduced Ag atoms to be deposited preferentially in the direction of PVP chains, resulting in high yield (the fraction of nanowires in the products) of nanowires (more than 95%) with high aspect ratio. The produced nanowires had both round- and sharp-ends with pentagonal cross section. Higher energy level of Ag atoms in borders of MTPs, which increases the dissolution rate of precipitated atoms, in addition to partial melting of MTPs at high synthesis temperatures, leads to the curving of the surfaces of exposed (111) crystalline planes in some MTPs and the formation of round-end silver nanowires. - Highlights: • Long silver nanowires with high aspect ratio of 130 were produced. • More than 95% nanowires were produced in products. • The produced nanowires had round- and sharp-ends with pentagonal cross section. • Additives were needed neither for high yield synthesis nor for round-end nanowires. • Melting and etching of MTPs in high energy borders resulted to round-end nanowires.

  3. A silicon nanowire heater and thermometer

    Science.gov (United States)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  4. Self assembly of organic nanostructures and dielectrophoretic assembly of inorganic nanowires.

    Science.gov (United States)

    Dholakia, Geetha; Kuo, Steven; Allen, E. L.

    2007-03-01

    Self assembly techniques enable the organization of organic molecules into nanostructures. Currently engineering strategies for efficient assembly and routine integration of inorganic nanoscale objects into functional devices is very limited. AC Dielectrophoresis is an efficient technique to manipulate inorganic nanomaterials into higher dimensional structures. We used an alumina template based sol-gel synthesis method for the growth of various metal oxide nanowires with typical diameters of 100-150 nm, ranging in length from 3-10 μm. Here we report the dielectrophoretic assembly of TiO2 nanowires, an important material for photocatalysis and photovoltaics, onto interdigitated devices. Self assembly in organic nanostructures and its dependence on structure and stereochemistry of the molecule and dielectrophoretic field dependence in the assembly of inorganic nanowires will be compared and contrasted. Tunneling spectroscopy and DOS of these nanoscale systems will also be discussed.

  5. Reactor and method for production of nanostructures

    Science.gov (United States)

    Sunkara, Mahendra Kumar; Kim, Jeong H.; Kumar, Vivekanand

    2017-04-25

    A reactor and method for production of nanostructures, including metal oxide nanowires or nanoparticles, are provided. The reactor includes a regulated metal powder delivery system in communication with a dielectric tube; a plasma-forming gas inlet, whereby a plasma-forming gas is delivered substantially longitudinally into the dielectric tube; a sheath gas inlet, whereby a sheath gas is delivered into the dielectric tube; and a microwave energy generator coupled to the dielectric tube, whereby microwave energy is delivered into a plasma-forming gas. The method for producing nanostructures includes providing a reactor to form nanostructures and collecting the formed nanostructures, optionally from a filter located downstream of the dielectric tube.

  6. Interactions of Cells with Magnetic Nanowires and Micro Needles

    KAUST Repository

    Perez, Jose E.

    2017-12-01

    The use of nanowires, nano and micro needles in biomedical applications has markedly increased in the past years, mainly due to attractive properties such as biocompatibility and simple fabrication. Specifically, these structures have shown promise in applications including cell separation, tumor cell capture, intracellular delivery, cell therapy, cancer treatment and as cell growth scaffolds. The work proposed here aims to study two platforms for different applications: a vertical magnetic nanowire array for mesenchymal stem cell differentiation and a micro needle platform for intracellular delivery. First, a thorough evaluation of the cytotoxicity of nanowires was done in order to understand how a biological system interacts with high aspect ratio structures. Nanowires were fabricated through pulsed electrodeposition and characterized by electron microscopy, vibrating sample magnetometry and energy dispersive X-ray spectroscopy. Studies of biocompatibility, cell death, cell membrane integrity, nanowire internalization and intracellular dissolution were all performed in order to characterize the cell response. Results showed a variable biocompatibility depending on nanowire concentration and incubation time, with cell death resulting from an apoptotic pathway arising after internalization. A vertical array of nanowires was then used as a scaffold for the differentiation of human mesenchymal stem cells. Using fluorescence and electron microscopy, the interactions between the dense array of nanowires and the cells were analyzed, as well as the biocompatibility of the array and its effects on cell differentiation. A magnetic field was additionally applied on the substrate to observe a possible differentiation. Stem cells grown on this scaffold showed a cytoskeleton and focal adhesion reorganization, and later expressed the osteogenic marker osteopontin. The application of a magnetic field counteracted this outcome. Lastly, a micro needle platform was fabricated

  7. Room-temperature solution synthesis of Ag nanoparticle functionalized molybdenum oxide nanowires and their catalytic applications

    International Nuclear Information System (INIS)

    Dong Wenjun; Huang Huandi; Zhu Yanjun; Li Xiaoyun; Wang Xuebin; Li Chaorong; Chen Benyong; Wang Ge; Shi Zhan

    2012-01-01

    A simple chemical solution route for the synthesis of large-scale high-quality Ag nanoparticle functionalized molybdenum oxide nanowire at room temperature has been developed. In the synthesis, the protonated amine was intercalated into the molybdenum bronze layers to reduce the electrostatic force of the lamellar structures, and then the Ag nanoparticle functionalized long nanowires could be easily induced by a redox reaction between a molybdenum oxide–amine intermediate and Ag + at room temperature. The intercalation lamellar structures improved the nucleation and growth of the Ag nanoparticles, with the result that uniform Ag nanoparticles occurred on the surface of the MoO 3 nanowire. In this way Ag nanoparticles with average sizes of around 6 nm, and high-purity nanowires with mean diameter of around 50 nm and with typical lengths of several tens to hundreds of micrometers were produced. The heteronanostructured nanowires were intricately and inseparably connected to each other with hydrogen bonds and/or bridge oxygen atoms and packed together, forming a paper-like porous network film. The Ag–MoO 3 nanowire film performs a promoted catalytic property for the epoxidation of cis-cyclooctene, and the heteronanostructured nanowire film sensor shows excellent sensing performance to hydrogen and oxygen at room temperature. (paper)

  8. Room-temperature solution synthesis of Ag nanoparticle functionalized molybdenum oxide nanowires and their catalytic applications.

    Science.gov (United States)

    Dong, Wenjun; Huang, Huandi; Zhu, Yanjun; Li, Xiaoyun; Wang, Xuebin; Li, Chaorong; Chen, Benyong; Wang, Ge; Shi, Zhan

    2012-10-26

    A simple chemical solution route for the synthesis of large-scale high-quality Ag nanoparticle functionalized molybdenum oxide nanowire at room temperature has been developed. In the synthesis, the protonated amine was intercalated into the molybdenum bronze layers to reduce the electrostatic force of the lamellar structures, and then the Ag nanoparticle functionalized long nanowires could be easily induced by a redox reaction between a molybdenum oxide-amine intermediate and Ag(+) at room temperature. The intercalation lamellar structures improved the nucleation and growth of the Ag nanoparticles, with the result that uniform Ag nanoparticles occurred on the surface of the MoO(3) nanowire. In this way Ag nanoparticles with average sizes of around 6 nm, and high-purity nanowires with mean diameter of around 50 nm and with typical lengths of several tens to hundreds of micrometers were produced. The heteronanostructured nanowires were intricately and inseparably connected to each other with hydrogen bonds and/or bridge oxygen atoms and packed together, forming a paper-like porous network film. The Ag-MoO(3) nanowire film performs a promoted catalytic property for the epoxidation of cis-cyclooctene, and the heteronanostructured nanowire film sensor shows excellent sensing performance to hydrogen and oxygen at room temperature.

  9. Optical haze of randomly arranged silver nanowire transparent conductive films with wide range of nanowire diameters

    Directory of Open Access Journals (Sweden)

    M. Marus

    2018-03-01

    Full Text Available The effect of the diameter of randomly arranged silver nanowires on the optical haze of silver nanowire transparent conductive films was studied. Proposed simulation model behaved similarly with the experimental results, and was used to theoretically study the optical haze of silver nanowires with diameters in the broad range from 30 nm and above. Our results show that a thickening of silver nanowires from 30 to 100 nm results in the increase of the optical haze up to 8 times, while from 100 to 500 nm the optical haze increases only up to 1.38. Moreover, silver nanowires with diameter of 500 nm possess up to 5% lower optical haze and 5% higher transmittance than 100 nm thick silver nanowires for the same 10-100 Ohm/sq sheet resistance range. Further thickening of AgNWs can match the low haze of 30 nm thick AgNWs, but at higher transmittance. The results obtained from this work allow deeper analysis of the silver nanowire transparent conductive films from the perspective of the diameter of nanowires for various optoelectronic devices.

  10. A novel application of the CuI thin film for preparing thin copper nanowires

    International Nuclear Information System (INIS)

    Shi Shuo; Sun Jialin; Zhang Jianhong; Cao Yang

    2005-01-01

    We present a novel application of the CuI thin film for preparing thin copper nanowires under a direct current electric field (DCEF). The CuI thin film was used as a medium for transmitting cuprous ions during the growing process of copper nanowires. As electrodes are the source of cuprous ions, high-purity copper films were deposited on both ends of the CuI thin film. At 353 K, under whole solid condition, without any templates, and having applied a DCEF of 1.5x10 4 V/m, cuprous ions were generated at the anode and migrated towards the cathode through the CuI film. At the edge of the cathode, cuprous ions obtained electrons and congregated to form a disordered thin copper nanowires bundle. The SEM images showed that these copper nanowires were from 10 to 20 nm in diameter and several hundred nanometers in length. The effect of the electric field intensity and the growth temperature on the diameter of the nanowires was also studied

  11. PLD synthesis of GaN nanowires and nanodots on patterned catalyst surface for field emission study

    Energy Technology Data Exchange (ETDEWEB)

    Ng, D.K.T.; Hong, M.H. [National University of Singapore (Singapore). Department of Electrical and Computer Engineering; Data Storage Institute, Singapore (Singapore); Tan, L.S. [National University of Singapore (Singapore). Department of Electrical and Computer Engineering; Zhu, Y.W.; Sow, C.H. [National University of Singapore (Singapore). Nanoscience and Nanotechnology Initiative; National University of Singapore (Singapore). Department of Physics

    2008-11-15

    Patterned gallium nitride nanowires and nanodots have been grown on n-Si(100) substrates by pulsed laser deposition. The nanostructures are patterned using a physical mask, resulting in regions of nanowire growth of different densities. The field emission (FE) characteristics of the patterned gallium nitride nanowires show a turn-on field of 9.06 V/{mu}m to achieve a current density of 0.01 mA/cm{sup 2} and an enhanced field emission current density as high as 0.156 mA/cm{sup 2} at an applied field of 11 V/{mu}m. Comparing the peak FE current densities of both the nanowires and nanodots, the peak FE current density of nanowires is around 700 times higher than that of the peak FE current density of nanodots since nanodots have a lower aspect ratio compared to nanowires. The field emission results indicate that, besides density difference, crystalline quality as well as the low electron affinity of gallium nitride, high aspect ratio of gallium nitride nanostructures will greatly enhance their field emission properties. (orig.)

  12. ZnTe Amorphous Semiconductor Nanowires Array Electrodeposited into Polycarbonate Membrane Thin Films

    International Nuclear Information System (INIS)

    Ohgai, T; Ikeda, T; Ohta, J

    2013-01-01

    ZnTe amorphous semiconductor nanowires array was electrodeposited into the nanochannels of ion-track etched polycarbonate membrane thin films from acidic aqueous solution at 313 K. ZnTe electrodeposits with Zn-rich composition was obtained over the wide range of cathode potential from −0.8 V to −1.1 V and the growth rate of ZnTe amorphous nanowires was around 3 nm.sec −1 at the cathode potential of −0.8 V. Cylindrical shape of the nanowires was precisely transferred from the nanochannels and the aspect ratio reached up to ca. 40. ZnTe amorphous phase electrodeposited at 313 K was crystallized by annealing at 683 K and the band gap energy of ZnTe crystalline phase reached up to ca. 2.13 eV.

  13. Spark-plasma-sintering magnetic field assisted compaction of Co{sub 80}Ni{sub 20} nanowires for anisotropic ferromagnetic bulk materials

    Energy Technology Data Exchange (ETDEWEB)

    Ouar, Nassima; Schoenstein, Frédéric; Mercone, Silvana; Farhat, Samir; Jouini, Noureddine [Laboratoire des Sciences des Procédés et des Matériaux, CNRS, LSPM—UPR 3407, Université Paris 13, Sorbonne-Paris-Cité, 99 Avenue J.-B. Clément, 93430 Villetaneuse (France); Villeroy, Benjamin [Institut de Chimie et des Matériaux Paris Est, CNRS, ICMPE—UMR 7182, Equipe de Chimie Métallurgique des Terres Rares, 2-8 rue Henri Dunant, 94320 Thiais Cedex (France); Leridon, Brigitte [Laboratoire de Physique et d’Étude des Matériaux, LPEM, ESPCI-ParisTech, CNRS, UPMC, 10 rue Vauquelin, F-75231 Paris Cedex 5 (France)

    2013-10-28

    We developed a two-step process showing the way for sintering anisotropic nanostructured bulk ferromagnetic materials. A new reactor has been optimized allowing the synthesis of several grams per batch of nanopowders via a polyol soft chemistry route. The feasibility of the scale-up has been successfully demonstrated for Co{sub 80}Ni{sub 20} nanowires and a massic yield of ∼97% was obtained. The thus obtained nanowires show an average diameter of ∼6 nm and a length of ∼270 nm. A new bottom-up strategy allowed us to compact the powder into a bulk nanostructured system. We used a spark-plasma-sintering technique under uniaxial compression and low temperature assisted by a permanent magnetic field of 1 T. A macroscopic pellet of partially aligned nanowire arrays has been easily obtained. This showed optimized coercive properties along the direction of the magnetic field applied during compaction (i.e., the nanowires' direction)

  14. Diameter-dependent coloration of silver nanowires

    International Nuclear Information System (INIS)

    Stewart, Mindy S; Qiu Chao; Jiang Chaoyang; Kattumenu, Ramesh; Singamaneni, Srikanth

    2011-01-01

    Silver nanowires were synthesized with a green method and characterized with microscopic and diffractometric methods. The correlation between the colors of the nanowires deposited on a solid substrate and their diameters was explored. Silver nanowires that appear similar in color in the optical micrographs have very similar diameters as determined by atomic force microscopy. We have summarized the diameter-dependent coloration for these silver nanowires. An optical interference model was applied to explain such correlation. In addition, microreflectance spectra were obtained from individual nanowires and the observed spectra can be explained with the optical interference theory. This work provides a cheap, quick and simple screening method for studying the diameter distribution of silver nanowires, as well as the diameter variations of individual silver nanowires, without complicated sample preparation.

  15. Generic nano-imprint process for fabrication of nanowire arrays

    NARCIS (Netherlands)

    Pierret, A.; Hocevar, M.; Diedenhofen, S.L.; Algra, R.E.; Vlieg, E.; Timmering, E.C.; Verschuuren, M.A.; Immink, W.G.G.; Verheijen, M.A.; Bakkers, E.P.A.M.

    2010-01-01

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2inch substrates. After lift-off organic residues remain on the surface, which induce the growth of

  16. The ITO-capped WO{sub 3} nanowires biosensor based on field-effect transistor in label-free protein sensing

    Energy Technology Data Exchange (ETDEWEB)

    Shariati, Mohsen [Sharif University of Technology, Institute for Nanoscience and Nanotechnology, Tehran (Iran, Islamic Republic of)

    2017-05-15

    The fabrication of ITO-capped WO{sub 3} nanowires associated with their bio-sensing properties in field-effect transistor diagnostics basis as a biosensor has been reported. The bio-sensing property for manipulated nanowires elucidated that the grown nanostructures were very sensitive to protein. The ITO-capped WO{sub 3} nanowires biosensor showed an intensive bio-sensing activity against reliable protein. Polylysine strongly charged bio-molecule was applied as model system to demonstrate the implementation of materialized biosensor. The employed sensing mechanism was 'label-free' and depended on bio-molecule's intrinsic charge. For nanowires synthesis, the vapor-liquid-solid mechanism was used. Nanowires were beyond a few hundred nanometers in lengths and around 15-20 nm in diameter, while the globe cap's size on the nanowires was around 15-25 nm. The indium tin oxide (ITO) played as catalyst in nanofabrication for WO{sub 3} nanowires growth and had outstanding role in bio-sensing especially for bio-molecule adherence. In applied electric field presence, the fabricated device showed the great potential to enhance medical diagnostics. (orig.)

  17. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  18. Antibacterial activity of single crystalline silver-doped anatase TiO{sub 2} nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xiangyu, E-mail: zhangxiangyu@tyut.edu.cn; Li, Meng; He, Xiaojing; Hang, Ruiqiang; Huang, Xiaobo; Wang, Yueyue; Yao, Xiaohong; Tang, Bin, E-mail: tangbin@tyut.edu.cn

    2016-05-30

    Graphical abstract: The silver-doped TiO{sub 2} nanowire arrays on titanium foil substrate were synthesized via a two-step process. It includes: deposition of AgTi films on titanium foil by magnetron sputtering; preparation of AgNW arrays on AgTi films via alkali (NaOH) hydrothermal treatment and ion-exchange with HCl, followed by calcinations. - Highlights: • Ag-doped TiO{sub 2} nanowire arrays have been prepared by a duplex-treatment. • The duplex-treatment consisted of magnetron sputtering and hydrothermal growth. • Ag-doped nanowire arrays show excellent antibacterial activity against E. coli. - Abstract: Well-ordered, one-dimensional silver-doped anatase TiO{sub 2} nanowire (AgNW) arrays have been prepared through a hydrothermal growth process on the sputtering-deposited AgTi layers. Electron microscope analyses reveal that the as-synthesized AgNW arrays exhibit a single crystalline phase with highly uniform morphologies, diameters ranging from 85 to 95 nm, and lengths of about 11 μm. Silver is found to be doped into TiO{sub 2} nanowire evenly and mainly exists in the zerovalent state. The AgNW arrays show excellent efficient antibacterial activity against Escherichia coli (E. coli), and all of the bacteria can be killed within 1 h. Additionally, the AgNW arrays can still kill E. coli after immersion for 60 days, suggesting the long-term antibacterial property. The technique reported here is environmental friendly for formation of silver-containing nanostructure without using any toxic organic solvents.

  19. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  20. Growth and morphological analysis of segmented AuAg alloy nanowires created by pulsed electrodeposition in ion-track etched membranes

    Directory of Open Access Journals (Sweden)

    Ina Schubert

    2015-06-01

    Full Text Available Background: Multicomponent heterostructure nanowires and nanogaps are of great interest for applications in sensorics. Pulsed electrodeposition in ion-track etched polymer templates is a suitable method to synthesise segmented nanowires with segments consisting of two different types of materials. For a well-controlled synthesis process, detailed analysis of the deposition parameters and the size-distribution of the segmented wires is crucial.Results: The fabrication of electrodeposited AuAg alloy nanowires and segmented Au-rich/Ag-rich/Au-rich nanowires with controlled composition and segment length in ion-track etched polymer templates was developed. Detailed analysis by cyclic voltammetry in ion-track membranes, energy-dispersive X-ray spectroscopy and scanning electron microscopy was performed to determine the dependency between the chosen potential and the segment composition. Additionally, we have dissolved the middle Ag-rich segments in order to create small nanogaps with controlled gap sizes. Annealing of the created structures allows us to influence their morphology.Conclusion: AuAg alloy nanowires, segmented wires and nanogaps with controlled composition and size can be synthesised by electrodeposition in membranes, and are ideal model systems for investigation of surface plasmons.