WorldWideScience

Sample records for nano imprint lithography

  1. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  2. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  3. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  4. Solvent influence upon structure & throughput of poly vinyledene fluoride thin film nano-patterns by imprint lithography

    Science.gov (United States)

    Sankar, M. S. Ravi; Gangineni, R. B.

    2018-04-01

    This work aims at understanding the solvent influence upon the throughput and structure of poly vinyledene fluoride (PVDF)nano-patterned films. The PVDF thin films are deposited by spin coating method using Dimethylsulfoxide (DMSO), Tetrahydrofuran (THF) and 2-butanone solvents. The nano-patterns are realized by imprinting SONY 700 MB CD aluminum constructions on PVDF thin filmsusing imprint lithography technique under ambient annealing temperature and pressure. Surface morphology &imprint pattern transfer quality is evaluated with Atomic force microscopy (AFM). Raman spectroscopy is used for evaluating the structural evolutions with respect to solvent & patterning.

  5. Fabrication of 3D nano-structures using reverse imprint lithography

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  6. Fabrication of 3D nano-structures using reverse imprint lithography

    International Nuclear Information System (INIS)

    Han, Kang-Soo; Cho, Joong-Yeon; Lee, Heon; Hong, Sung-Hoon; Kim, Kang-In; Choi, Kyung-woo

    2013-01-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED. (paper)

  7. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  8. Superior light trapping in thin film silicon solar cells through nano imprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Schropp, R.E.I.; Pex, P.P.A.C.

    2013-10-15

    ECN and partners have developed a fabrication process based on nanoimprint lithography (NIL) of textures for light trapping in thin film solar cells such as thin-film silicon, OPV, CIGS and CdTe. The process can be applied in roll-to-roll mode when using a foil substrate or in roll-to-plate mode when using a glass substrate. The lacquer also serves as an electrically insulating layer for cells if steel foil is used as substrate, to enable monolithic series interconnection. In this paper we will show the superior light trapping in thin film silicon solar cells made on steel foil with nanotextured back contacts. We have made single junction a-Si and {mu}c-Si and a-Si/{mu}c-Si tandem cells, where we applied several types of nano-imprints with random and periodic structures. We will show that the nano-imprinted back contact enables more than 30% increase of current in comparison with non-textured back contacts and that optimized periodic textures outperform state-of-the-art random textures. For a-Si cells we obtained Jsc of 18 mA/cm{sup 2} and for {mu}c-Si cells more than 24 mA/cm{sup 2}. Tandem cells with a total Si absorber layer thickness of only 1350 nm have an initial efficiency of 11%.

  9. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  10. Nano imprint lithography of textures for light trapping in thin film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Notta, J.B.; Pex, P.P.A.C. [ECN-Solliance, High Tech Campus 5, 5656 AE Eindhoven (Netherlands); Schipper, W.; Wilde, R. [Nanoptics GmbH, Innungsstrasse 5, 21244 Buchholz (Germany)

    2012-09-15

    Nano Imprint Lithography (NIL) is a versatile and commercially viable technology for fabrication of structures for light trapping in solar cells. We demonstrate the applicability of NIL in thin film silicon solar cells in substrate configuration, where NIL is used to fabricate a textured rear contact of the solar cells. We applied random structures, based on the natural texture of SnO:F grown by APCVD, and designed 2D periodic structures and show that for single junction {mu}c-Si cells these textured rear contacts lead to an increase of Jsc of more than 40 % in comparison to cells with flat rear contacts. Cells on optimized periodic textures showed higher fill factors which can be attributed to reduced microcrack formation, leading to less shunting in comparison to cells on random textures.

  11. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    Science.gov (United States)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  12. Generic nano-imprint process for fabrication of nanowire arrays

    NARCIS (Netherlands)

    Pierret, A.; Hocevar, M.; Diedenhofen, S.L.; Algra, R.E.; Vlieg, E.; Timmering, E.C.; Verschuuren, M.A.; Immink, W.G.G.; Verheijen, M.A.; Bakkers, E.P.A.M.

    2010-01-01

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2inch substrates. After lift-off organic residues remain on the surface, which induce the growth of

  13. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    Science.gov (United States)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  14. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  15. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  16. Generic nano-imprint process for fabrication of nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Pierret, Aurelie; Hocevar, Moira; Algra, Rienk E; Timmering, Eugene C; Verschuuren, Marc A; Immink, George W G; Verheijen, Marcel A; Bakkers, Erik P A M [Philips Research Laboratories Eindhoven, High Tech Campus 11, 5656 AE Eindhoven (Netherlands); Diedenhofen, Silke L [FOM Institute for Atomic and Molecular Physics c/o Philips Research Laboratories, High Tech Campus 4, 5656 AE Eindhoven (Netherlands); Vlieg, E, E-mail: e.p.a.m.bakkers@tue.nl [IMM, Solid State Chemistry, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2010-02-10

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2 inch substrates. After lift-off organic residues remain on the surface, which induce the growth of additional undesired nanowires. We show that cleaning of the samples before growth with piranha solution in combination with a thermal anneal at 550 deg. C for InP and 700 deg. C for GaP results in uniform nanowire arrays with 1% variation in nanowire length, and without undesired extra nanowires. Our chemical cleaning procedure is applicable to other lithographic techniques such as e-beam lithography, and therefore represents a generic process.

  17. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  18. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  19. Nano devices and sensors

    CERN Document Server

    Liaw, Shien-Kuei; Chung, Yung-Hui

    2016-01-01

    This volume on semiconductor devices focuses on such topics as nano-imprinting, lithography, nanowire charge-trapping, thermo-stability in nanowires, nano-electrodes, and voltage and materials used for fabricating and improving electrical characteristics of nano-materials.

  20. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  1. Nano-imprint gold grating as refractive index sensor

    International Nuclear Information System (INIS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2016-01-01

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive index sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.

  2. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  3. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  4. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  5. Fabrication of nano-electrode arrays of free-standing carbon nanotubes on nano-patterned substrate by imprint method

    Energy Technology Data Exchange (ETDEWEB)

    Chang, W.S., E-mail: paul@kimm.re.kr [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Kim, J.W. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of); Choi, D.G. [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Han, C.S. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of)

    2011-01-15

    The synthesis of isolated carbon nanotubes with uniform outer diameters and ordered spacing over wafer-scale areas was investigated for fabrication of nano-electrode arrays on silicon wafers for field emission and sensor devices. Multi-walled carbon nanotubes (MWCNTs) were grown on TiN electrode layer with iron catalyst patterned by nano-imprint lithography (NIL), which allows the precise placement of individual CNTs on a substrate. The proposed techniques, including plasma-enhanced chemical vapor deposition (PECVD) and NIL, are simple, inexpensive, and reproducible methods for fabrication of nano-scale devices in large areas. The catalyst patterns were defined by an array of circles with 200 nm in diameter, and variable lengths of pitch. The nano-patterned master and Fe catalyst were observed with good pattern fidelity over a large area by atomic force microscope (AFM) and scanning electron microscopy (SEM). Nano-electrodes of MWCNTs had diameters ranging from 50 nm to 100 nm and lengths of about 300 nm. Field emission tests showed the reducing ignition voltage as the geometry of nanotube arrays was controlled by catalyst patterning. These results showed a wafer-scale approach to the control of the size, pitch, and position of nano-electrodes of nanotubes for various applications including electron field-emission sources, electrochemical probes, functionalized sensor elements, and so on.

  6. Double-layer imprint lithography on wafers and foils from the submicrometer to the millimeter scale

    NARCIS (Netherlands)

    Moonen, P.F.; Yakimets, I.; Peter, M.; Meinders, E.R.; Huskens, J.

    2011-01-01

    In this paper, a thermal imprint technique, double-layer nanoimprint lithography (dlNIL), is introduced, allowing complete filling of features in the dimensional range of submicrometer to millimeter. The imprinting and filling quality of dlNIL was studied on Si substrates as a model system and

  7. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  8. Fabrication of nano-sized metal patterns on flexible polyethylene-terephthalate substrate using bi-layer nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Seon Yong; Jung, Ho Yong [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jeong, Jun-Ho [Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Yuseong-gu Daejeon, 305-343 (Korea, Republic of); Lee, Heon, E-mail: heonlee@korea.ac.k [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2009-05-29

    Polymer films are widely used as a substrate for displays and for solar cells since they are cheap, transparent and flexible, and their material properties are easy to design. Polyethylene-terephthalate (PET) is especially useful for various applications requiring transparency, flexibility and good thermal and chemical resistance. In this study, nano-sized metal patterns were fabricated on flexible PET film by using nanoimprint lithography (NIL). Water-soluble poly-vinyl alcohol (PVA) resin was used as a planarization and sacrificial layer for the lift-off process, as it does not damage the PET films and can easily be etched off by using oxygen plasma. NIL was used to fabricate the nano-sized patterns on the non-planar or flexible substrate. Finally, a nano-sized metal pattern was successfully formed by depositing the metal layer over the imprinted resist patterns and applying the lift-off process, which is economic and environmentally friendly, to the PET films.

  9. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  10. Reactive Imprint Lithography: Combined Topographical Patterning and Chemical Surface Functionalization of Polystyrene-block-poly(tert-butyl acrylate) Films

    NARCIS (Netherlands)

    Duvigneau, Joost; Cornelissen, Stijn; Bardajı´Valls, Nuria; Schönherr, Holger; Vancso, Gyula J.

    2009-01-01

    Here, reactive imprint lithography (RIL) is introduced as a new, one-step lithographic tool for the fabrication of large-area topographically patterned, chemically activated polymer platforms. Films of polystyrene-block-poly(tert-butyl acrylate) (PS-b-PtBA) are imprinted with PDMS master stamps at

  11. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  12. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  13. Imprint lithography provides topographical nanocues to guide cell growth in primary cortical cell culture

    NARCIS (Netherlands)

    Xie, S.; Luttge, R.

    2014-01-01

    In this paper, we describe a technology platform to study the effect of nanocues on the cell growth direction in primary cortical cell culture. Topographical cues to cells are provided using nanoscale features created by Jet and Flash Imprint Lithography, coated with polyethylenimine. We

  14. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  15. Fabrication of high-aspect-ratio microstructures using dielectrophoresis-electrocapillary force-driven UV-imprinting

    International Nuclear Information System (INIS)

    Li, Xiangming; Shao, Jinyou; Tian, Hongmiao; Ding, Yucheng; Li, Xiangmeng

    2011-01-01

    We propose a novel method for fabricating high-aspect-ratio micro-/nano-structures by dielectrophoresis-electrocapillary force (DEP-ECF)-driven UV-imprinting. The force of DEP-ECF, acting on an air–liquid interface and an air–liquid–solid three-phase contact line, is generated by applying voltage between an electrically conductive mold and a substrate, and tends to pull the dielectric liquid (a UV-curable pre-polymer) into the mold micro-cavities. The existence of DEP-ECF is explained theoretically and demonstrated experimentally by the electrically induced reduction of the contact angle. Furthermore, DEP-ECF is proven to play a critical role in forcing the polymer to fill into the mold cavities by the real-time observation of the dynamic filling process. Using the DEP-ECF-driven UV-imprinting process, high-aspect-ratio polymer micro-/nano-structures (more than 10:1) are fabricated with high consistency. This patterning method can overcome the drawbacks of the mechanically induced mold deformation and position shift in conventional imprinting lithography and maximize the pattern uniformity which is usually poor in capillary force lithography

  16. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  17. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  18. Fabrication and nano-imprintabilities of Zr-, Pd- and Cu-based glassy alloy thin films

    International Nuclear Information System (INIS)

    Takenaka, Kana; Saidoh, Noriko; Nishiyama, Nobuyuki; Inoue, Akihisa

    2011-01-01

    With the aim of investigating nano-imprintability of glassy alloys in a film form, Zr 49 Al 11 Ni 8 Cu 32 , Pd 39 Cu 29 Ni 13 P 19 and Cu 38 Zr 47 Al 9 Ag 6 glassy alloy thin films were fabricated on Si substrate by a magnetron sputtering method. These films exhibit a very smooth surface, a distinct glass transition phenomenon and a large supercooled liquid region of about 80 K, which are suitable for imprinting materials. Moreover, thermal nano-imprintability of these obtained films is demonstrated by using a dot array mold with a dot diameter of 90 nm. Surface observations revealed that periodic nano-hole arrays with a hole diameter of 90 nm were successfully imprinted on the surface of these films. Among them, Pd-based glassy alloy thin film indicated more precise pattern imprintability, namely, flatter residual surface plane and sharper hole edge. It is said that these glassy alloy thin films, especially Pd-based glassy alloy thin film, are one of the promising materials for fabricating micro-machines and nano-devices by thermal imprinting.

  19. A Fabrication Technique for Nano-gap Electrodes by Atomic Force Microscopy Nano lithography

    International Nuclear Information System (INIS)

    Jalal Rouhi; Shahrom Mahmud; Hutagalung, S.D.; Kakooei, S.

    2011-01-01

    A simple technique is introduced for fabrication of nano-gap electrodes by using nano-oxidation atomic force microscopy (AFM) lithography with a Cr/ Pt coated silicon tip. AFM local anodic oxidation was performed on silicon-on-insulator (SOI) surfaces by optimization of desired conditions to control process in contact mode. Silicon electrodes with gaps of sub 31 nm were fabricated by nano-oxidation method. This technique which is simple, controllable, inexpensive and fast is capable of fabricating nano-gap structures. The current-voltage measurements (I-V) of the electrodes demonstrated very good insulating characteristics. The results show that silicon electrodes have a great potential for fabrication of single molecule transistors (SMT), single electron transistors (SET) and the other nano electronic devices. (author)

  20. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia

    2012-11-26

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  1. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar

    2012-01-01

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  2. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  3. Alternative nano-structured thin-film materials used as durable thermal nanoimprint lithography templates

    Science.gov (United States)

    Bossard, M.; Boussey, J.; Le Drogoff, B.; Chaker, M.

    2016-02-01

    Nanoimprint templates made of diamond-like carbon (DLC) and amorphous silicon carbide (SiC) thin films and fluorine-doped associated materials, i.e. F-DLC and F-SiC were investigated in the context of thermal nanoimprint lithography (NIL) with respect to their release properties. Their performances in terms of durability and stability were evaluated and compared to those of conventional silicon or silica molds coated with antisticking molecules applied as a self-assembled monolayer. Plasma-enhanced chemical vapor deposition parameters were firstly tuned to optimize mechanical and structural properties of the DLC and SiC thin films. The impact of the amount of fluorine dopant on the deposited thin films properties was then analyzed. A comparative analysis of DLC, F-DLC as well as SiC and F-SiC molds was then carried out over multiple imprints, performed into poly (methyl methacrylate) (PMMA) thermo-plastic resist. The release properties of un-patterned films were evaluated by the measurement of demolding energies and surface energies, associated with a systematic analysis of the mold surface contamination. These analyses showed that the developed materials behave as intrinsically easy-demolding and contamination-free molds over series of up to 40 imprints. To our knowledge, it is the first time that such a large number of imprints has been considered within an exhaustive comparative study of materials for NIL. Finally, the developed materials went through standard e-beam lithography and plasma etching processes to obtain nanoscale-patterned templates. The replicas of those patterned molds, imprinted into PMMA, were shown to be of high fidelity and good stability after several imprints.

  4. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    International Nuclear Information System (INIS)

    Li, Nannan; Pang, Shucai; Yan, Fei; Chen, Lei; Jin, Dazhi; Xiang, Wei; Zhang, De; Zeng, Baoqing

    2015-01-01

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided a new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics

  5. Thermo-curable epoxy systems for nanoimprint lithography

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung

    2010-01-01

    In this work, we have used solvent-free thermo-curable epoxy systems for low-pressure and moderate-temperature nanoimprint lithography (NIL). The curing kinetic parameters and conversion of diglycidyl ether of bisphenol A (DGEBA) resin with different ambient-cure 930 and 954 hardeners were studied by the isothermal DSC technique. They are useful for the study of epoxy resins in the imprinting application. The DGEBA/930 and DGEBA/954 epoxy resists can be imprinted to obtain high-density nano- and micro-scale patterns on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The DGEBA/930 epoxy resin is not only suitable for resist material, but also for plastic mold material. Highly dense nanometer patterns can be successfully imprinted using a UV-curable resist from the DGEBA/930 epoxy mold. Using the replicated DGEBA/930 epoxy mold instead of the expensive master can prevent brittle failure of the silicon molds in the NIL

  6. TiO2/ CNT hetero-structure with variable electron beam diameter suitable for nano lithography

    International Nuclear Information System (INIS)

    Barati, F.; Abdi, Y.; Arzi, E.

    2012-01-01

    We report fabrication of a novel TiO 2 /carbon nano tube based field emission device suitable for nano lithography and fabrication of transistor. The growth of carbon nano tubes is performed on silicon substrates using plasma-enhanced chemical vapor deposition method. The vertically grown carbon nano tubes are encapsulated by TiO 2 using an atmospheric pressure chemical vapor deposition system. Field emission from the carbon nano tubes is realized by mechanical polishing of the prepared nano structure. The possibility of the application of such nano structures as a lithography tool with variable electron beam diameter was investigated. The obtained results show that spot size of less than 30 nm can be obtained by applying a proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of this nano structure for the fabrication of field emission based field effect transistor. By applying a voltage between the gate and the cathode electrode, the emission current from carbon nano tubes shows a significant drop, indicating proper control of gate on the emission current.

  7. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  8. Unified Modeling Language description of the object-oriented multi-scale adaptive finite element method for Step-and-Flash Imprint Lithography Simulations

    International Nuclear Information System (INIS)

    Paszynski, Maciej; Gurgul, Piotr; Sieniek, Marcin; Pardo, David

    2010-01-01

    In the first part of the paper we present the multi-scale simulation of the Step-and-Flash Imprint Lithography (SFIL), a modern patterning process. The simulation utilizes the hp adaptive Finite Element Method (hp-FEM) coupled with Molecular Statics (MS) model. Thus, we consider the multi-scale problem, with molecular statics applied in the areas of the mesh where the highest accuracy is required, and the continuous linear elasticity with thermal expansion coefficient applied in the remaining part of the domain. The degrees of freedom from macro-scale element's nodes located on the macro-scale side of the interface have been identified with particles from nano-scale elements located on the nano-scale side of the interface. In the second part of the paper we present Unified Modeling Language (UML) description of the resulting multi-scale application (hp-FEM coupled with MS). We investigated classical, procedural codes from the point of view of the object-oriented (O-O) programming paradigm. The discovered hierarchical structure of classes and algorithms makes the UML project as independent on the spatial dimension of the problem as possible. The O-O UML project was defined at an abstract level, independent on the programming language used.

  9. Enhancement of the fluorescence intensity of DNA intercalators using nano-imprinted 2-dimensional photonic crystal

    International Nuclear Information System (INIS)

    Endo, Tatsuro; Ueda, China; Hisamoto, Hideaki; Kajita, Hiroshi; Okuda, Norimichi; Tanaka, Satoru

    2013-01-01

    We have fabricated polymer-based 2-dimensional photonic crystals that play a key role in enhancing the fluorescence of DNA intercalators. Highly ordered 2-dimensional photonic crystals possessing triangle-shaped and nm-sized hole arrays were fabricated on a 100 μm thick polymer film using nano-imprint lithography. Samples of double-stranded DNAs (sizes: 4361 and 48502 bp; concentration: 1 pM to 10 nM) were adsorbed on the surface of the 2-dimensional photonic crystal by electrostatic interactions and then treated with intercalators. It is found that the fluorescence intensity of the intercalator is enhanced by a factor of up to 10 compared to the enhancement in the absence of the 2-dimensional photonic crystal. Fluorescence intensity increases with increasing length and concentration of the DNAs. If the 2-dimensional photonic crystal is used as a Bragg reflection mirror, the enhancement of fluorescence intensity can be easily observed using a conventional spectrofluorometer. These results suggest that the printed photonic crystal offers a great potential for highly sensitive intercalator-based fluorescent detection of DNAs. (author)

  10. Biomimetic fabrication and tunable wetting properties of three-dimensional hierarchical ZnO structures by combining soft lithography templated with lotus leaf and hydrothermal treatments

    OpenAIRE

    Dai, Shuxi; Zhang, Dianbo; Shi, Qing; Han, Xiao; Wang, Shujie; Du, Zuliang

    2013-01-01

    Three-dimensional hierarchical ZnO films with lotus-leaf-like micro/nano structures were successfully fabricated via a biomimetic route combining sol-gel technique, soft lithography and hydrothermal treatments. PDMS mold replicated from a fresh lotus leaf was used to imprint microscale pillar structures directly into a ZnO sol film. Hierarchical ZnO micro/nano structures were subsequently fabricated by a low-temperature hydrothermal growth of secondary ZnO nanorod arrays on the micro-structur...

  11. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  12. Playing with small objects Nano lithography and manipulation A.K. ...

    Indian Academy of Sciences (India)

    Table of contents. Playing with small objects Nano lithography and manipulation A.K.Raychaudhuri Department of Physics IISc · PowerPoint Presentation · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Atomic Force Microscopy · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18.

  13. New Results on Plasma Activated Bonding of Imprinted Polymer Features for Bio MEMS Applications

    International Nuclear Information System (INIS)

    Kettner, P; Pelzer, R L; Glinsner, T; Farrens, S; Lee, D

    2006-01-01

    Nanoimprint Lithography is a well-acknowledged low cost, high resolution, large area 3D patterning process for polymers. It includes the most promising methods: high pressure hot embossing (HE) and UV-Nanoimprint Lithography (UV-NIL). Curing of the imprinted structures is either done by cooling down below the glass transition temperature of the thermoplastic polymer in case of HE or by subsequent UV-light exposure and cross-linking in case of UV-NIL. Both techniques allow rapid prototyping for high volume production of fully patterned substrates for a wide range of materials. The advantages of using polymer substrates over common Micro-Electro-Mechanical Systems (MEMS) processing materials like glass, silicon or quartz are: bio-compatible surfaces, easy manufacturability, low cost for high volume production, suitable for use in micro- and nano-fabrication, low conductivity, wide range of optical properties just to name a few. We will present experimental results on HE processes with PMMA as well as UV-NIL imprints in selected UV-curable resists. In the second part of the work we will describe the bonding techniques for packaging of the micro or nano structures. Packaging of the imprinted features is a key technology for a wide variety of field of applications: μ-TAS, biochemistry, micro-mixers, micro-reactors, electrophoresis cells, life science, micro-optical and nano-optical applications (switches) nanofluidics, data storage, etc. for features down to sub-100 nm range. Most bonding techniques for polymer use adhesives as intermediate layers. We will demonstrate a promising technique for dense and very strong bonds using plasma activation of polymers and glass. This bonding technology allows for bonding at low temperatures well below the glass transition temperature of the polymers, which will ensure that the structures are not deformed

  14. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  15. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  16. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  17. RIMS (real-time imprint monitoring by scattering of light) study of pressure, temperature and resist effects on nanoimprint lithography

    International Nuclear Information System (INIS)

    Yu Zhaoning; Gao He; Chou, Stephen Y

    2007-01-01

    To optimize nanoimprint lithography (NIL), it is essential to be able to characterize and control the NIL process in situ and in real time. Recently we have developed a real-time imprint monitoring by the scattering-of-light (RIMS) approach, which allows us to detect the degree of resist deformation and the duration of resist penetration by a mould during the imprint process in real time. In this paper we report the performances of RIMS under a broad range of working conditions. RIMS data shows that the resist penetration is facilitated by increasing processing temperature, pressure and the resist film thickness; a prolonged pre-NIL resist baking step, on the other hand, has the effect of slowing it down. Our results provide further demonstration of the effectiveness of this method under different working conditions. RIMS measurements show not only how long an imprint takes to complete, but also how an imprint progresses with time and how it is affected by differences in processing parameters. These measurements provide information crucial for a better understanding and process optimization in NIL

  18. Experimental demonstration of line-width modulation in plasmonic lithography using a solid immersion lens-based active nano-gap control

    International Nuclear Information System (INIS)

    Lee, Won-Sup; Kim, Taeseob; Choi, Guk-Jong; Lim, Geon; Joe, Hang-Eun; Gang, Myeong-Gu; Min, Byung-Kwon; Park, No-Cheol; Moon, Hyungbae; Kim, Do-Hyung; Park, Young-Pil

    2015-01-01

    Plasmonic lithography has been used in nanofabrication because of its utility beyond the diffraction limit. The resolution of plasmonic lithography depends on the nano-gap between the nanoaperture and the photoresist surface—changing the gap distance can modulate the line-width of the pattern. In this letter, we demonstrate solid-immersion lens based active non-contact plasmonic lithography, applying a range of gap conditions to modulate the line-width of the pattern. Using a solid-immersion lens-based near-field control system, the nano-gap between the exit surface of the nanoaperture and the media can be actively modulated and maintained to within a few nanometers. The line-widths of the recorded patterns using 15- and 5-nm gaps were 47 and 19.5 nm, respectively, which matched closely the calculated full-width at half-maximum. From these results, we conclude that changing the nano-gap within a solid-immersion lens-based plasmonic head results in varying line-width patterns

  19. Simple micro-patterning of high conductive polymer with UV-nano-imprinted patterned substrate and ethylene glycol-based second doping

    International Nuclear Information System (INIS)

    Takamatsu, Seiichi; Kurihara, Kazuma; Yamashita, Takahiro; Itoh, Toshihiro

    2014-01-01

    We have developed a simple micro-patterning process for high conductive polymer (i.e., poly (3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)) with a patterned substrate by using an ultraviolet (UV) nano-imprint and an ethylene glycol-based second doping technique. In the patterning process, the PEDOT:PSS water dispersion is first coated only on the hydrophilic area, which is fabricated by UV nano-imprinting, forming patterned PEDOT:PSS on the substrate. The patterned PEDOT:PSS film is then immersed in the ethylene glycol as a second doping technique for increasing its conductivity. The proposed process provides simplicity in terms of shorter process steps of the UV nano-imprinting and PEDOT:PSS coating and higher conductivity of patterned PEDOT:PSS film than existing complicated micro-fabrication processes for organic materials. The 200 nm wide nano-imprinted pillar structures change the wettability of the substrate where the contact angle of the substrate is decreased from 66.8° to 33.3°. The patterning resolution with the nano-imprinted pattern substrate is down to 100 µm, which is useful for sensor applications. The conductivity increase delivers a low sheet resistance (120 Ω sq −1 ) of patterned PEDOT:PSS film. Then, the patterning of PEDOT:PSS sensor shapes with its 300 µm wide feature line and high conductivity are demonstrated. Therefore, our process leads to applications to a variety of PEDOT:PSS-based sensors. (paper)

  20. Closed-looped in situ nano processing on a culturing cell using an inverted electron beam lithography system

    International Nuclear Information System (INIS)

    Hoshino, Takayuki; Mabuchi, Kunihiko

    2013-01-01

    Highlights: ► An electron beam lithography (EBL) was used as an in situ nano processing for a living cell. ► A synchronized optics was containing an inverted EBL and an optical microscope. ► This system visualized real-time images of the EB-induced nano processing. ► We demonstrated the nano processing for a culturing cell with 200–300 nm resolution. ► Our system would be able to provide high resolution display of virtual environments. -- Abstract: The beam profile of an electron beam (EB) can be focused onto less than a nanometer spot and scanned over a wide field with extremely high speed sweeping. Thus, EB is employed for nano scale lithography in applied physics research studies and in fabrication of semiconductors. We applied a scanning EB as a control system for a living cell membrane which is representative of large scale complex systems containing nanometer size components. First, we designed the opposed co-axial dual optics containing inverted electron beam lithography (I-EBL) system and a fluorescent optical microscope. This system could provide in situ nano processing for a culturing living cell on a 100-nm-thick SiN nanomembrane, which was placed between the I-EBL and the fluorescent optical microscope. Then we demonstrated the EB-induced chemical direct nano processing for a culturing cell with hundreds of nanometer resolution and visualized real-time images of the scanning spot of the EB-induced luminescent emission and chemical processing using a high sensitive camera mounted on the optical microscope. We concluded that our closed-loop in situ nano processing would be able to provide a nanometer resolution display of virtual molecule environments to study functional changes of bio-molecule systems

  1. Formation of Nano scale Bio imprints of Muscle Cells Using UV-Cured Spin-Coated Polymers

    International Nuclear Information System (INIS)

    Samsuri, F.; Alkaisi, M.M.; Mitchell, J.S.; Evans, J.J.

    2009-01-01

    We report a nano scale replication method suitable for biological specimens that has potential in single cell studies and in formation of 3D biocompatible scaffolds. Earlier studies using a heat-curable polydimethylsiloxane (PDMS) or a UV-curable elastomer introduced Bio imprint replication to facilitate cell imaging. However, the replicating conditions for thermal polymerization are known to cause cell dehydration during curing. In this study, a UV-cured methacrylate copolymer was developed for use in creating replicas of living cells and was tested on rat muscle cells. Bio imprints of muscle cells were formed by spin coating under UV irradiation. The polymer replicas were then separated from the muscle cells and were analyzed under an Atomic Force Microscope (AFM), in tapping mode, because it has low tip-sample forces and thus will not destroy the fine structures of the imprint. The new polymer is biocompatible with higher replication resolution and has a faster curing process than other types of silicon-based organic polymers such as PDMS. High resolution images of the muscle cell imprints showed the micro-and nano structures of the muscle cells, including cellular fibers and structures within the cell membranes. The AFM is able to image features at nano scale resolution with the potential for recognizing abnormalities on cell membranes at early stages of disease progression.

  2. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  3. High throughput nanoimprint lithography for semiconductor memory applications

    Science.gov (United States)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  4. Surface imprinting on nano-TiO{sub 2} as sacrificial material for the preparation of hollow chlorogenic acid imprinted polymer and its recognition behavior

    Energy Technology Data Exchange (ETDEWEB)

    Li Hui, E-mail: lihuijsdx@163.com [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Gui [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Zhiping; Lu Cuimei; Li Yanan [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Tan Xianzhou [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Used surface imprinting technique with nano-TiO{sub 2} as sacrificial support material. Black-Right-Pointing-Pointer Improved adsorption capability of the H-MIP1 compared with the previous work. Black-Right-Pointing-Pointer Excellent mass transfer dynamics for the H-MIP1. Black-Right-Pointing-Pointer Investigated adsorption thermodynamic of the H-MIP1. - Abstract: Surface imprinting chlorogenic acid (CGA) on nano-TiO{sub 2} particles as sacrificial support material was successfully performed by using 4-vinylpyridine (4-VP) as functional monomer to obtain a hollow CGA-imprinted polymer (H-MIP1). Fourier transmission infrared spectrometry (FTIR) and scanning electron microscopy (SEM) were utilized for structurally characterizing the polymers obtained and adsorption dynamics and thermodynamic behavior investigated according to different models. Binding selectivity, adsorption capacity and the reusability for this H-MIP1 were also evaluated. This hollow CGA imprinted polymer shows rapid binding dynamics and higher binding capability toward the template molecules. The pseudo first-order kinetic model was shown best to describe the binding process of CGA on the H-MIP1 and Langmuir isotherm model best to fit the experimental adsorption isotherm data. Through adsorption isotherms at different temperatures, thermodynamic parameter values were obtained. Selectivity coefficients for the H-MIP1 toward the template were 2.209, 3.213, 1.746 and 2.353 relative to CA, VA, PCA and GA, respectively. This H-MIP1 was also indicated with a good imprint effect and a high capability to capture CGA from methanol extract of Eucommia ulmoides (E. ulmoides) leaves. Additionally, a good reusability for this imprinted polymer was exhibited during repeated adsorption-desorption use.

  5. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  6. Fabrication of a Ni nano-imprint stamp for an anti-reflective layer using an anodic aluminum oxide template.

    Science.gov (United States)

    Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung

    2013-11-01

    Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.

  7. Effects of the process temperature and rolling speed on the thermal roll-to-roll imprint lithography of flexible polycarbonate film

    International Nuclear Information System (INIS)

    Sohn, Ki-Ju; Lee, Woo Il; Park, Jae Hong; Jang, Hyun-Ik; Lee, Dong-Eon

    2013-01-01

    Thermal roll-to-roll imprint lithography (R2RIL) is a simple and low-cost process for the mass production of micro/nanopatterns. However, in that it relies on highly viscous thermoplastic resists, it is limited in its ability to imprint precise patterns at a high speed. Moreover, the concentrated imprint force applied in R2RIL can damage the resist material which is structurally vulnerable at high process temperatures. Therefore, it is important to understand the temperature- and time-dependent characteristics of the resist material as well as the imprinting mechanism when using thermal R2RIL. In this work, the effects of the process temperature and rolling speed on thermal R2RIL of polycarbonate (PC) films were investigated to improve the process efficiency. Micro-scale line patterns were successfully transferred onto PC films from nickel (Ni) mold stamps. Consequently, line patterns with widths in the range of 5–80 µm were achieved at a traveling speed of 28.6 mm s –1 and process temperature of 150 °C, which is just above the glass transition temperature (T g ). In addition, the patterning performance was investigated for different temperatures, rolling speeds and pattern sizes. The imprinted pattern profiles were measured by an alpha-step surface profiler to investigate the patterning performance. The results show that a much better imprint performance was achieved at 150 °C, compared to the result at temperatures below T g . The physical mechanisms of thermal R2RIL on a PC film were studied by a finite-element analysis and the patterning process was successfully demonstrated by a visco-plastic deformation model. (paper)

  8. Duplex-imprinted nano well arrays for promising nanoparticle assembly

    Science.gov (United States)

    Li, Xiangping; Manz, Andreas

    2018-02-01

    A large area nano-duplex-imprint technique is presented in this contribution using natural cicada wings as stamps. The glassy wings of the cicada, which are abundant in nature, exhibit strikingly interesting nanopillar structures over their membrane. This technique, with excellent performance despite the nonplanar surface of the wings, combines both top-down and bottom-up nanofabrication techniques. It transitions micro-nanofabrication from a cleanroom environment to the bench. Two different materials, dicing tape with an acrylic layer and a UV optical adhesive, are used to make replications at the same time, thus achieving duplex imprinting. The promise of a large volume of commercial manufacturing of these nanostructure elements can be envisaged through this contribution to speeding up the fabrication process and achieving a higher throughput. The contact angle of the replicated nanowell arrays before and after oxygen plasma was measured. Gold nanoparticles (50 nm) were used to test how the nanoparticles behaved on the untreated and plasma-treated replica surface. The experiments show that promising nanoparticle self-assembly can be obtained.

  9. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  10. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  11. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    International Nuclear Information System (INIS)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas

    2009-01-01

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  12. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas, E-mail: nhtai@mx.nthu.edu.t [Department of Materials Science and Engineering, National Tsing Hua University, 101, Section 2, Kuang-Fu Road, Hsinchu, 30013, Taiwan (China)

    2009-07-29

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  13. Molecular Imprinting Techniques Used for the Preparation of Biosensors

    Directory of Open Access Journals (Sweden)

    Gizem Ertürk

    2017-02-01

    Full Text Available Molecular imprinting is the technology of creating artificial recognition sites in polymeric matrices which are complementary to the template in their size, shape and spatial arrangement of the functional groups. Molecularly imprinted polymers (MIPs and their incorporation with various transducer platforms are among the most promising approaches for detection of several analytes. There are a variety of molecular imprinting techniques used for the preparation of biomimetic sensors including bulk imprinting, surface imprinting (soft lithography, template immobilization, grafting, emulsion polymerization and epitope imprinting. This chapter presents an overview of all of these techniques with examples from particular publications.

  14. Metal films with imprinted nanostructures by template stripping

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    We present a novel template stripping procedure for fabricating metal films with imprinted nanostructures. The basic idea is to deposit a gold film onto a nano-structured substrate and subsequently strip the film from the substrate surface thereby revealing imprinted nanostructures in the film...... result is a thin gold film with imprinted nano-cavities....

  15. A compact system for large-area thermal nanoimprint lithography using smart stamps

    DEFF Research Database (Denmark)

    Pedersen, Rasmus Haugstrup; Hansen, Ole; Kristensen, Anders

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure...... for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment...

  16. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  17. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  18. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  19. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    Science.gov (United States)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  20. On the similarities between micro/nano lithography and topology optimization projection methods

    DEFF Research Database (Denmark)

    Jansen, Miche; Lazarov, Boyan Stefanov; Schevenels, Mattias

    2013-01-01

    The aim of this paper is to incorporate a model for micro/nano lithography production processes in topology optimization. The production process turns out to provide a physical analogy for projection filters in topology optimization. Blueprints supplied by the designers cannot be directly used...... as inputs to lithographic processes due to the proximity effect which causes rounding of sharp corners and geometric interaction of closely spaced design elements. Therefore, topology optimization is applied as a tool for proximity effect correction. Furthermore, it is demonstrated that the robust...... projection filter can be used to account for uncertainties due to lithographic production processes which results in manufacturable blueprint designs and eliminates the need for subsequent corrections....

  1. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  2. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  3. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  4. Experimental and Modeling Study of Solvent Diffusion in PDMS for Nanoparticle-Polymer Cosuspension Imprint Lithography.

    Science.gov (United States)

    Gervasio, Michelle; Lu, Kathy; Davis, Richey

    2015-09-15

    This study is the first that focuses on solvent migration in a polydimethylsiloxane (PDMS) stamp during the imprint lithography of ZnO-poly(methyl methacrylate) (PMMA) hybrid suspensions. Using suspensions with varying solids loading levels and ZnO/PMMA ratios, the uptake of the anisole solvent in the stamp is evaluated as a function of time. Laser confocal microscopy is employed as a unique technique to measure the penetration depth of the solvent into the stamp. The suspension solids loading affects the anisole saturation depth in the PDMS stamp. For the suspensions with low solids loading, the experimental data agree with the model for non-Fickian diffusion through a rubbery-elastic polymer. For the suspensions with high solids loading, the data agree more with a sigmoidal diffusion curve, reflecting the rubbery-viscous behavior of a swelling polymer. This difference is due to the degree of swelling in the PDMS. Higher solids loadings induce more swelling because the rate of anisole diffusing into the stamp is increased, likely due to the less dense buildup of the solids as the suspension dries.

  5. A compact system for large-area thermal nanoimprint lithography using smart stamps

    International Nuclear Information System (INIS)

    Pedersen, R H; Hansen, O; Kristensen, A

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment is presented. Test imprints of micrometer- and nanometer-scale structures are performed and characterized with respect to uniformity across a large area (35 mm radius). State-of-the-art uniformity for µm-scale features is demonstrated

  6. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  7. Defect reduction of patterned media templates and disks

    Science.gov (United States)

    Luo, Kang; Ha, Steven; Fretwell, John; Ramos, Rick; Ye, Zhengmao; Schmid, Gerard; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-05-01

    Imprint lithography has been shown to be an effective technique for the replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require a demonstration of defect levels commensurate with cost-effective device production. This work summarizes the results of defect inspections of hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical based automated inspection tools. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity and scattered light. Defects that have been identified in this manner are further characterized according to the morphology. The imprint process was tested after optimizing both the disk cleaning and adhesion layers processes that precede imprinting. An extended imprint run was performed and both the defect types and trends are reported.

  8. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  9. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  10. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  11. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  12. Dispersive solid-phase imprinting of proteins for the production of plastic antibodies

    DEFF Research Database (Denmark)

    Ashley, Jon; Feng, Xiaotong; Halder, Arnab

    2018-01-01

    We describe a novel dispersive solid-phase imprinting technique for the production of nano-sized molecularly imprinted polymers (nanoMIPs) as plastic antibodies. The template was immobilized on in-house synthesized magnetic microspheres instead of conventional glass beads. As a result, high...

  13. Nano-Imprint Lithography: Nanonex NX-2000

    Data.gov (United States)

    Federal Laboratory Consortium — Description:CORAL Name: NanoimprinterThis tool creates a pattern in a thin resist by embossing from a mold. The pattern is later transferred to the wafer by reactive...

  14. Dimensional characterization of biperiodic imprinted structures using optical scatterometry

    KAUST Repository

    Gereige, Issam

    2013-12-01

    In this paper, we report on the characterization of biperiodic imprinted structures using a non-destructive optical technique commonly called scatterometry. The nanostructures consist of periodic arrays of square and circular dots which were imprinted in a thermoplastic polymer by thermal nanoimprint lithography. Optical measurements were performed using spectroscopic ellipsometry in the spectral region of 1.5-4 eV. The geometrical profiles of the imprinted structures were reconstructed using the Rigorous Coupled-Wave Analysis (RCWA) to model the diffraction phenomena by periodic gratings. The technique was also adapted for large scale evaluation of the imprint process. Uniqueness of the solution was examined by analyzing the diffraction of the structure at different experimental conditions, for instance at various angles of incidence. © 2013 Elsevier B.V. All rights reserved.

  15. Synthesis of nano-sized arsenic-imprinted polymer and its use as As3+ selective ionophore in a potentiometric membrane electrode: Part 1

    International Nuclear Information System (INIS)

    Alizadeh, Taher; Rashedi, Mariyam

    2014-01-01

    Highlights: • The first arsenic cation-selective membrane electrode was introduced. • A novel procedure was introduced for the preparation of As-imprinted polymer. • It was found that arsenic is recognized by the IIP as As 3+ species. • Nernstian response of 20.4 mV decade −1 and DL of 0.5 μM was obtained. - Abstract: In this study, a new strategy was proposed for the preparation of As (III)-imprinted polymer by using arsenic (methacrylate) 3 as template. Precipitation polymerization was utilized to synthesize nano-sized As (III)-imprinted polymer. Methacrylic acid and ethylene glycol dimethacrylate were used as the functional monomer and cross-linking agent, respectively. In order to assembly functional monomers around As (III) ion, sodium arsenite and methacrylic acid were heated in the presence of hydroquinone, leading to arsenic (methacrylate) 3 . The nano-sized As (III) selective polymer was characterized by FT-IR and scanning electron microscopy techniques (SEM). It was demonstrated that arsenic was recognized as As 3+ by the selective cavities of the synthesized IIP. Based on the prepared polymer, the first arsenic cation selective membrane electrode was introduced. Membrane electrode was constructed by dispersion of As (III)-imprinted polymer nanoparticles in poly(vinyl chloride), plasticized with di-nonylphthalate. The IIP-modified electrode exhibited a Nernstian response (20.4 ± 0.5 mV decade −1 ) to arsenic ion over a wide concentration range (7.0 × 10 −7 to 1.0 × 10 −1 mol L −1 ) with a lower detection limit of 5.0 × 10 −7 mol L −1 . Unlike this, the non-imprinted polymer (NIP)-based membrane electrode was not sensitive to arsenic in aqueous solution. The selectivity of the developed sensor to As (III) was shown to be satisfactory. The sensor was used for arsenic determination in some real samples

  16. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  17. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  18. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  19. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  20. Roll-to-roll UV imprint for bottom-up transistor fabrication

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Wijnen, M.; Tacken, R.; Meinders, E.R.; Werf, R. van der

    2011-01-01

    We propose a design to fabricate transistors on flexible substrates in a bottom-up fashion using R2R UV-imprint lithography. The design consists of a template composed of multilevel as well as gray level features, the later used to facilitate device interconnection. A hard mold is fabricated by LBR

  1. A method for manufacturing a tool part for an injection molding process, a hot embossing process, a nano-imprint process, or an extrusion process

    DEFF Research Database (Denmark)

    2013-01-01

    The present invention relates to a method for manufacturing a tool part for an injection molding process, a hot embossing process, nano-imprint process or an extrusion process. First, there is provided a master structure (10) with a surface area comprising nanometre-sized protrusions (11...

  2. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  3. Advanced free-form micro tooling

    DEFF Research Database (Denmark)

    Tosello, Guido; Gavillet, J.

    2011-01-01

    -beam lithography and nano imprinting lithography [Che05][Che09] have high manufacturing cost and a low throughput. The aim was obtain large tool area with nano structures patterning without using energy intensive nano machining (e.g. focus ion beam, X-ray lithography, etc) but, instead, by exploiting the advantage......The present deliverable contains the report of the work and results achieved within the framework of WP 2.2 in Tasks 2.2.4 “Advanced free-form micro tooling” in experimental research done regarding practical applications of methods of applying nano structures to tooling solutions. As part of Task 2.......2.4, tests based on three different chemical-based-batch techniques to establish surface nano (i.e. sub-μm) structures on large tools area were performed. The three approached regarded: o Scheme 1  The use of Ø500nm nanobeads deposition for direct patterning of a Ø4inch. silicon wafer and subsequent nickel...

  4. Dense-plasma-driven ultrafast formation of FePt organization on ...

    Indian Academy of Sciences (India)

    1Kyushu Institute of Technology, Iizuka, Fukuoka 8208502, Japan. 2Department of Physics ... e-beam, and imprint lithography used for nano-patterning and array ... 2. Experimental. The plasma focus device (figure 1a) is a coaxial plasma gun.

  5. From Nano Structure to Systems: Fabrication and Characterization

    International Nuclear Information System (INIS)

    Uda Hashim

    2011-01-01

    Currently, the interest in nano technology research has been grown rapidly. With the latest technology, it is possible to arrange atoms into structures that are only a few nanometers in size. Dimension for nano structure is between 0.1 and 100nm where the actual size of 1nm is equal to 10-9 m or just about a few atoms thick. In other word, a nano structure is an object which it size is about four atom diameters or 1/50000 of a human hair. Due to the connecting of a patterned silicon substrate with biomolecules and the small size and large surface-to-volume ratio, it opens much new possibility for assembling nano structures.The ultimate goal is to fabricate devices that have every atom in the right place. Such technology would give the opportunity to minimize the size of a device and to reduce the material, energy and time necessary to perform its task. Potential applications include electrical circuits, mechanical devices and medical instruments. There are two most important nano structures that are extensively studied and researched in various organizations which are nano wire and nano gap. Nano wires is a new class of nano structure that have attracted attention and great research interest in the last few years because of their potential applications in nano technology such as nano electronic, nano mechanical and biomedical engineering. Fabrication of Nano wires is one of the great challenges today. Conventional lithography methods are not capable to produce Nano wires and even with advance nano lithography sizes below 100 nm may not easily be achieved. Nano wire can be produced in two approaches, which are top down and bottom-up method. Very small nano wires which can be produced by using top-down nano fabrication methods are Scanning Electron Microscope (SEM) based Electron Beam Lithography (EBL) method, and Spacer Patterning Lithography (SPL) method. The top-down nano fabrication method based on EBL was the design of the Nano wires Pattern Design (NPD). The

  6. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  7. Synthesis of nano-sized arsenic-imprinted polymer and its use as As{sup 3+} selective ionophore in a potentiometric membrane electrode: Part 1

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Taher, E-mail: Alizadeh@uma.ac.ir; Rashedi, Mariyam

    2014-09-16

    Highlights: • The first arsenic cation-selective membrane electrode was introduced. • A novel procedure was introduced for the preparation of As-imprinted polymer. • It was found that arsenic is recognized by the IIP as As{sup 3+} species. • Nernstian response of 20.4 mV decade{sup −1} and DL of 0.5 μM was obtained. - Abstract: In this study, a new strategy was proposed for the preparation of As (III)-imprinted polymer by using arsenic (methacrylate){sub 3} as template. Precipitation polymerization was utilized to synthesize nano-sized As (III)-imprinted polymer. Methacrylic acid and ethylene glycol dimethacrylate were used as the functional monomer and cross-linking agent, respectively. In order to assembly functional monomers around As (III) ion, sodium arsenite and methacrylic acid were heated in the presence of hydroquinone, leading to arsenic (methacrylate){sub 3}. The nano-sized As (III) selective polymer was characterized by FT-IR and scanning electron microscopy techniques (SEM). It was demonstrated that arsenic was recognized as As{sup 3+} by the selective cavities of the synthesized IIP. Based on the prepared polymer, the first arsenic cation selective membrane electrode was introduced. Membrane electrode was constructed by dispersion of As (III)-imprinted polymer nanoparticles in poly(vinyl chloride), plasticized with di-nonylphthalate. The IIP-modified electrode exhibited a Nernstian response (20.4 ± 0.5 mV decade{sup −1}) to arsenic ion over a wide concentration range (7.0 × 10{sup −7} to 1.0 × 10{sup −1} mol L{sup −1}) with a lower detection limit of 5.0 × 10{sup −7} mol L{sup −1}. Unlike this, the non-imprinted polymer (NIP)-based membrane electrode was not sensitive to arsenic in aqueous solution. The selectivity of the developed sensor to As (III) was shown to be satisfactory. The sensor was used for arsenic determination in some real samples.

  8. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  9. S-Layer Based Bio-Imprinting - Synthetic S-Layer Polymers

    Science.gov (United States)

    2015-07-09

    AFRL-OSR-VA-TR-2015-0161 S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers Dietmar Pum ZENTRUM FUER NANOBIOTECHNOLOGIE Final Report 07/09...COVERED (From - To)      01-06-2012 to 31-05-2015 4.  TITLE AND SUBTITLE S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers 5a.  CONTRACT...technology for the fabrication of nano patterned thin film imprints by using functional S-layer protein arrays as templates. The unique feature of

  10. Nucleation of microcrystalline silicon: on the effect of the substrate surface nature and nano-imprint topography

    International Nuclear Information System (INIS)

    Palmans, J; Faraz, T; Verheijen, M A; Kessels, W M M; Creatore, M

    2016-01-01

    The nucleation of microcrystalline silicon thin-films has been investigated for various substrate natures and topographies. An earlier nucleation onset on aluminium-doped zinc oxide compared to glass substrates has been revealed, associated with a microstructure enhancement and reduced surface energy. Both aspects resulted in a larger crystallite density, following classical nucleation theory. Additionally, the nucleation onset was (plasma deposition) condition-dependent. Therefore, surface chemistry and its interplay with the plasma have been proposed as key factors affecting nucleation and growth. As such, preliminary proof of the substrate nature’s role in microcrystalline silicon growth has been provided. Subsequently, the impact of nano-imprint lithography prepared surfaces on the initial microcrystalline silicon growth has been explored. Strong topographies, with a 5-fold surface area enhancement, led to a reduction in crystalline volume fraction of ∼20%. However, no correlation between topography and microstructure has been found. Instead, the suppressed crystallization has been partially ascribed to a reduced growth flux, limited surface diffusion and increased incubation layer thickness, originating from the surface area enhancement when transiting from flat to nanostructured surfaces. Furthermore, fundamental plasma parameters have been reviewed in relation with surface topography. Strong topographies are not expected to affect the ion-to-growth flux ratio. However, the reduced ion flux (due to increasing surface area) further limited the already weak ion energy transfer to surface processes. Additionally, the atomic hydrogen flux, i.e. the driving force for microcrystalline growth, has been found to decrease by a factor of 10 when transiting from flat to nanostructured topography. This resulted in an almost 6-fold reduction of the hydrogen-to-growth flux ratio, a much stronger effect than the ion-to-growth flux ratio. Since previous studies regarding

  11. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  12. Magnetic high throughput screening system for the development of nano-sized molecularly imprinted polymers for controlled delivery of curcumin.

    Science.gov (United States)

    Piletska, Elena V; Abd, Bashar H; Krakowiak, Agata S; Parmar, Anitha; Pink, Demi L; Wall, Katie S; Wharton, Luke; Moczko, Ewa; Whitcombe, Michael J; Karim, Kal; Piletsky, Sergey A

    2015-05-07

    Curcumin is a versatile anti-inflammatory and anti-cancer agent known for its low bioavailability, which could be improved by developing materials capable of binding and releasing drug in a controlled fashion. The present study describes the preparation of magnetic nano-sized Molecularly Imprinted Polymers (nanoMIPs) for the controlled delivery of curcumin and their high throughput characterisation using microtitre plates modified with magnetic inserts. NanoMIPs were synthesised using functional monomers chosen with the aid of molecular modelling. The rate of release of curcumin from five polymers was studied under aqueous conditions and was found to correlate well with the binding energies obtained computationally. The presence of specific monomers was shown to be significant in ensuring effective binding of curcumin and to the rate of release obtained. Characterisation of the polymer particles was carried out using dynamic light scattering (DLS) technique and scanning electron microscopy (SEM) in order to establish the relationship between irradiation time and particle size. The protocols optimised during this study could be used as a blueprint for the development of nanoMIPs capable of the controlled release of potentially any compound of interest.

  13. Nano-pyramid arrays for nano-particle trapping

    NARCIS (Netherlands)

    Sun, Xingwu; Veltkamp, Henk-Willem; Berenschot, Johan W.; Gardeniers, Johannes G.E.; Tas, Niels Roelof

    2016-01-01

    Abstract In this paper we present the drastic miniaturization of nano-wire pyramids fabricated by corner lithography. A particle trapping device was fabricated in a well-defined and symmetrical array. The entrance and exit hole-size can be tuned by adjusting fabrication parameters. We describe here

  14. Nano- and Micro-sized Molecularly Imprinted Polymer Particles on Solid Surfaces

    OpenAIRE

    Kamra, Tripta

    2015-01-01

    Molecularly imprinted polymers (MIPs) are artificial receptors made by imprinting template molecules in a polymer matrix followed by their removal through washing to obtain a specific and selective template cavities. This property of the MIPs have made them a very efficient material for diverse applications such as chromatography, purification, drug sensing, etc. Recently, zero-dimensional polymer materials, in the present case molecularly imprinted polymer nanoparticles (MIP nanoparticles), ...

  15. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  16. Fabrication and characterization of free-standing, high-line-density transmission gratings for the vacuum UV to soft X-ray range

    NARCIS (Netherlands)

    Goh, S.J.; Bastiaens, Hubertus M.J.; Vratzov, B.; Huang, Qiushi; Bijkerk, Frederik; Boller, Klaus J.

    2015-01-01

    We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density

  17. Light extraction efficiency improvement in GaN-based blue light emitting diode with two-dimensional nano-cavity structure

    International Nuclear Information System (INIS)

    Cho, Joong-Yeon; Hong, Sung-Hoon; Byeon, Kyeong-Jae; Lee, Heon

    2012-01-01

    The light extraction efficiency of light emitting diode (LED) devices was improved by embedding nano-sized two-dimensional, air cavity photonic crystal (PC) structure on the indium tin oxide (ITO) layer of GaN-based LEDs. The embedded air cavity PC structure was fabricated using a reversal imprint lithography technique. The nano-cavity patterns had a width of 560 nm, a space of 240 nm and a height of 280 nm. According to current–voltage characterization, the electrical performance of the LED devices was not degraded by the fabrication process of air cavity PC structure. The optical output power of the LED device was increased by up to 10% at a drive current of 20 mA by forming the nano-cavity PC structure on the transparent electrode of the blue LED device, which was grown on a patterned sapphire substrate, to maximize the photon extraction. Since photons are scattered with cavities and are unaffected by the packaging process, which is the encapsulation of a LED device with epoxy resin, this enhancement in light extraction efficiency will not be decreased after the packaging process.

  18. Synthesis of nano-sized hydrogen phosphate-imprinted polymer in acetonitrile/water mixture and its use as a recognition element of hydrogen phosphate selective all-solid state potentiometric electrode.

    Science.gov (United States)

    Alizadeh, Taher; Atayi, Khalil

    2018-02-01

    Herein, a new recipe is introduced for the preparation of hydrogen phosphate ion-imprinted polymer nanoparticles (nano-IIP) in acetonitrile/water (63.5:36.5) using phosphoric acid as the template. The nano-IIP obtained was used as the recognition element of a carbon paste potentiometric sensor. The IIP electrode showed a Nernstian response to hydrogen phosphate anion; whereas, the non-imprinted polymer (NIP)-based electrode had no considerable sensitivity to the anion. The presence of both methacrylic acid and vinyl pyridine in the IIP structure, as well as optimization of the functional monomers-template proportion, was found to be important to observe the sensing capability of the IIP electrode. The nano-IIP electrode showed a dynamic linear range of 1 × 10 -5 -1 × 10 -1  mol L-1, Nernstian slope of 30.6 ± (0.5) mV decade -1 , response time of 25 seconds, and detection limit of 4.0 × 10 -6  mol L -1 . The utility of the electrodes was checked by potentiometric titration of hydrogen phosphate with La 3+ solution. Copyright © 2017 John Wiley & Sons, Ltd.

  19. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    Science.gov (United States)

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  20. Molecularly imprinted nanoparticles for inhibiting ribonuclease in reverse transcriptase polymerase chain reaction

    DEFF Research Database (Denmark)

    Feng, Xiaotong; Ashley, Jon; Zhou, Tongchang

    2018-01-01

    Molecularly imprinted nanoparticles (nanoMIPs) are synthesized via a solid-phase approach using RNase as the template. The feasibility of employing the nanoMIPs as RNase inhibitor is successfully demonstrated in reverse transcriptase polymerase chain reaction (RT-PCR) assays, suggesting the tailor...

  1. Replacement of Antibodies in Pseudo-ELISAs: Molecularly Imprinted Nanoparticles for Vancomycin Detection.

    Science.gov (United States)

    Canfarotta, Francesco; Smolinska-Kempisty, Katarzyna; Piletsky, Sergey

    2017-01-01

    The enzyme-linked immunosorbent assay (ELISA) is a widely employed analytical test used to quantify a given molecule. It relies on the use of specific antibodies, linked to an enzyme, to target the desired molecule. The reaction between the enzyme and its substrate gives rise to the analytical signal that can be quantified. Thanks to their robustness and low cost, molecularly imprinted polymer nanoparticles (nanoMIPs) are a viable alternative to antibodies. Herein, we describe the synthesis of nanoMIPs imprinted for vancomycin and their subsequent application in an ELISA-like format for direct replacement of antibodies.

  2. Large-area metallic photonic lattices for military applications.

    Energy Technology Data Exchange (ETDEWEB)

    Luk, Ting Shan

    2007-11-01

    In this project we developed photonic crystal modeling capability and fabrication technology that is scaleable to large area. An intelligent optimization code was developed to find the optimal structure for the desired spectral response. In terms of fabrication, an exhaustive survey of fabrication techniques that would meet the large area requirement was reduced to Deep X-ray Lithography (DXRL) and nano-imprint. Using DXRL, we fabricated a gold logpile photonic crystal in the <100> plane. For the nano-imprint technique, we fabricated a cubic array of gold squares. These two examples also represent two classes of metallic photonic crystal topologies, the connected network and cermet arrangement.

  3. Manufacturing at Nanoscale: Top-Down, Bottom-up and System Engineering

    International Nuclear Information System (INIS)

    Zhang Xiang; Sun Cheng; Fang, Nicholas

    2004-01-01

    The current nano-technology revolution is facing several major challenges: to manufacture nanodevices below 20 nm, to fabricate three-dimensional complex nano-structures, and to heterogeneously integrate multiple functionalities. To tackle these grand challenges, the Center for Scalable and Integrated NAno-Manufacturing (SINAM), a NSF Nanoscale Science and Engineering Center, set its goal to establish a new manufacturing paradigm that integrates an array of new nano-manufacturing technologies, including the plasmonic imaging lithography and ultramolding imprint lithography aiming toward critical resolution of 1-10 nm and the hybrid top-down and bottom-up technologies to achieve massively parallel integration of heterogeneous nanoscale components into higher-order structures and devices. Furthermore, SINAM will develop system engineering strategies to scale-up the nano-manufacturing technologies. SINAMs integrated research and education platform will shed light to a broad range of potential applications in computing, telecommunication, photonics, biotechnology, health care, and national security

  4. Synthesis of thermo-responsive bovine hemoglobin imprinted nanoparticles by combining ionic liquid immobilization with aqueous precipitation polymerization.

    Science.gov (United States)

    Wang, Yongmei; Yang, Chongchong; Sun, Yan; Qiu, Fengtao; Xiang, Yang; Fu, Guoqi

    2018-02-01

    Surface molecular imprinting over functionalized nanoparticles has proved to be an effective approach for construction of artificial nanomaterials for protein recognition. Herein, we report a strategy for synthesis of core-shell protein-imprinted nanoparticles by the functionalization of nano-cores with ionic liquids followed by aqueous precipitation polymerization to build thermo-responsive imprinted polymer nano-shells. The immobilized ionic liquids can form multiple interactions with the protein template. The polymerization process can produce thermo-reversible physical crosslinks, which are advantageous to enhancing imprinting and facilitating template removal. With bovine hemoglobin as a model template, the imprinted nanoparticles showed temperature-sensitivity in both dispersion behaviors and rebinding capacities. Compared with the ionic-liquid-modified core nanoparticles, the imprinted particles exhibited greatly increased selectivity and two orders of magnitude higher binding affinity for the template protein. The imprinted nanoparticles achieved relatively high imprinting factor up to 5.0 and specific rebinding capacity of 67.7 mg/g, respectively. These nanoparticles also demonstrated rapid rebinding kinetics and good reproducibility after five cycles of adsorption-regeneration. Therefore, the presented approach may be viable for the fabrication of high-performance protein-imprinted nanoparticles with temperature sensitivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  6. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  7. Interfacial pattern changes of imprinted multilayered material in milli- and microscales

    Science.gov (United States)

    Yonekura, Kazuhiro; Tokumaru, Kazuki; Tsumori, Fujio

    2018-06-01

    Nanoimprint lithography (NIL) is a technique that transfers a mold pattern of nanometer order to the surface of a resist material by heating and pressing. NIL is an excellent technology in terms of high productivity, accuracy, and resolution. Recently, NIL has been applied to the processing of different multilayered materials, in which it is possible to process multiple materials simultaneously. In this processing of multilayered materials, it is possible to form an interfacial pattern between the upper layer and the lower layer simultaneously with patterning on the mold surface. This interface pattern can be controlled by the deformation characteristics, initial thickness, and so forth. In this research, we compared the interfacial pattern changes of imprinted multilayered materials in milli- and microscales. For multilayered imprint using multiple materials, it is important to know the flow of the resist and its dependence on the scale. If there is similarity in the relationship produced by the scale on the imprinted samples, a process design with a number of feedbacks could be realized. It also becomes easier to treat structures in the millimeter scale for the experiment. In this study, we employed micropowder imprint (µPI) for multilayered material imprint. A compound sheet of alumina powder and polymer binder was used for imprint. Two similar experiments in different scales, micro- and millimeter scales, were carried out. Results indicate that the interfacial patterns of micro- and millimeter-scale-imprinted samples are similar.

  8. Estrone specific molecularly imprinted polymeric nanospheres: synthesis, characterization and applications for electrochemical sensor development.

    Science.gov (United States)

    Congur, Gulsah; Senay, Hilal; Turkcan, Ceren; Canavar, Ece; Erdem, Arzum; Akgol, Sinan

    2013-06-28

    The aim of this study is (i) to prepare estrone-imprinted nanospheres (nano-EST-MIPs) and (ii) to integrate them into the electrochemical sensor as a recognition layer. N-methacryloyl-(l)-phenylalanine (MAPA) was chosen as the complexing monomer. Firstly, estrone (EST) was complexed with MAPA and the EST-imprinted poly(2-hyroxyethylmethacrylate-co-N-methacryloyl-(l)-phenylalanine) [EST-imprinted poly(HEMA-MAPA)] nanospheres were synthesized by surfactant- free emulsion polymerization method. The specific surface area of the EST-imprinted poly(HEMA-MAPA) nanospheres was found to be 1275 m2/g with a size of 163.2 nm in diameter. According to the elemental analysis results, the nanospheres contained 95.3 mmole MAPA/g nanosphere. The application of EST specific MIP nanospheres for the development of an electrochemical biosensor was introduced for the first time in our study by using electrochemical impedance spectroscopy (EIS) technique. This nano-MIP based sensor presented a great specificity and selectivity for EST.

  9. Nano-Sized Cyclodextrin-Based Molecularly Imprinted Polymer Adsorbents for Perfluorinated Compounds—A Mini-Review

    Directory of Open Access Journals (Sweden)

    Abdalla H. Karoyo

    2015-06-01

    Full Text Available Recent efforts have been directed towards the design of efficient and contaminant selective remediation technology for the removal of perfluorinated compounds (PFCs from soils, sediments, and aquatic environments. While there is a general consensus on adsorption-based processes as the most suitable methodology for the removal of PFCs from aquatic environments, challenges exist regarding the optimal materials design of sorbents for selective uptake of PFCs. This article reviews the sorptive uptake of PFCs using cyclodextrin (CD-based polymer adsorbents with nano- to micron-sized structural attributes. The relationship between synthesis of adsorbent materials and their structure relate to the overall sorption properties. Hence, the adsorptive uptake properties of CD-based molecularly imprinted polymers (CD-MIPs are reviewed and compared with conventional MIPs. Further comparison is made with non-imprinted polymers (NIPs that are based on cross-linking of pre-polymer units such as chitosan with epichlorohydrin in the absence of a molecular template. In general, MIPs offer the advantage of selectivity, chemical tunability, high stability and mechanical strength, ease of regeneration, and overall lower cost compared to NIPs. In particular, CD-MIPs offer the added advantage of possessing multiple binding sites with unique physicochemical properties such as tunable surface properties and morphology that may vary considerably. This mini-review provides a rationale for the design of unique polymer adsorbent materials that employ an intrinsic porogen via incorporation of a macrocyclic compound in the polymer framework to afford adsorbent materials with tunable physicochemical properties and unique nanostructure properties.

  10. Nano-Sized Cyclodextrin-Based Molecularly Imprinted Polymer Adsorbents for Perfluorinated Compounds—A Mini-Review

    Science.gov (United States)

    Karoyo, Abdalla H.; Wilson, Lee D.

    2015-01-01

    Recent efforts have been directed towards the design of efficient and contaminant selective remediation technology for the removal of perfluorinated compounds (PFCs) from soils, sediments, and aquatic environments. While there is a general consensus on adsorption-based processes as the most suitable methodology for the removal of PFCs from aquatic environments, challenges exist regarding the optimal materials design of sorbents for selective uptake of PFCs. This article reviews the sorptive uptake of PFCs using cyclodextrin (CD)-based polymer adsorbents with nano- to micron-sized structural attributes. The relationship between synthesis of adsorbent materials and their structure relate to the overall sorption properties. Hence, the adsorptive uptake properties of CD-based molecularly imprinted polymers (CD-MIPs) are reviewed and compared with conventional MIPs. Further comparison is made with non-imprinted polymers (NIPs) that are based on cross-linking of pre-polymer units such as chitosan with epichlorohydrin in the absence of a molecular template. In general, MIPs offer the advantage of selectivity, chemical tunability, high stability and mechanical strength, ease of regeneration, and overall lower cost compared to NIPs. In particular, CD-MIPs offer the added advantage of possessing multiple binding sites with unique physicochemical properties such as tunable surface properties and morphology that may vary considerably. This mini-review provides a rationale for the design of unique polymer adsorbent materials that employ an intrinsic porogen via incorporation of a macrocyclic compound in the polymer framework to afford adsorbent materials with tunable physicochemical properties and unique nanostructure properties. PMID:28347047

  11. A 3D-printed device for polymer nanoimprint lithography

    Science.gov (United States)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  12. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    Science.gov (United States)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  13. Imprinted and injection-molded nano-structured optical surfaces

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik

    2013-01-01

    . In this paper, nanostructured polymer surfaces suitable for up-scalable polymer replication methods, such as imprinting/embossing and injection-molding, are discussed. The limiting case of injection-moulding compatible designs is investigated. Anti-reflective polymer surfaces are realized by replication...

  14. High resolution beam profiling of X-ray free electron laser radiation by polymer imprint development.

    Science.gov (United States)

    Rösner, Benedikt; Döring, Florian; Ribič, Primož R; Gauthier, David; Principi, Emiliano; Masciovecchio, Claudio; Zangrando, Marco; Vila-Comamala, Joan; De Ninno, Giovanni; David, Christian

    2017-11-27

    High resolution metrology of beam profiles is presently a major challenge at X-ray free electron lasers. We demonstrate a characterization method based on beam imprints in poly (methyl methacrylate). By immersing the imprints formed at 47.8 eV into organic solvents, the regions exposed to the beam are removed similar to resist development in grayscale lithography. This allows for extending the sensitivity of the method by more than an order of magnitude compared to the established analysis of imprints created solely by ablation. Applying the Beer-Lambert law for absorption, the intensity distribution in a micron-sized focus can be reconstructed from one single shot with a high dynamic range, exceeding 10 3 . The procedure described here allows for beam characterization at free electron lasers revealing even faint beam tails, which are not accessible when using ablation imprint methods. We demonstrate the greatly extended dynamic range on developed imprints taken in focus of conventional Fresnel zone plates and spiral zone plates producing beams with a topological charge.

  15. Efficient methods of nanoimprint stamp cleaning based on imprint self-cleaning effect

    Energy Technology Data Exchange (ETDEWEB)

    Meng Fantao; Chu Jinkui [Key Laboratory for Micro/Nano Technology and System of Liaoning Province, Dalian University of Technology, 116024 Dalian (China); Luo Gang; Zhou Ye; Carlberg, Patrick; Heidari, Babak [Obducat AB, SE-20125 Malmoe (Sweden); Maximov, Ivan; Montelius, Lars; Xu, H Q [Division of Solid State Physics, Lund University, Box 118, S-22100 Lund (Sweden); Nilsson, Lars, E-mail: ivan.maximov@ftf.lth.se [Department of Food Technology, Engineering and Nutrition, Lund University, Box 117, S-22100 Lund (Sweden)

    2011-05-06

    Nanoimprint lithography (NIL) is a nonconventional lithographic technique that promises low-cost, high-throughput patterning of structures with sub-10 nm resolution. Contamination of nanoimprint stamps is one of the key obstacles to industrialize the NIL technology. Here, we report two efficient approaches for removal of typical contamination of particles and residual resist from stamps: thermal and ultraviolet (UV) imprinting cleaning-both based on the self-cleaning effect of imprinting process. The contaminated stamps were imprinted onto polymer substrates and after demolding, they were treated with an organic solvent. The images of the stamp before and after the cleaning processes show that the two cleaning approaches can effectively remove contamination from stamps without destroying the stamp structures. The contact angles of the stamp before and after the cleaning processes indicate that the cleaning methods do not significantly degrade the anti-sticking layer. The cleaning processes reported in this work could also be used for substrate cleaning.

  16. Scanning ion microscopy with low energy lithium ions

    International Nuclear Information System (INIS)

    Twedt, Kevin A.; Chen, Lei; McClelland, Jabez J.

    2014-01-01

    Using an ion source based on photoionization of laser-cooled lithium atoms, we have developed a scanning ion microscope with probe sizes of a few tens of nanometers and beam energies from 500 eV to 5 keV. These beam energies are much lower than the typical operating energies of the helium ion microscope or gallium focused ion beam systems. We demonstrate how low energy can be advantageous in ion microscopy when detecting backscattered ions, due to a decreased interaction volume and the potential for surface sensitive composition analysis. As an example application that demonstrates these advantages, we non-destructively image the removal of a thin residual resist layer during plasma etching in a nano-imprint lithography process. - Highlights: • We use an ion source based on photoionization of laser-cooled lithium atoms. • The ion source makes possible a low energy (500 eV to 5 keV) scanning ion microscope. • Low energy is preferred for ion microscopy with backscattered ions. • We use the microscope to image a thin resist used in nano-imprint lithography

  17. Fabrication of subwavelength metallic structures by using a metal direct imprinting process

    International Nuclear Information System (INIS)

    Hsieh, C W; Hsiung, H Y; Lu, Y T; Sung, C K; Wang, W H

    2007-01-01

    This work employs a metal direct imprinting process, which possesses the characteristics of simplicity, low-cost and high resolution, for the fabrication of subwavelength structures on a metallic thin film. Herein, the mould featuring periodic line structures is manufactured by using E-beam lithography and followed by a dry etching process; meanwhile, the thin film is fabricated by sputtering Al on a silicon substrate. AFM section analyses are employed to measure imprinting depths of the subwavelength metallic structures and it is found that the uniformity of the imprinting depths is affected by the designed patterns, the material property of thin film and mould deformation. The process temperature and the mould filling that influence the transferred quality are investigated. In addition, TEM is also utilized to examine defects in the subwavelength metallic structures. Finally, good quality subwavelength metallic structures are fabricated under a pressure of 300 MPa for 60 s at room temperature. In this study, we have demonstrated that subwavelength metallic structures with a minimum linewidth of less than 100 nm on the Al thin film are successfully constructed by the metal direct imprinting process

  18. Synthesis of nano-sized stereoselective imprinted polymer by copolymerization of (S)-2-(acrylamido) propanoic acid and ethylene glycol dimethacrylate in the presence of racemic propranolol and copper ion

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Taher, E-mail: talizadeh@ut.ac.ir [Department of Analytical Chemistry, Faculty of Chemistry, University College of Science, University of Tehran, P.O. Box 14155-6455, Tehran (Iran, Islamic Republic of); Bagherzadeh, Azam; Shamkhali, Amir Nasser [Department of Applied Chemistry, Faculty of Science, University of Mohaghegh Ardabili, Ardabil (Iran, Islamic Republic of)

    2016-06-01

    A new chiral functional monomer of (S)-2-(acrylamido) propanoic acid was obtained by reaction of (L)-alanine with acryloyl chloride. The resulting monomer was characterized by FT-IR and HNMR and then utilized for the preparation of chiral imprinted polymer (CIP). This was carried out by copolymerization of (L)-alanine-derived chiral monomer and ethylene glycol dimethacrylate, in the presence of racemic propranolol and copper nitrate, via precipitation polymerization technique, resulting in nano-sized networked polymer particles. The polymer obtained was characterized by scanning electron microscopy and FT-IR. The non-imprinted polymer was also synthesized and used as blank polymer. Density functional theory (DFT) was also employed to optimize the structures of two diasterometric ternary complexes, suspected to be created in the pre-polymerization step, by reaction of optically active isomers of propranolol, copper ion and (S)-2-(acrylamido) propanoic acid. Relative energies and other characteristics of the described complexes, calculated by the DFT, predicted the higher stability of (S)-propranolol involved complex, compared to (R)-propranolol participated complex. Practical batch extraction test which employed CIP as solid phase adsorbent, indicated that the CIP recognized selectively (S)-propranolol in the racemic mixture of propranolol; whereas, the non-imprinted polymer (NIP) showed no differentiation capability between two optically active isomers of propranolol. - Highlights: • A new chiral functional monomer of (S)-2-(acrylamido) propanoic acid was synthesized. • (S)-propranolol-selective imprinted polymer was synthesized using the chiral monomer. • Racemic propranolol mixed with Cu(II) was used as template in the imprinting. • Density functional theory was employed to clarify the imprinting mechanism. • (S)-propranolol-Cu(II) complex was shown to conduct the imprinting process.

  19. An assessment of the process capabilities of nanoimprint lithography

    Science.gov (United States)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  20. Measurement of pull-off force on imprinted nanopatterns in an inert liquid

    International Nuclear Information System (INIS)

    Kim, Jae Kwan; Lee, Dong Eon; Lee, Woo Il; Suh, Kahp Y

    2010-01-01

    We report on the measurement of the pull-off force on nanoscale patterns that are formed by thermal nanoimprint lithography (t-NIL). Various patterns with feature sizes in the range of 50-900 nm were fabricated on silicon substrates using a rigiflex polymeric mold of ultraviolet curable polyurethane acrylate (PUA, Young's modulus ∼ 1 GPa) or perfluoropolyether (PFPE, Young's modulus ∼ 10.5 MPa) and a resist layer of polystyrene (PS) of three different molecular weights (M w = 18 100, 211 600 and 2043 000). The pull-off force was measured in non-polar, non-reactive perfluorodecalin (PFD) solvent between a sharp atomic force microscopy (AFM) tip and an imprinted pattern. Our experimental data demonstrated that the measured pull-off forces were in good agreement with a simple adhesion model based on Lifshitz theory. Also, the force on the pressed region (valley) is higher than that on the cavity region (hill), with the ratio (hill/valley) decreasing with the decrease of pattern size and the increase of molecular weight. The confinement effects were more pronounced for smaller patterns ( w = 211 600 and 2043 000) presumably due to sluggish movement of polymer chains into nano-cavities. Finally, the experimental observations were compared with molecular dynamic simulations based on a simplified amorphous polyethylene model.

  1. Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting

    DEFF Research Database (Denmark)

    Cuesta, Irene Fernandez; Palmarelli, Anna Laura; Liang, Xiaogan

    2011-01-01

    In this work, we propose an innovative approach to the fabrication of a complete micro/nano fluidic system, based on direct nanoimprint lithography. The fabricated device consists of nanochannels connected to U-shaped microchannels by triangular tapered inlets, and has four large reservoirs for l...

  2. Fabrication of Photonic Crystal Structures on Flexible Organic Light-Emitting Diodes by Using Nano-Imprint and PDMS Mold

    Directory of Open Access Journals (Sweden)

    Ho Ting-Lin

    2016-01-01

    Full Text Available In this paper, nanoimprint lithography was used to create a photonic crystals structure film in organic light-emitting diode (OLED component, and then compare the efficiency of components whether with nanostructure or not. By using two different kinds of mold, such as silicon mold and PDMS mold, the nano structures in PMMA (molecular weight of 350K were fabricated. Nanostructures in period of 403.53nm with silicon mold and nano structures in period of 385.64nm with PDMS mold as photonic crystal films were fabricated and were integrated into OLED. In experimental results, the OLED without photonic crystal films (with packing behaves 193.3cd/m2 for luminous intensity, 3.481cd/A for lightening efficiency (ηL and 0.781 lm/W for lightening power (ηP where V is 14V and I is 5.5537mA; the OLED with photonic crystal films (with packing behaves 241.6cd/m2 for luminous intensity, 4.173cd/A for lightening efficiency (ηL and 0.936 lm/W for lightening power (ηP where voltage of 14V and current (I of 5.7891mA, which shows that the latter perform is well.

  3. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  4. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  5. Electrical characterization of Ge–Sb–Te phase change nano-pillars using conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Bae, Byeong-Ju; Hong, Sung-Hoon; Hwang, Seon-Yong; Hwang, Jae-Yeon; Yang, Ki-Yeon; Lee, Heon

    2009-01-01

    The electrical characteristic of phase change material was studied in nano-scale using nanoimprint lithography and a conducting atomic force microscopy measurement system. Nanoimprint lithography was used to fabricate the nano-scale phase change material pattern. A Pt-coated AFM tip was used as a top electrode to measure the electrical characteristics of the GST nano-pillar. The GST nano-pillar, which is 200 nm in diameter, was amorphized by 2 V and 5 ns reset pulse and was then brought back to the crystalline phase by applying 1.3 V and 150 ns set pulse. Using this measurement system, the GST nano-pillar was switched between the amorphous and crystalline phases more than five times. The results of the reset and the set current measurement with the GST nano-pillar sizes show that the reset and the set currents also decreased with the decrease of the GST pillar size

  6. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  7. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  8. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  9. Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Wu Wei; Hu Min; Ou Fungsuong; Li Zhiyong; Williams, R Stanley

    2010-01-01

    We demonstrated a cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL). Cones with tip radius of less than 10 nm were successfully duplicated onto the UV-curable imprint resist materials from the silicon cone templates. Such cone structures were shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates. In contrast to the silicon nanocones, the SERS substrates based on the Au coated cones made by the NIL offered significant improvement of the SERS signal. A further improvement of the SERS signal was observed when the polymer cones were imprinted onto a reflective metallic mirror surface. A sub-zeptomole detection sensitivity for a model molecule, trans-1,2-bis(4-pyridyl)-ethylene (BPE), on the Au coated NIL cone surfaces was achieved.

  10. Periodic nanostructures imprinted on high-temperature stable sol–gel films by ultraviolet-based nanoimprint lithography for photovoltaic and photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Back, Franziska [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany); Bockmeyer, Matthias; Rudigier-Voigt, Eveline [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Löbmann, Peer [Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-07-01

    Nanostructured sol–gel films with high-temperature stability are used in the area of electronics, photonics or biomimetic materials as light-trapping architectures in solar cells, displays, waveguides or as superhydrophobic surfaces with a lotus effect. In this work, high-temperature stable 2-μm nanostructured surfaces were prepared by ultraviolet-based nanoimprint lithography using an alkoxysilane binder incorporating modified silica nanoparticles. Material densification during thermal curing and microstructural evolution which are destined for a high structural fidelity of nanostructured films were investigated in relation to precursor chemistry, particle morphology and particle content of the imprint resist. The mechanism for densification and shrinkage of the films was clarified and correlated with the structural fidelity to explain the influence of the geometrical design on the optical properties. A high internal coherence of the microstructure of the nanostructured films results in a critical film thickness of > 5 μm. The structured glassy layers with high inorganic content show thermal stability up to 800 °C and have a high structural fidelity > 90% with an axial shrinkage of 16% and a horizontal shrinkage of 1%. This material allows the realization of highly effective light-trapping architectures for polycrystalline silicon thin-film solar cells on glass but also for the preparation of 2D photonic crystals for telecommunication wavelengths. - Highlights: • Fundamental research • Hybrid sol–gel material with high-temperature stability and contour accuracy • Ensuring of cost-efficient and industrially feasible processing • Application in photonic and photovoltaic.

  11. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  12. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting

    International Nuclear Information System (INIS)

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-01-01

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132 0 ± 2 0 , which was slightly lower than that of the original cicada wing (138 0 ± 2 0 ), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86 0 ).

  13. The fabrication and single electron transport of Au nano-particles placed between Nb nanogap electrodes

    International Nuclear Information System (INIS)

    Nishino, T; Negishi, R; Ishibashi, K; Kawao, M; Nagata, T; Ozawa, H

    2010-01-01

    We have fabricated Nb nanogap electrodes using a combination of molecular lithography and electron beam lithography. Au nano-particles with anchor molecules were placed in the gap, the width of which could be controlled on a molecular scale (∼2 nm). Three different anchor molecules which connect the Au nano-particles and the electrodes were tested to investigate their contact resistance, and a local gate was fabricated underneath the Au nano-particles. The electrical transport measurements at liquid helium temperatures indicated single electron transistor (SET) characteristics with a charging energy of about ∼ 5 meV, and a clear indication of the effect of superconducting electrodes was not observed, possibly due to the large tunnel resistance.

  14. Highly selective solid phase extraction and preconcentration of Azathioprine with nano-sized imprinted polymer based on multivariate optimization and its trace determination in biological and pharmaceutical samples

    Energy Technology Data Exchange (ETDEWEB)

    Davarani, Saied Saeed Hosseiny, E-mail: ss-hosseiny@cc.sbu.ac.ir [Faculty of Chemistry, Shahid Beheshti University, G. C., P.O. Box 19839-4716, Tehran (Iran, Islamic Republic of); Rezayati zad, Zeinab [Faculty of Chemistry, Shahid Beheshti University, G. C., P.O. Box 19839-4716, Tehran (Iran, Islamic Republic of); Taheri, Ali Reza; Rahmatian, Nasrin [Islamic Azad University, Ilam Branch, Ilam (Iran, Islamic Republic of)

    2017-02-01

    In this research, for first time selective separation and determination of Azathioprine is demonstrated using molecularly imprinted polymer as the solid-phase extraction adsorbent, measured by spectrophotometry at λ{sub max} 286 nm. The selective molecularly imprinted polymer was produced using Azathioprine and methacrylic acid as a template molecule and monomer, respectively. A molecularly imprinted solid-phase extraction procedure was performed in column for the analyte from pharmaceutical and serum samples. The synthesized polymers were characterized by infrared spectroscopy (IR), field emission scanning electron microscopy (FESEM). In order to investigate the effect of independent variables on the extraction efficiency, the response surface methodology (RSM) based on Box–Behnken design (BBD) was employed. The analytical parameters such as precision, accuracy and linear working range were also determined in optimal experimental conditions and the proposed method was applied to analysis of Azathioprine. The linear dynamic range and limits of detection were 2.5–0.01 and 0.008 mg L{sup ‐1} respectively. The recoveries for analyte were higher than 95% and relative standard deviation values were found to be in the range of 0.83–4.15%. This method was successfully applied for the determination of Azathioprine in biological and pharmaceutical samples. - Graphical abstract: A new-nano sized imprinted polymer was synthesized and applied as sorbent in SPE in order to selective recognition, preconcentration, and determination of Azathioprine with the response surface methodology based on Box–Behnken design and was successfully investigated for the clean-up of human blood serum and pharmaceutical samples. - Highlights: • The nanosized-imprinted polymer has been synthesized by precipitation polymerization technique. • A molecularly imprinted solid-phase extraction procedure was performed for determination of Azathioprine. • The Azathioprine

  15. Highly selective solid phase extraction and preconcentration of Azathioprine with nano-sized imprinted polymer based on multivariate optimization and its trace determination in biological and pharmaceutical samples

    International Nuclear Information System (INIS)

    Davarani, Saied Saeed Hosseiny; Rezayati zad, Zeinab; Taheri, Ali Reza; Rahmatian, Nasrin

    2017-01-01

    In this research, for first time selective separation and determination of Azathioprine is demonstrated using molecularly imprinted polymer as the solid-phase extraction adsorbent, measured by spectrophotometry at λ max 286 nm. The selective molecularly imprinted polymer was produced using Azathioprine and methacrylic acid as a template molecule and monomer, respectively. A molecularly imprinted solid-phase extraction procedure was performed in column for the analyte from pharmaceutical and serum samples. The synthesized polymers were characterized by infrared spectroscopy (IR), field emission scanning electron microscopy (FESEM). In order to investigate the effect of independent variables on the extraction efficiency, the response surface methodology (RSM) based on Box–Behnken design (BBD) was employed. The analytical parameters such as precision, accuracy and linear working range were also determined in optimal experimental conditions and the proposed method was applied to analysis of Azathioprine. The linear dynamic range and limits of detection were 2.5–0.01 and 0.008 mg L ‐1 respectively. The recoveries for analyte were higher than 95% and relative standard deviation values were found to be in the range of 0.83–4.15%. This method was successfully applied for the determination of Azathioprine in biological and pharmaceutical samples. - Graphical abstract: A new-nano sized imprinted polymer was synthesized and applied as sorbent in SPE in order to selective recognition, preconcentration, and determination of Azathioprine with the response surface methodology based on Box–Behnken design and was successfully investigated for the clean-up of human blood serum and pharmaceutical samples. - Highlights: • The nanosized-imprinted polymer has been synthesized by precipitation polymerization technique. • A molecularly imprinted solid-phase extraction procedure was performed for determination of Azathioprine. • The Azathioprine-molecular imprinting

  16. Nanorobotic Systems

    Directory of Open Access Journals (Sweden)

    Lixin Dong

    2008-11-01

    Full Text Available Two strategies towards the realization of nanotechnology have been presented, i.e., top-down and bottom up. The former one is mainly based on nanofabrication and includes technologies such as nano-lithography, nano-imprint, and etching. Presently, they are still 2D fabrication processes with low resolution. The later one is an assembly-based technique. At present, it includes such items as self-assembly, dip-pen lithography, and directed self-assembly. These techniques can generate regular nano patterns in large scales. To fabricate 3D complex nano devices there are still no effective ways by so far. Here we show our effort on the development of a nano laboratory, a prototype nanomanufacturing system, based on nanorobotic manipulations. In which, we take a hybrid strategy as shown in Fig. 1. In this system, nano fabrication and nano assembly can be performed in an arbitrary order to construct nano building blocks and finally nano devices. The most important feature in this system is that the products can be fed back into the system to shrink the system part by part leading to nanorobots. Property characterization can be performed in each intermediate process. Due to the nanorobotic manipulation system, dynamic measurement can be performed rather than conventional static observations.

  17. Fluid management in roll-to-roll nanoimprint lithography

    Science.gov (United States)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  18. Imprinting.

    Science.gov (United States)

    McCabe, Brian J

    2013-07-01

    Imprinting is a type of learning by which an animal restricts its social preferences to an object after exposure to that object. Filial imprinting occurs shortly after birth or hatching and sexual imprinting, around the onset of sexual maturity; both have sensitive periods. This review is concerned mainly with filial imprinting. Filial imprinting in the domestic chick is an effective experimental system for investigating mechanisms underlying learning and memory. Extensive evidence implicates a restricted part of the chick forebrain, the intermediate and medial mesopallium (IMM), as a memory store for visual imprinting. After imprinting to a visual stimulus, neuronal responsiveness in IMM is specifically biased toward the imprinting stimulus. Both this bias and the strength of imprinting measured behaviorally depend on uninterrupted sleep shortly after training. When learning-related changes in IMM are lateralized they occur predominantly or completely on the left side. Ablation experiments indicate that the left IMM is responsible for long-term storage of information about the imprinting stimulus; the right side is also a store but additionally is necessary for extra storage outside IMM, in a region necessary for flexible use of information acquired through imprinting. Auditory imprinting gives rise to biochemical, neuroanatomical, and electrophysiological changes in the medio-rostral nidopallium/mesopallium, anterior to IMM. Auditory imprinting has not been shown to produce learning-related changes in IMM. Imprinting may be facilitated by predispositions. Similar predispositions for faces and biological motion occur in domestic chicks and human infants. WIREs Cogn Sci 2013, 4:375-390. doi: 10.1002/wcs.1231 For further resources related to this article, please visit the WIREs website. Copyright © 2013 John Wiley & Sons, Ltd.

  19. A facile molecularly imprinted polymer-based fluorometric assay for detection of histamine

    DEFF Research Database (Denmark)

    Feng, Xiaotong; Ashley, Jon; Zhou, Tongchang

    2018-01-01

    urgently needed. In this paper, we developed a facile and cost-effective molecularly imprinted polymer (MIP)-based fluorometric assay to directly quantify histamine. Histamine-specific MIP nanoparticles (nanoMIPs) were synthesized using a modified solid-phase synthesis method. They were then immobilized...

  20. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  1. Nanomanufacturing : nano-structured materials made layer-by-layer.

    Energy Technology Data Exchange (ETDEWEB)

    Cox, James V.; Cheng, Shengfeng; Grest, Gary Stephen; Tjiptowidjojo, Kristianto (University of New Mexico); Reedy, Earl David, Jr.; Fan, Hongyou; Schunk, Peter Randall; Chandross, Michael Evan; Roberts, Scott A.

    2011-10-01

    Large-scale, high-throughput production of nano-structured materials (i.e. nanomanufacturing) is a strategic area in manufacturing, with markets projected to exceed $1T by 2015. Nanomanufacturing is still in its infancy; process/product developments are costly and only touch on potential opportunities enabled by growing nanoscience discoveries. The greatest promise for high-volume manufacturing lies in age-old coating and imprinting operations. For materials with tailored nm-scale structure, imprinting/embossing must be achieved at high speeds (roll-to-roll) and/or over large areas (batch operation) with feature sizes less than 100 nm. Dispersion coatings with nanoparticles can also tailor structure through self- or directed-assembly. Layering films structured with these processes have tremendous potential for efficient manufacturing of microelectronics, photovoltaics and other topical nano-structured devices. This project is designed to perform the requisite R and D to bring Sandia's technology base in computational mechanics to bear on this scale-up problem. Project focus is enforced by addressing a promising imprinting process currently being commercialized.

  2. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  3. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    OpenAIRE

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-01-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carri...

  4. A Novel Silicon-based Wideband RF Nano Switch Matrix Cell and the Fabrication of RF Nano Switch Structures

    Directory of Open Access Journals (Sweden)

    Yi Xiu YANG

    2011-12-01

    Full Text Available This paper presents the concept of RF nano switch matrix cell and the fabrication of RF nano switch. The nano switch matrix cell can be implemented into complex switch matrix for signal routing. RF nano switch is the decision unit for the matrix cell; in this research, it is fabricated on a tri-layer high-resistivity-silicon substrate using surface micromachining approach. Electron beam lithography is introduced to define the pattern and IC compatible deposition process is used to construct the metal layers. Silicon-based nano switch fabricated by IC compatible process can lead to a high potential of system integration to perform a cost effective system-on-a-chip solution. In this paper, simulation results of the designed matrix cell are presented; followed by the details of the nano structure fabrication and fabrication challenges optimizations; finally, measurements of the fabricated nano structure along with analytical discussions are also discussed.

  5. Synthesis of bulk ion-imprinted polymers (IIPs) embedded with oleic ...

    African Journals Online (AJOL)

    A selective and reliable method for the extraction of trace quantities of U(VI) by the use of a magnetic U(VI) ion-imprinted polymer (IIP) was developed. In this study, oleic acid (OA) coated magnetite nano-particles were incorporated into the cross-linked polymeric matrix of the selective sorbent, in order to gain the physical ...

  6. Combined nano-imprint and photolithography (CNP) of integrated polymer optics

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Kristensen, Anders

    2007-01-01

    is softened by heating, and UV exposed. Hereby the mm to /mi sized features are defined by the UV exposure through the metal mask, while nm-scale features are formed by mechanical deformation (nanoimprinting). The UV exposed (and imprinted) SU-8 is crosslinked by a post-exposure bake, before the stamp...

  7. NanoTopoChip : High-throughput nanotopographical cell instruction

    NARCIS (Netherlands)

    Hulshof, Frits F.B.; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R.M.; de Boer, Meint; Papenburg, Bernke J.; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-01-01

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and

  8. Aluminum nano-cantilevers for high sensitivity mass sensors

    DEFF Research Database (Denmark)

    Davis, Zachary James; Boisen, Anja

    2005-01-01

    We have fabricated Al nano-cantilevers using a very simple one mask contact UV lithography technique with lateral dimensions under 500 nm and vertical dimensions of approximately 100 nm. These devices are demonstrated as highly sensitive mass sensors by measuring their dynamic properties. Further...

  9. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  10. Micro/nano-fabrication technologies for cell biology.

    Science.gov (United States)

    Qian, Tongcheng; Wang, Yingxiao

    2010-10-01

    Micro/nano-fabrication techniques, such as soft lithography and electrospinning, have been well-developed and widely applied in many research fields in the past decade. Due to the low costs and simple procedures, these techniques have become important and popular for biological studies. In this review, we focus on the studies integrating micro/nano-fabrication work to elucidate the molecular mechanism of signaling transduction in cell biology. We first describe different micro/nano-fabrication technologies, including techniques generating three-dimensional scaffolds for tissue engineering. We then introduce the application of these technologies in manipulating the physical or chemical micro/nano-environment to regulate the cellular behavior and response, such as cell life and death, differentiation, proliferation, and cell migration. Recent advancement in integrating the micro/nano-technologies and live cell imaging are also discussed. Finally, potential schemes in cell biology involving micro/nano-fabrication technologies are proposed to provide perspectives on the future research activities.

  11. The nano-science of C sub 6 0 molecule

    CERN Document Server

    Rafii-Tabar, H

    2002-01-01

    Over the past few years, nano-science and its associated nano-technology have emerged into prominence in research institutions across the world. They have brought about new scientific and engineering paradigms, allowing for the manipulation of single atoms and molecules, designing and fabricating new materials, atom-by-atom, and devices that operate on significantly reduced time and length scales. One important area of research in nano-science and nano technology is carbon-based physics in the form of fullerene physics. The C sub 6 0 molecule, and other cage-like fullerenes, together with carbon nano tubes provide objects that can be combined to generate three-dimensional functional structures for use in the anticipated nano-technology of future. The unique properties of C sub 6 0 can also be exploited in designing nano-phase thin films with applications in nano-scope device technology and processes such as nano-lithography. This requires a deep understanding of the highly complex process of adsorption of thi...

  12. Fabrication of an organic field effect transistor using nano imprinting of Ag inks and semiconducting polymers

    International Nuclear Information System (INIS)

    Hu, PingAn; Li, Kun; O'Neill, William; Chen, Weilin; Peng, Li; Chu, Daping

    2010-01-01

    A simple and cheap procedure for flexible electronics fabrication was demonstrated by imprinting metallic nanoparticles (NPs) on flexible substrates. Silver NPs with an average diameter of 10 nm were prepared via an improved chemical approach and Ag Np ink was produced in α-terpineol with a concentration up to 15%. Silver micro/nanostructures with a dimension varying from nanometres to microns were produced on a flexible substrate (polyimide) by imprinting the as-prepared silver ink. The fine fluidic properties of an Ag NP/α-terpineol solution and low melting temperatures of silver nanoparticles render a low pressure and low temperature procedure, which is well suited for flexible electronics fabrication. The effects of sintering and mechanical bending on the conductivity of imprinted silver contacts were also investigated. Large area organic field effect transistors (OFET) on flexible substrates were fabricated using an imprinted silver electrode and semiconducting polymer. The OFET with silver electrodes imprinted from our prepared oleic acid stabilized Ag nanoparticle ink show an ideal ohmic contact; therefore, the OFET exhibit high performance (I on /I off ratio: 1 × 10 3 ; mobility: 0.071 cm 2 V −1 s −1 ).

  13. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  14. Development of a molecularly imprinted polymer tailored on disposable screen-printed electrodes for dual detection of EGFR and VEGF using nano-liposomal amplification strategy.

    Science.gov (United States)

    Johari-Ahar, Mohammad; Karami, Pari; Ghanei, Mostafa; Afkhami, Abbas; Bagheri, Hasan

    2018-06-01

    This work demonstrates the development of a gold screen-printed electrode (Au-SPE)-based biosensor modified with a molecularly imprinted polymer and amplified using antibody-conjugated nano-liposomes. The developed biosensor was utilized for dual determination of epidermal growth factor receptor (EGFR) and vascular endothelial growth factor (VEGF) as cancer biomarkers. To prepare this biosensor, Au-SPE was modified with 3,3'-dithiodipropionic acid di(N-hydroxysuccinimide ester) via self-assembly method and then the target proteins (EGFR and VEGF) were covalently attached to the modified SPE. To synthesize the molecularly imprinted polymer, monomers of acrylamide and N,N'-methylenebis(acrylamide) were polymerized around the EGFR and VEGF templates, and to characterize the prepared biosensor, electrochemical impedance spectroscopy was used for analyses of surface changes in the engineered electrodes. To produce reliable electrochemical signals, nano-liposomes which were loaded with Cd(II) and Cu(II) cations and decorated with antibodies specific for EGFR and VEGF were used as an efficient tool for detection of target biomarkers. In the analysis step, potentiometric striping analysis (PSA), as an electrochemical technique, was utilized for sensitive determination of these cations. The limits of detection (LODs) of EGFR and VEGF analyses were found to be 0.01 and 0.005 pg mL -1 with the linear dynamic ranges (LDRs) of 0.05-50000 and 0.01-7000 pg mL -1 , respectively. Moreover, the proposed biosensor was successfully used for sensitive, reproducible, and specific detection of EGFR and VEGF in real samples. Due to the SPE nature of the developed biosensor, we envision that this sensing tool has capability of being integrated with lab-on-a-chip (LOC), microfluidics, and micro total analysis systems. Copyright © 2018 Elsevier B.V. All rights reserved.

  15. Nano Mechanical Machining Using AFM Probe

    Science.gov (United States)

    Mostofa, Md. Golam

    Complex miniaturized components with high form accuracy will play key roles in the future development of many products, as they provide portability, disposability, lower material consumption in production, low power consumption during operation, lower sample requirements for testing, and higher heat transfer due to their very high surface-to-volume ratio. Given the high market demand for such micro and nano featured components, different manufacturing methods have been developed for their fabrication. Some of the common technologies in micro/nano fabrication are photolithography, electron beam lithography, X-ray lithography and other semiconductor processing techniques. Although these methods are capable of fabricating micro/nano structures with a resolution of less than a few nanometers, some of the shortcomings associated with these methods, such as high production costs for customized products, limited material choices, necessitate the development of other fabricating techniques. Micro/nano mechanical machining, such an atomic force microscope (AFM) probe based nano fabrication, has, therefore, been used to overcome some the major restrictions of the traditional processes. This technique removes material from the workpiece by engaging micro/nano size cutting tool (i.e. AFM probe) and is applicable on a wider range of materials compared to the photolithographic process. In spite of the unique benefits of nano mechanical machining, there are also some challenges with this technique, since the scale is reduced, such as size effects, burr formations, chip adhesions, fragility of tools and tool wear. Moreover, AFM based machining does not have any rotational movement, which makes fabrication of 3D features more difficult. Thus, vibration-assisted machining is introduced into AFM probe based nano mechanical machining to overcome the limitations associated with the conventional AFM probe based scratching method. Vibration-assisted machining reduced the cutting forces

  16. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    Science.gov (United States)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  17. Micro/Nano manufacturing

    DEFF Research Database (Denmark)

    Tosello, Guido

    2017-01-01

    Micro- and nano-scale manufacturing has been the subject of an increasing amount of interest and research effort worldwide in both academia and industry over the past 10 years.Traditional (MEMS) manufacturing, but also precision manufacturing technologies have been developed to cover micro......-scale dimensions and accuracies. Furthermore, these fundamentally different technology ecosystems are currently combined in order to exploit strengths of both platforms. One example is the use of lithography-based technologies to establish nanostructures that are subsequently transferred to 3D geometries via...

  18. The nano-science of C60 molecule

    International Nuclear Information System (INIS)

    Rafii-Tabar, H.

    2002-01-01

    Over the past few years, nano-science and its associated nano-technology have emerged into prominence in research institutions across the world. They have brought about new scientific and engineering paradigms, allowing for the manipulation of single atoms and molecules, designing and fabricating new materials, atom-by-atom, and devices that operate on significantly reduced time and length scales. One important area of research in nano-science and nano technology is carbon-based physics in the form of fullerene physics. The C 6 0 molecule, and other cage-like fullerenes, together with carbon nano tubes provide objects that can be combined to generate three-dimensional functional structures for use in the anticipated nano-technology of future. The unique properties of C 6 0 can also be exploited in designing nano-phase thin films with applications in nano-scope device technology and processes such as nano-lithography. This requires a deep understanding of the highly complex process of adsorption of this molecule on a variety of substrates. We review the field of nano-scale nucleation and growth of C 6 0 molecules on some of the technologically important substrates. In addition to experimental results, the results of a set of highly accurate computational simulations are also reported

  19. Order quantification of hexagonal periodic arrays fabricated by in situ solvent-assisted nanoimprint lithography of block copolymers

    International Nuclear Information System (INIS)

    Simão, Claudia; Khunsin, Worawut; Kehagias, Nikolaos; Sotomayor Torres, Clivia M; Salaun, Mathieu; Zelsmann, Marc; Morris, Michael A

    2014-01-01

    Directed self-assembly of block copolymer polystyrene-b-polyethylene oxide (PS-b-PEO) thin film was achieved by a one-pot methodology of solvent vapor assisted nanoimprint lithography (SAIL). Simultaneous solvent-anneal and imprinting of a PS-b-PEO thin film on silicon without surface pre-treatments yielded a 250 nm line grating decorated with 20 nm diameter nanodots array over a large surface area of up to 4′ wafer scale. The grazing-incidence small-angle x-ray scattering diffraction pattern showed the fidelity of the NIL stamp pattern replication and confirmed the periodicity of the BCP of 40 nm. The order of the hexagonally arranged nanodot lattice was quantified by SEM image analysis using the opposite partner method and compared to conventionally solvent-annealed block copolymer films. The imprint-based SAIL methodology thus demonstrated an improvement in ordering of the nanodot lattice of up to 50%, and allows significant time and cost reduction in the processing of these structures. (papers)

  20. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  1. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  2. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  3. Molecularly imprinted polymer nanoparticle-based assay (MINA): application for fumonisin B1 determination.

    Science.gov (United States)

    Munawar, Hasim; Smolinska-Kempisty, Katarzyna; Cruz, Alvaro Garcia; Canfarotta, Francesco; Piletska, Elena; Karim, Khalku; Piletsky, Sergey A

    2018-06-20

    The enzyme-linked immunosorbent assay (ELISA) has been used as a standard tool for monitoring food and animal feed contamination from the carcinogenic fumonisin B1 (FB1). Unfortunately, ELISA is not always efficient due to the instability of the antibody and enzyme components in the immunoassay, the presence of natural enzyme inhibitors in the samples and the high levels of non-specific protein binding. Additionally, the production of antibodies for ELISA can be time-consuming and costly, due to the involvement of animals in the manufacturing process. To overcome these limiting factors, a molecularly imprinted nanoparticle based assay (MINA) has been developed, where the molecularly imprinted nanoparticles (nanoMIPs) replace the primary antibody used in a competitive ELISA. Herein, computational modelling was used to design the nanoMIPs by selecting monomers that specifically interact with FB1. The affinity of the monomers to FB1 was verified by measuring their binding in affinity chromatography experiments. The nanoMIPs were produced by solid phase synthesis and the results showed that nanoMIPs had a hydrodynamic diameter of around 249 ± 29 nm. The assay tested in model samples is highly selective and does not show cross-reactivity with other mycotoxins such as fumonisin B2 (FB2), aflatoxin B1 (AFB1), citrinin (CTT), zearalenone (ZEA), and deoxynivalenol (DON). The MINA allows the detection of FB1 in the concentration range of 10 pM-10 nM with a detection limit of 1.9 pM and a recovery of 108.13-113.76%.

  4. New potentiometric sensor based on molecularly imprinted nanoparticles for cocaine detection.

    Science.gov (United States)

    Smolinska-Kempisty, K; Ahmad, O Sheej; Guerreiro, A; Karim, K; Piletska, E; Piletsky, S

    2017-10-15

    Here we present a potentiometric sensor for cocaine detection based on molecularly imprinted polymer nanoparticles (nanoMIPs) produced by the solid-phase imprinting method. The composition of polymers with high affinity for cocaine was optimised using molecular modelling. Four compositions were selected and polymers prepared using two protocols: chemical polymerisation in water and UV-initiated polymerisation in organic solvent. All synthesised nanoparticles had very good affinity to cocaine with dissociation constants between 0.6nM and 5.3nM. Imprinted polymers produced in organic solvent using acrylamide as a functional monomer demonstrated the highest yield and affinity, and so were selected for further sensor development. For this, nanoparticles were incorporated within a PVC matrix which was then used to prepare an ion-selective membrane integrated with a potentiometric transducer. It was demonstrated that the sensor was able to quantify cocaine in blood serum samples in the range of concentrations between 1nM and 1mM. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Hybrid Energy Cell with Hierarchical Nano/Micro-Architectured Polymer Film to Harvest Mechanical, Solar, and Wind Energies Individually/Simultaneously.

    Science.gov (United States)

    Dudem, Bhaskar; Ko, Yeong Hwan; Leem, Jung Woo; Lim, Joo Ho; Yu, Jae Su

    2016-11-09

    We report the creation of hybrid energy cells based on hierarchical nano/micro-architectured polydimethylsiloxane (HNMA-PDMS) films with multifunctionality to simultaneously harvest mechanical, solar, and wind energies. These films consist of nano/micro dual-scale architectures (i.e., nanonipples on inverted micropyramidal arrays) on the PDMS surface. The HNMA-PDMS is replicable by facile and cost-effective soft imprint lithography using a nanoporous anodic alumina oxide film formed on the micropyramidal-structured silicon substrate. The HNMA-PDMS film plays multifunctional roles as a triboelectric layer in nanogenerators and an antireflection layer for dye-sensitized solar cells (DSSCs), as well as a self-cleaning surface. This film is employed in triboelectric nanogenerator (TENG) devices, fabricated by laminating it on indium-tin oxide-coated polyethylene terephthalate (ITO/PET) as a bottom electrode. The large effective contact area that emerged from the densely packed hierarchical nano/micro-architectures of the PDMS film leads to the enhancement of TENG device performance. Moreover, the HNMA-PDMS/ITO/PET, with a high transmittance of >90%, also results in highly transparent TENG devices. By placing the HNMA-PDMS/ITO/PET, where the ITO/PET is coated with zinc oxide nanowires, as the top glass substrate of DSSCs, the device is able to add the functionality of TENG devices, thus creating a hybrid energy cell. The hybrid energy cell can successfully convert mechanical, solar, and wind energies into electricity, simultaneously or independently. To specify the device performance, the effects of external pushing frequency and load resistance on the output of TENG devices are also analyzed, including the photovoltaic performance of the hybrid energy cells.

  6. Nano-honeycomb structured transparent electrode for enhanced light extraction from organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Xiao-Bo; Qian, Min; Wang, Zhao-Kui, E-mail: zkwang@suda.edu.cn, E-mail: lsliao@suda.edu.cn; Liao, Liang-Sheng, E-mail: zkwang@suda.edu.cn, E-mail: lsliao@suda.edu.cn [Jiangsu Key Laboratory for Carbon-Based Functional Materials and Devices, Institute of Functional Nano and Soft Materials (FUNSOM), and Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, Suzhou, Jiangsu 215123 (China)

    2015-06-01

    A universal nano-sphere lithography method has been developed to fabricate nano-structured transparent electrode, such as indium tin oxide (ITO), for light extraction from organic light-emitting diodes (OLEDs). Perforated SiO{sub 2} film made from a monolayer colloidal crystal of polystyrene spheres and tetraethyl orthosilicate sol-gel is used as a template. Ordered nano-honeycomb pits on the ITO electrode surface are obtained by chemical etching. The proposed method can be utilized to form large-area nano-structured ITO electrode. More than two folds' enhancement in both current efficiency and power efficiency has been achieved in a red phosphorescent OLED which was fabricated on the nano-structured ITO substrate.

  7. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  8. Characterisation of nano-interdigitated electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Skjolding, L H D; Ribayrol, A; Montelius, L [Division of Solid State Physics, Lund University, Box 118, SE-221 00 Lund (Sweden); Spegel, C [Department of Analytical Chemistry Lund University, Box 124, SE-221 00 Lund (Sweden); Emneus, J [MIC - Department of Micro and Nanotechnology, DTU - Building 345 East, DK-2800 Kgs. Lyngby (Denmark)], E-mail: lars_henrik.daehli_skjolding@ftf.lth.se

    2008-03-15

    Interdigitated electrodes made up of two individually addressable interdigitated comb-like electrode structures have frequently been suggested as ultra sensitive electrochemical biosensors. Since the signal enhancement effects due to cycling of the reduced and oxidized species are strongly dependent on the inter electrode distances, since the nature of the enhancement is due to overlying diffusion layers, interdigitated electrodes with an electrode separation of less then one micrometer are desired for maximum signal amplification. Fabrication of submicron structures can only be made by advanced lithography techniques. By use of electron beam lithography we have fabricated arrays of interdigitated electrodes with an electrode separation distance of 200 nm and an electrode finger width of likewise 200 nm. The entire electrode structure is 100 micrometre times 100 micrometre, and the active electrode area is dictated by the opening in the passivation layer, that is defined by UV lithography. Here we report measurements of redox cycling of ferrocyanide by coupled cyclic voltammograms, where the potential at one of the working electrodes are varied and either an oxidising or reducing potential is applied to the complimentary interdigitated electrode. The measurements show fast conversion and high collection efficiency round 87% as expected for nano-interdigitated electrodes.

  9. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    fabricated low cost infrared nanoantenna arrays for plasmonically enhanced vibrational biospectroscopy is presented. Detection of immunologically important protein monolayers with thickness as small as 3 nm, and antibody assays are demonstrated using nanoantenna arrays fabricated with reusable nanostencils. The results presented indicate that nanostencil lithography is a promising method for reducing the nano manufacturing cost while enhancing the performance of biospectroscopy tools for biology and medicine. As a single step and low cost nanofabrication technique, NSL could facilitate the manufacturing of biophotonic technologies for real-world applications.

  10. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  11. Patterning lead zirconate titanate nanostructures at sub-200-nm resolution by soft confocal imprint lithography and nanotransfer molding

    NARCIS (Netherlands)

    Khan, Sajid; Göbel, Ole; Blank, David H.A.; ten Elshof, Johan E.

    2009-01-01

    Patterned sol-gel-derived lead zirconate titanate (PZT) thin films with lateral resolutions down to 100 nm on silicon are reported. Both an imprint and a transfer-molding method were employed. The formed patterns after annealing were characterized with scanning electron microscopy, atomic force

  12. Wettability transition of plasma-treated polystyrene micro/nano pillars-aligned patterns

    Directory of Open Access Journals (Sweden)

    2010-12-01

    Full Text Available This paper reports the wettability transition of plasma-treated polystyrene (PS micro/nano pillars-aligned patterns. The micro/nano pillars were prepared using hot embossing on silicon microporous template and alumina nanoporous template, which were fabricated by ultraviolet (UV lithography and inductive coupled plasma (ICP etching, and two-step anodic oxidation, respectively. The results indicate that the combination of micro/nano patterning and plasma irradiation can easily regulate wettabilities of PS surfaces, i.e. from hydrophilicity to hydrophobicity, or from hydrophobicity to superhydrophilicity. During the wettability transition from hydrophobicity to hydrophilicity there is only mild hydrophilicity loss. After plasma irradiation, moreover, the wettability of PS micro/nano pillars-aligned patterns is more stable than that of flat PS surfaces. The observed wettability transition and wettability stability of PS micro/nano pillars-aligned patterns are new phenomena, which may have potential in creating programmable functional polymer surfaces.

  13. Voltammetric determination of ultratrace levels of cerium(III) using a carbon paste electrode modified with nano-sized cerium-imprinted polymer and multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Alizadeh, Taher; Ganjali, Mohammad Reza; Akhoundian, Maede; Norouzi, Parviz

    2016-01-01

    A carbon paste electrode was modified with a Ce(III)-imprinted polymer (Ce-IP) and used for voltammetric determination of Ce(III) ions in real water samples. Precipitation polymerization was used for synthesis of the nano-sized Ce-IP from vinylpyridine and methacrylic acid (acting as the complexing ligands and functional monomers), divinylbenzene (cross-linker) and AIBN as the radical starter. The Ce-IP was characterized by scanning electron microscopy and zeta potentials. A carbon paste electrode (CPE) was then impregnated with the Ce-IP and used for the extraction and subsequent determination of Ce(III). Oxidative square wave voltammetry showed the electrode to give a significantly better response than an electrode modified with the non-imprinted polymer. The addition of multiwalled carbon nanotubes to the Ce-IP-modified electrode further improves the signal, thereby increasing the sensitivity of the method. The effects of electrode composition, extraction pH value, volume and time were optimized. The electrode, if operated at a voltage of 1.05 V (vs. Ag/AgCl), displays a linear response to Ce(III) in the 1.0 μM to 25 pM concentration range, and the detection limit is 10 pM (at an S/N ratio of 3). The relative standard deviation of 5 separate determinations is 3.1 %. The method was successfully applied to the determination of Ce(III) in the spiked samples of drinking water and sea water. (author)

  14. Does size matter? Study of performance of pseudo-ELISAs based on molecularly imprinted polymer nanoparticles prepared for analytes of different sizes.

    Science.gov (United States)

    Cáceres, C; Canfarotta, F; Chianella, I; Pereira, E; Moczko, E; Esen, C; Guerreiro, A; Piletska, E; Whitcombe, M J; Piletsky, S A

    2016-02-21

    The aim of this work is to evaluate whether the size of the analyte used as template for the synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) can affect their performance in pseudo-enzyme linked immunosorbent assays (pseudo-ELISAs). Successful demonstration of a nanoMIPs-based pseudo-ELISA for vancomycin (1449.3 g mol(-1)) was demonstrated earlier. In the present investigation, the following analytes were selected: horseradish peroxidase (HRP, 44 kDa), cytochrome C (Cyt C, 12 kDa) biotin (244.31 g mol(-1)) and melamine (126.12 g mol(-1)). NanoMIPs with a similar composition for all analytes were synthesised by persulfate-initiated polymerisation in water. In addition, core-shell nanoMIPs coated with polyethylene glycol (PEG) and imprinted for melamine were produced in organics and tested. The polymerisation of the nanoparticles was done using a solid-phase approach with the correspondent template immobilised on glass beads. The performance of the nanoMIPs used as replacement for antibodies in direct pseudo-ELISA (for the enzymes) and competitive pseudo-ELISA for the smaller analytes was investigated. For the competitive mode we rely on competition for the binding to the nanoparticles between free analyte and corresponding analyte-HRP conjugate. The results revealed that the best performances were obtained for nanoMIPs synthesised in aqueous media for the larger analytes. In addition, this approach was successful for biotin but completely failed for the smallest template melamine. This problem was solved using nanoMIP prepared by UV polymerisation in an organic media with a PEG shell. This study demonstrates that the preparation of nanoMIP by solid-phase approach can produce material with high affinity and potential to replace antibodies in ELISA tests for both large and small analytes. This makes this technology versatile and applicable to practically any target analyte and diagnostic field.

  15. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  16. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    Science.gov (United States)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  17. Congenital imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Netchine, Irène; Temple, I Karen

    2015-01-01

    Imprinting disorders (IDs) are a group of eight rare but probably underdiagnosed congenital diseases affecting growth, development and metabolism. They are caused by similar molecular changes affecting regulation, dosage or the genomic sequence of imprinted genes. Each ID is characterised...... by specific clinical features, and, as each appeared to be associated with specific imprinting defects, they have been widely regarded as separate entities. However, they share clinical characteristics and can show overlapping molecular alterations. Nevertheless, IDs are usually studied separately despite...... EUCID.net (European network of congenital imprinting disorders) now aims to promote better clinical care and scientific investigation of imprinting disorders by establishing a concerted multidisciplinary alliance of clinicians, researchers, patients and families. By encompassing all IDs and establishing...

  18. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  19. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  20. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  1. Au Based Nanocomposites Towards Plasmonic Applications

    Science.gov (United States)

    Panniello, A.; Curri, M. L.; Placido, T.; Reboud, V.; Kehagias, N.; Sotomayor Torres, C. M.; Mecerreyes, D.; Agostiano, A.; Striccoli, M.

    2010-06-01

    Incorporation of nano-sized metals in polymers can transfer their unique features to the host matrix, providing nanocomposite materials with improved optical, electric, magnetic and mechanical properties. In this work, colloidal Au nanorods have been incorporated into PMMA based random co-polymer, properly functionalized with amino groups and the optical and morphological properties of the resulting nanocomposite have been investigated by spectroscopic and AFM measurements. Au nanorods have demonstrated to preserve the plasmon absorption and to retain morphological features upon the incorporation, thus making the final metal modified polymer composite exploitable for the fabrication of plasmonic devices. The prepared nanocomposites have been then patterned by Nano Imprint Lithography technique in order to demonstrate the viability of the materials towards optical applications.

  2. Imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Perez de Nanclares, Guiomar; Maher, Eamonn R

    2015-01-01

    Congenital imprinting disorders (IDs) are characterised by molecular changes affecting imprinted chromosomal regions and genes, i.e. genes that are expressed in a parent-of-origin specific manner. Recent years have seen a great expansion in the range of alterations in regulation, dosage or DNA...... sequence shown to disturb imprinted gene expression, and the correspondingly broad range of resultant clinical syndromes. At the same time, however, it has become clear that this diversity of IDs has common underlying principles, not only in shared molecular mechanisms, but also in interrelated clinical...

  3. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    Science.gov (United States)

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  4. Semi-synthetic biotin imprinting onto avidin crosslinked gold-silver nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    At Latin-Small-Letter-Dotless-I l Latin-Small-Letter-Dotless-I r Oezcan, Ayca, E-mail: aatilir@anadolu.edu.tr; Ersoez, Arzu; Huer, Deniz; Y Latin-Small-Letter-Dotless-I lmaz, Filiz [Anadolu University, Department of Chemistry (Turkey); Gueltekin, Aytac [Karamanoglu Mehmetbey University, Department of Engineering of Energy Systems (Turkey); Denizli, Adil [Hacettepe University, Department of Chemistry (Turkey); Say, R Latin-Small-Letter-Dotless-I dvan [Anadolu University, Department of Chemistry (Turkey)

    2012-06-15

    This study is a different and new application of molecular imprinted polymers (MIPs) based on sensor technologies. In this study, semi-synthetic biotin imprinted polymeric shell has been decorated onto the surface of avidin crosslinked Au/Ag nanoclusters using bis (2-2 Prime -bipyridyl) MATyr-MATrp-ruthenium(II) (MATyr-Ru-MATrp) as photosensitive monomer. The synthesized nanoclusters have been used the recognition of biotin by flourometric method. Synthesis of the photosensitive monomers has been realized by AmiNoAcid (monomer) Decorated and Light Underpinning Conjugation Approach (ANADOLUCA) method. This method provides a strategy for the preparation of photosensitive ruthenium based aminoacid monomers and oligomers, aminoacid monomer-protein crosslinking using photosensitation and conjugation approach on micro and nano-structures by ruthenium-chelate based monomers. The affinity constant (K{sub a}) of biotin imprinted Au/Ag nanoclusters has been determined using the Scatchard method and found to be 3.89 Multiplication-Sign 10{sup 5} M{sup -1}. The obtained calibration graph is linear for the range of 0.051 and 2.50 {mu}M of biotin. The detection limit of biotin has been found to be 15 nM. Also, the reusability of these nanoclusters has been investigated and it has been observed that the same clusters could be used 10 times during a long period without any binding capacity decreasing.

  5. Semi-synthetic biotin imprinting onto avidin crosslinked gold–silver nanoparticles

    International Nuclear Information System (INIS)

    Atılır Özcan, Ayça; Ersöz, Arzu; Hür, Deniz; Yılmaz, Filiz; Gültekin, Aytaç; Denizli, Adil; Say, Rıdvan

    2012-01-01

    This study is a different and new application of molecular imprinted polymers (MIPs) based on sensor technologies. In this study, semi-synthetic biotin imprinted polymeric shell has been decorated onto the surface of avidin crosslinked Au/Ag nanoclusters using bis (2-2′-bipyridyl) MATyr-MATrp-ruthenium(II) (MATyr-Ru-MATrp) as photosensitive monomer. The synthesized nanoclusters have been used the recognition of biotin by flourometric method. Synthesis of the photosensitive monomers has been realized by AmiNoAcid (monomer) Decorated and Light Underpinning Conjugation Approach (ANADOLUCA) method. This method provides a strategy for the preparation of photosensitive ruthenium based aminoacid monomers and oligomers, aminoacid monomer-protein crosslinking using photosensitation and conjugation approach on micro and nano-structures by ruthenium-chelate based monomers. The affinity constant (K a ) of biotin imprinted Au/Ag nanoclusters has been determined using the Scatchard method and found to be 3.89 × 10 5 M −1 . The obtained calibration graph is linear for the range of 0.051 and 2.50 μM of biotin. The detection limit of biotin has been found to be 15 nM. Also, the reusability of these nanoclusters has been investigated and it has been observed that the same clusters could be used 10 times during a long period without any binding capacity decreasing.

  6. Efficiency increase in flexible bulk heterojunction solar cells with a nano-patterned indium zinc oxide anode

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dong Hwan; Seifter, Jason; Heeger, Alan J. [Center for Polymers and Organic Solids, University of California at Santa Barbara, Santa Barbara, California 93106-5090 (United States); Park, Jong Hyeok [School of Chemical Engineering and SAINT, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Choi, Dae-Geun [Nano-Mechanical Systems Research Division, Korea Institute of Machinery and Materials (KIMM), 171 Jang-dong, Yuseong-gu, Daejeon, 305-343 (Korea, Republic of)

    2012-11-15

    Efficient flexible bulk-heterojunction polymer solar cells based on PCDTBT/PC{sub 70}BM were successfully fabricated by a simple nano-imprint technique. The flexible nano-patterned IZO anode with ordered periodic dot structures led to improved light absorption and increased interfacial contact area between the anode and polymer as well as between the polymer and cathode. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template - "Plastic Antibodies".

    Science.gov (United States)

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J; Piletska, Elena V; Turner, Anthony P F; Piletsky, Sergey A

    2013-06-13

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, K d = 6.3 × 10 -8 m), vancomycin (d = 250 nm, K d = 3.4 × 10 -9 m), a peptide (d = 350 nm, K d = 4.8 × 10 -8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium.

  8. Quasi-monodimensional polyaniline nanostructures for enhanced molecularly imprinted polymer-based sensing.

    Science.gov (United States)

    Berti, Francesca; Todros, Silvia; Lakshmi, Dhana; Whitcombe, Michael J; Chianella, Iva; Ferroni, Matteo; Piletsky, Sergey A; Turner, Anthony P F; Marrazza, Giovanna

    2010-10-15

    Recent advances in nanotechnology have allowed significant progress in utilising cutting-edge techniques associated with nanomaterials and nano-fabrication to expand the scope and capability of biosensors to a new level of novelty and functionality. The aim of this work was the development and characterisation of conductive polyaniline (PANI) nanostructures for applications in electrochemical biosensing. We explore a simple, inexpensive and fast route to grow PANI nanotubes, arranged in an ordered structure directly on an electrode surface, by electrochemical polymerisation using alumina nanoporous membranes as a 'nano-mould'. The deposited nanostructures have been characterised electrochemically and morphologically prior to grafting with a molecularly imprinted polymer (MIP) receptor in order to create a model sensor for catechol detection. In this way, PANI nanostructures resulted in a conductive nanowire system which allowed direct electrical connection between the electrode and the synthetic receptor (MIP). To our knowledge, this is the first example of integration between molecularly imprinted polymers and PANI nanostructured electrodes. The advantages of using nanostructures in this particular biosensing application have been evaluated by comparing the analytical performance of the sensor with an analogous non-nanostructured MIP-sensor for catechol detection that was previously developed. A significantly lower limit of detection for catechol has been obtained (29 nM, one order of magnitude), thus demonstrating that the nanostructures are capable of improving the analytical performance of the sensor. Copyright © 2010 Elsevier B.V. All rights reserved.

  9. Comparison on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fibre lasers

    Science.gov (United States)

    Yang, Chun-Yu; Lin, Yung-Hsiang; Wu, Chung-Lun; Cheng, Chih-Hsien; Tsai, Din-Ping; Lin, Gong-Ru

    2018-06-01

    Comparisons on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fiber lasers (EDFLs) are performed. As opposed to the graphite nano-particles obtained by physically triturating the graphite foil, the tri-layer graphene nano-sheets is obtained by electrochemically exfoliating the graphite foil. To precisely control the size dispersion and the layer number of the exfoliated graphene nano-sheet, both the bias of electrochemical exfoliation and the speed of centrifugation are optimized. Under a threshold exfoliation bias of 3 volts and a centrifugation at 1000 rpm, graphene nano-sheets with an average diameter of 100  ±  40 nm can be obtained. The graphene nano-sheets with an area density of 15 #/µm2 are directly imprinted onto the end-face of a single-mode fiber made patchcord connector inside the EDFL cavity. Such electrochemically exfoliated graphene nano-sheets show comparable saturable absorption with standard single-graphene and perform the self-amplitude modulation better than physically triturated graphite nano-particles. The linear transmittance and modulation depth of the inserted graphene nano-sheets are 92.5% and 53%, respectively. Under the operation with a power gain of 21.5 dB, the EDFL can be passively mode-locked to deliver a pulsewidth of 454.5 fs with a spectral linewidth of 5.6 nm. The time-bandwidth product of 0.31 is close to the transform limit. The Kelly sideband frequency spacing of 1.34 THz is used to calculate the chirp coefficient as  ‑0.0015.

  10. Nanostructuring steel for injection molding tools

    DEFF Research Database (Denmark)

    Al-Azawi, A.; Smistrup, Kristian; Kristensen, Anders

    2014-01-01

    The production of nanostructured plastic items by injection molding with ridges down to 400 nm in width, which is the smallest line width replicated from nanostructured steel shims, is presented. Here we detail a micro-fabrication method where electron beam lithography, nano-imprint lithography...... and ion beam etching are combined to nanostructure the planar surface of a steel wafer. Injection molded plastic parts with enhanced surface properties, like anti-reflective, superhydrophobic and structural colors can be achieved by micro-and nanostructuring the surface of the steel molds. We investigate...... the minimum line width that can be realized by our fabrication method and the influence of etching angle on the structure profile during the ion beam etching process. Trenches down to 400 nm in width have been successfully fabricated into a 316 type electro-polished steel wafer. Afterward a plastic replica...

  11. [Neurobiology of imprinting].

    Science.gov (United States)

    Ohki-Hamazaki, Hiroko

    2012-06-01

    Imprinting is an example of learning and memory acquisition in infancy. In the case of precocial birds, such as geese, ducks, and chickens, the baby birds learn the characteristics of the first moving object that they see within a critical period, and they imprint on it and follow it around. We analyzed the neural basis of this behavior in order to understand the neural mechanism of learning and memory in infancy. Information pertaining to a visual imprinting stimulus is recognized and processed in the visual Wulst, a region that corresponds to the mammalian visual cortex. It is then transmitted to the posterior region of the telencephalon, followed by the core region of the hyperpallium densocellulare (HDCo), periventricular region of the hyperpallium densocellulare (HDPe), and finally, the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. Memory is stored in the IMM. After imprint training, plastic changes are observed in the visual Wulst as well as in the neurons of this circuit. HDCo cells, located at the center of this circuit, express N-methyl-D-aspartate (NMDA) receptors containing the NMDA receptor (NR) 2B subunit; the expression of this receptor increased after the imprint training. Inhibition of this receptor in the cells of the HDCo region leads to failure of imprinting and inactivation of this circuit. Thus, NMDA receptors bearing the NR2B subunit play a critical role in plastic changes in this circuit and in induction of imprinting.

  12. Electron beam mask writer EBM-9500 for logic 7nm node generation

    Science.gov (United States)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  13. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  14. Thermal and radiation process for nano-/micro-fabrication of crosslinked PTFE

    International Nuclear Information System (INIS)

    Kobayashi, Akinobu; Oshima, Akihiro; Okubo, Satoshi; Tsubokura, Hidehiro; Takahashi, Tomohiro; Oyama, Tomoko Gowa; Tagawa, Seiichi; Washio, Masakazu

    2013-01-01

    Nano-/micro-fabrication process of crosslinked poly(tetrafluoroethylene) (RX-PTFE) is proposed as a novel method using combined process which is thermal and radiation process for fabrication of RX-PTFE (TRaf process). Nano- and micro-scale patterns of silicon wafers fabricated by EB lithography were used as the molds for TRaf process. Poly(tetrafluoroethylene) (PTFE) dispersion was dropped on the fabricated molds, and then PTFE was crosslinked with doses from 105 kGy to 1500 kGy in its molten state at 340 °C in nitrogen atmosphere. The obtained nano- and micro-structures by TRaf process were compared with those by the conventional thermal fabrication process. Average surface roughness (R a ) of obtained structures was evaluated with atomic force microscope (AFM) and scanning electron microscope (SEM). R a of obtained structures with the crosslinking dose of 600 kGy showed less than 1.2 nm. The fine nano-/micro-structures of crosslinked PTFE were successfully obtained by TRaf process

  15. Functional patterns obtained by nanoimprinting lithography and subsequent growth of polymer brushes

    International Nuclear Information System (INIS)

    Genua, A; AlduncIn, J A; Pomposo, J A; Grande, H; Kehagias, N; Reboud, V; Sotomayor, C; Mondragon, I; Mecerreyes, D

    2007-01-01

    In this work the growth of polymer brushes was combined with nanoimprint lithography (NIL) in order to obtain new functional nanopatterns. First, a functional thermoplastic methacrylic copolymer poly(methyl methacrylate-co-2-bromoisobutyryl-oxy-ethyl methacrylate) was synthesized. This copolymer was successfully patterned by NIL using a silicon stamp at 160 deg. C and 60 bar. Next, hydrophilic polymer brushes based on poly(3-sulfopropylmethacrylate) and hydrophobic polymer brushes based on a poly(fluorinated methacrylate) were grown on the imprinted surfaces. The surface properties of the patterned polymer were accordingly modified and, as a consequence, the water contact angle was modified from 80.3 deg. to 32.5 deg. in the case of the hydrophilic brushes and to 118.1 deg. in the case of the hydrophobic brushes. As an application we demonstrated the use of hydrophobic polymer brushes in order to modify the surface of polymeric stamps for NIL with self-demoulding properties

  16. NATO Advanced Study Institute on Nano-Optics : Principles Enabling Basic Research and Applications

    CERN Document Server

    Collins, John; Silvestri, Luciano

    2017-01-01

    This book provides a comprehensive overview of nano-optics, including basic theory, experiment and applications, particularly in nanofabrication and optical characterization. The contributions clearly demonstrate how advances in nano-optics and photonics have stimulated progress in nanoscience and -fabrication, and vice versa. Their expert authors address topics such as three-dimensional optical lithography and microscopy beyond the Abbe diffraction limit, optical diagnostics and sensing, optical data- and telecommunications, energy-efficient lighting, and efficient solar energy conversion. Nano-optics emerges as a key enabling technology of the 21st century. This work will appeal to a wide readership, from physics through chemistry, to biology and engineering. The contributions that appear in this volume were presented at a NATO Advanced Study Institute held in Erice, 4-19 July, 2015.

  17. NanoTopoChip: High-throughput nanotopographical cell instruction.

    Science.gov (United States)

    Hulshof, Frits F B; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R M; de Boer, Meint; Papenburg, Bernke J; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-10-15

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and conventional lithography was used to fabricate a library of more than 1200 different defined nanotopographies. To illustrate the cell instructive effects of nanotopography, actin-RFP labeled U2OS osteosarcoma cells were cultured and imaged on the Nano-TopoChip. Automated image analysis shows that of many cell morphological parameters, cell spreading, cell orientation and actin morphology are mostly affected by the nanotopographies. Additionally, by using modeling, the changes of cell morphological parameters could by predicted by several feature shape parameters such as lateral size and spacing. This work overcomes the technological challenges of fabricating high quality defined nanoscale features on unprecedented large surface areas of a material relevant for tissue culture such as PS and the screening system is able to infer nanotopography - cell morphological parameter relationships. Our screening platform provides opportunities to identify and study the effect of nanotopography with beneficial properties for the culture of various cell types. The nanotopography of biomaterial surfaces can be modified to influence adhering cells with the aim to improve the performance of medical implants and tissue culture substrates. However, the necessary knowledge of the underlying mechanisms remains incomplete. One reason for this is the limited availability of high-resolution nanotopographies on relevant biomaterials, suitable to conduct systematic biological studies. The present study shows the fabrication of a library of nano-sized surface topographies with high fidelity. The potential of this library, called the 'NanoTopoChip' is shown in a proof of principle HTS study which

  18. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  19. Selective vancomycin detection using optical fibre long period gratings functionalised with molecularly imprinted polymer nanoparticles.

    Science.gov (United States)

    Korposh, Sergiy; Chianella, Iva; Guerreiro, Antonio; Caygill, Sarah; Piletsky, Sergey; James, Stephen W; Tatam, Ralph P

    2014-05-07

    An optical fibre long period grating (LPG) sensor modified with molecularly imprinted polymer nanoparticles (nanoMIPs) for the specific detection of antibiotics is presented. The operation of the sensor is based on the measurement of changes in refractive index induced by the interaction of nanoMIPs deposited onto the cladding of the LPG with free vancomycin (VA). The binding of nanoMIPs to vancomycin was characterised by a binding constant of 4.3 ± 0.1 × 10(-8) M. The lowest concentration of analyte measured by the fibre sensor was 10 nM. In addition, the sensor exhibited selectivity, as much smaller responses were obtained for high concentrations (∼700 μM) of other commonly prescribed antibiotics such as amoxicillin, bleomycin and gentamicin. In addition, the response of the sensor was characterised in a complex matrix, porcine plasma, spiked with 10 μM of VA.

  20. Sub-10 nm colloidal lithography for circuit-integrated spin-photo-electronic devices

    Directory of Open Access Journals (Sweden)

    Adrian Iovan

    2012-12-01

    Full Text Available Patterning of materials at sub-10 nm dimensions is at the forefront of nanotechnology and employs techniques of various complexity, efficiency, areal scale, and cost. Colloid-based patterning is known to be capable of producing individual sub-10 nm objects. However, ordered, large-area nano-arrays, fully integrated into photonic or electronic devices have remained a challenging task. In this work, we extend the practice of colloidal lithography to producing large-area sub-10 nm point-contact arrays and demonstrate their circuit integration into spin-photo-electronic devices. The reported nanofabrication method should have broad application areas in nanotechnology as it allows ballistic-injection devices, even for metallic materials with relatively short characteristic relaxation lengths.

  1. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  2. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  3. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template – “Plastic Antibodies”

    Science.gov (United States)

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J.; Piletska, Elena V.; Turner, Anthony P.F.; Piletsky, Sergey A.

    2016-01-01

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, Kd = 6.3 × 10−8 m), vancomycin (d = 250 nm, Kd = 3.4 × 10−9 m), a peptide (d = 350 nm, Kd = 4.8 × 10−8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium. PMID:26869870

  4. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  5. The evolution of imprinting: chromosomal mapping of orthologues of mammalian imprinted domains in monotreme and marsupial mammals

    Directory of Open Access Journals (Sweden)

    Dunham Ian

    2007-09-01

    Full Text Available Abstract Background The evolution of genomic imprinting, the parental-origin specific expression of genes, is the subject of much debate. There are several theories to account for how the mechanism evolved including the hypothesis that it was driven by the evolution of X-inactivation, or that it arose from an ancestrally imprinted chromosome. Results Here we demonstrate that mammalian orthologues of imprinted genes are dispersed amongst autosomes in both monotreme and marsupial karyotypes. Conclusion These data, along with the similar distribution seen in birds, suggest that imprinted genes were not located on an ancestrally imprinted chromosome or associated with a sex chromosome. Our results suggest imprinting evolution was a stepwise, adaptive process, with each gene/cluster independently becoming imprinted as the need arose.

  6. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  7. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    International Nuclear Information System (INIS)

    Ganesan, Ramakrishnan; Dinachali, Saman Safari; Lim, Su Hui; Saifullah, M S M; He, Chaobin; Low, Hong Yee; Chong, Wee Tit; Lim, Andrew H H; Yong, Jin Jie; Thian, Eng San

    2012-01-01

    Nanostructuring of Al 2 O 3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al 2 O 3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al 2 O 3 . Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ∼100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al 2 O 3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol–gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides. (paper)

  8. Sub-10-nm suspended nano-web formation by direct laser writing

    Science.gov (United States)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  9. Molecular LEGO by domain-imprinting of cytochrome P450 BM3.

    Science.gov (United States)

    Jetzschmann, K J; Yarman, A; Rustam, L; Kielb, P; Urlacher, V B; Fischer, A; Weidinger, I M; Wollenberger, U; Scheller, F W

    2018-04-01

    Electrosynthesis of the MIP nano-film after binding of the separated domains or holo-cytochrome BM3 via an engineered anchor should result in domain-specific cavities in the polymer layer. Both the two domains and the holo P450 BM3 have been bound prior polymer deposition via a N-terminal engineered his6-anchor to the electrode surface. Each step of MIP preparation was characterized by cyclic voltammetry of the redox-marker ferricyanide. Rebinding after template removal was evaluated by quantifying the suppression of the diffusive permeability of the signal for ferricyanide and by the NADH-dependent reduction of cytochrome c by the reductase domain (BMR). The working hypothesis is verified by the discrimination of the two domains by the respective MIPs: The holoenzyme P450 BM3 was ca. 5.5 times more effectively recognized by the film imprinted with the oxidase domain (BMO) as compared to the BMR-MIP or the non-imprinted polymer (NIP). Obviously, a cavity is formed during the imprinting process around the his 6 -tag-anchored BMR which cannot accommodate the broader BMO or the P450 BM3. The affinity of the MIP towards P450 BM3 is comparable with that to the monomer in solution. The his 6 -tagged P450 BM3 binds (30 percent) stronger which shows the additive effect of the interaction with the MIP and the binding to the electrode. Copyright © 2018. Published by Elsevier B.V.

  10. Nano Antenna Integrated Diode (Rectenna) For Infrared Energy Harvesting

    KAUST Repository

    Gadalla, Mena N.

    2013-01-01

    40% without it) which in turn improves the coupled power by 40 times. Nano antennas were fabricated in house using Electron beam lithography with a precise gap of 50nm. In addition, THz diode was designed, fabricated and integrated to the nano antennas to rectify the enhanced THz signal. The integration of the nano diode required a precise overlap of the two arms of the antenna in the rage of 100nm. In order to overcome two arms overlap fabrication challenges, three layer alignment technique was used to produce precise overlap.The THz rectifier was electrically tested and shown high sensitivity and rectification ability without any bias. Finally, nano antenna integrated diode is under optical testing using   a   10.6μm   𝐶𝑜2 laser at Electro-Optics Lab, Prince Sultan Advanced Technologies Research Institute (PSATRI), King Saud University due to the unavailability of the measurement setup in KAUST.

  11. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  12. Epigenetic Mechanisms of Genomic Imprinting: Common Themes in the Regulation of Imprinted Regions in Mammals, Plants, and Insects

    Directory of Open Access Journals (Sweden)

    William A. MacDonald

    2012-01-01

    Full Text Available Genomic imprinting is a form of epigenetic inheritance whereby the regulation of a gene or chromosomal region is dependent on the sex of the transmitting parent. During gametogenesis, imprinted regions of DNA are differentially marked in accordance to the sex of the parent, resulting in parent-specific expression. While mice are the primary research model used to study genomic imprinting, imprinted regions have been described in a broad variety of organisms, including other mammals, plants, and insects. Each of these organisms employs multiple, interrelated, epigenetic mechanisms to maintain parent-specific expression. While imprinted genes and imprint control regions are often species and locus-specific, the same suites of epigenetic mechanisms are often used to achieve imprinted expression. This review examines some examples of the epigenetic mechanisms responsible for genomic imprinting in mammals, plants, and insects.

  13. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  14. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  15. Molecular scale modeling of polymer imprint nanolithography.

    Science.gov (United States)

    Chandross, Michael; Grest, Gary S

    2012-01-10

    We present the results of large-scale molecular dynamics simulations of two different nanolithographic processes, step-flash imprint lithography (SFIL), and hot embossing. We insert rigid stamps into an entangled bead-spring polymer melt above the glass transition temperature. After equilibration, the polymer is then hardened in one of two ways, depending on the specific process to be modeled. For SFIL, we cross-link the polymer chains by introducing bonds between neighboring beads. To model hot embossing, we instead cool the melt to below the glass transition temperature. We then study the ability of these methods to retain features by removing the stamps, both with a zero-stress removal process in which stamp atoms are instantaneously deleted from the system as well as a more physical process in which the stamp is pulled from the hardened polymer at fixed velocity. We find that it is necessary to coat the stamp with an antifriction coating to achieve clean removal of the stamp. We further find that a high density of cross-links is necessary for good feature retention in the SFIL process. The hot embossing process results in good feature retention at all length scales studied as long as coated, low surface energy stamps are used.

  16. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  17. Solid-phase synthesis of molecularly imprinted nanoparticles.

    Science.gov (United States)

    Canfarotta, Francesco; Poma, Alessandro; Guerreiro, Antonio; Piletsky, Sergey

    2016-03-01

    Molecularly imprinted polymers (MIPs) are synthetic materials, generally based on acrylic or methacrylic monomers, that are polymerized in the presence of a specific target molecule called the 'template' and capable of rebinding selectively to this target molecule. They have the potential to be low-cost and robust alternatives to biomolecules such as antibodies and receptors. When prepared by traditional synthetic methods (i.e., with free template in solution), their usefulness has been limited by high binding site heterogeneity, the presence of residual template and the fact that the production methods are complex and difficult to standardize. To overcome some of these limitations, we developed a method for the synthesis of MIP nanoparticles (nanoMIPs) using an innovative solid-phase approach, which relies on the covalent immobilization of the template molecules onto the surface of a solid support (glass beads). The obtained nanoMIPs are virtually free of template and demonstrate high affinity for the target molecule (e.g., melamine and trypsin in our published work). Because of an affinity separation step performed on the solid phase after polymerization, poor binders and unproductive polymer are removed, so the final product has more uniform binding characteristics. The overall protocol, starting from the immobilization of the template onto the solid phase and including the purification and characterization of the nanoparticles, takes up to 1 week.

  18. Development of Nb nanoSQUIDs based on SNS junctions for operation in high magnetic fields

    Energy Technology Data Exchange (ETDEWEB)

    Morosh, Viacheslav; Kieler, Oliver; Weimann, Thomas; Zorin, Alexander [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Mueller, Benedikt; Martinez-Perez, Maria Jose; Kleiner, Reinhold; Koelle, Dieter [Physikalisches Institut and Center for Quantum Science in LISA+, Universitaet Tuebingen (Germany)

    2016-07-01

    Investigation of the magnetization reversal of single magnetic nanoparticles requires SQUIDs with high spatial resolution, high spin sensitivity (a few Bohr magneton μ{sub B}) and at the same time sufficient stability in high magnetic fields. We fabricated dc nanoSQUIDs comprising overdamped SNS sandwich-type (Nb/HfTi/Nb) Josephson junctions using optimized technology based on combination of electron beam lithography and chemical-mechanical polishing. Our nanoSQUIDs have Josephson junctions with lateral dimensions ≤ 150 nm x 150 nm, effective loop areas < 0.05 μm{sup 2} and the distance between the Josephson junctions ≤ 100 nm. The feeding strip lines of the width ≤ 200 nm have been realized. The nanoSQUIDs have shown stable operation in external magnetic fields at least up to 250 mT. Sufficiently low level of flux noise resulting in spin sensitivity of few tens μ{sub B}/Hz{sup 1/2} has been demonstrated. A further reduction of the nanoSQUID size using our technology is possible.

  19. Molecular Imprinting of Macromolecules for Sensor Applications.

    Science.gov (United States)

    Saylan, Yeşeren; Yilmaz, Fatma; Özgür, Erdoğan; Derazshamshir, Ali; Yavuz, Handan; Denizli, Adil

    2017-04-19

    Molecular recognition has an important role in numerous living systems. One of the most important molecular recognition methods is molecular imprinting, which allows host compounds to recognize and detect several molecules rapidly, sensitively and selectively. Compared to natural systems, molecular imprinting methods have some important features such as low cost, robustness, high recognition ability and long term durability which allows molecularly imprinted polymers to be used in various biotechnological applications, such as chromatography, drug delivery, nanotechnology, and sensor technology. Sensors are important tools because of their ability to figure out a potentially large number of analytical difficulties in various areas with different macromolecular targets. Proteins, enzymes, nucleic acids, antibodies, viruses and cells are defined as macromolecules that have wide range of functions are very important. Thus, macromolecules detection has gained great attention in concerning the improvement in most of the studies. The applications of macromolecule imprinted sensors will have a spacious exploration according to the low cost, high specificity and stability. In this review, macromolecules for molecularly imprinted sensor applications are structured according to the definition of molecular imprinting methods, developments in macromolecular imprinting methods, macromolecular imprinted sensors, and conclusions and future perspectives. This chapter follows the latter strategies and focuses on the applications of macromolecular imprinted sensors. This allows discussion on how sensor strategy is brought to solve the macromolecules imprinting.

  20. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    Science.gov (United States)

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-03-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carried out using MODDE 9.0 software. The factors chosen in the model were the amount of functional monomers in the polymerization mixture, irradiation time, temperature during polymerization, and elution temperature. In general, it could be concluded that the irradiation time is the most important and the temperature was the least important factor which influences the yield of nanoparticles. Overall, the response surface methodology proved to be an effective tool in reducing time required for optimization of complex experimental conditions.

  1. 21 CFR 206.10 - Code imprint required.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 4 2010-04-01 2010-04-01 false Code imprint required. 206.10 Section 206.10 Food...: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required... imprint that, in conjunction with the product's size, shape, and color, permits the unique identification...

  2. The Drosophila homolog of the mammalian imprint regulator, CTCF, maintains the maternal genomic imprint in Drosophila melanogaster

    Directory of Open Access Journals (Sweden)

    Rasheva Vanya

    2010-07-01

    Full Text Available Abstract Background CTCF is a versatile zinc finger DNA-binding protein that functions as a highly conserved epigenetic transcriptional regulator. CTCF is known to act as a chromosomal insulator, bind promoter regions, and facilitate long-range chromatin interactions. In mammals, CTCF is active in the regulatory regions of some genes that exhibit genomic imprinting, acting as insulator on only one parental allele to facilitate parent-specific expression. In Drosophila, CTCF acts as a chromatin insulator and is thought to be actively involved in the global organization of the genome. Results To determine whether CTCF regulates imprinting in Drosophila, we generated CTCF mutant alleles and assayed gene expression from the imprinted Dp(1;fLJ9 mini-X chromosome in the presence of reduced CTCF expression. We observed disruption of the maternal imprint when CTCF levels were reduced, but no effect was observed on the paternal imprint. The effect was restricted to maintenance of the imprint and was specific for the Dp(1;fLJ9 mini-X chromosome. Conclusions CTCF in Drosophila functions in maintaining parent-specific expression from an imprinted domain as it does in mammals. We propose that Drosophila CTCF maintains an insulator boundary on the maternal X chromosome, shielding genes from the imprint-induced silencing that occurs on the paternally inherited X chromosome. See commentary: http://www.biomedcentral.com/1741-7007/8/104

  3. Uniform molecularly imprinted microspheres and nanoparticles prepared by precipitation polymerization: The control of particle size suitable for different analytical applications

    International Nuclear Information System (INIS)

    Yoshimatsu, Keiichi; Reimhult, Kristina; Krozer, Anatol; Mosbach, Klaus; Sode, Koji; Ye Lei

    2007-01-01

    Molecularly imprinted polymers (MIPs) are being increasingly used as selective adsorbents in different analytical applications. To satisfy the different application purposes, MIPs with well controlled physical forms in different size ranges are highly desirable. For examples, MIP nanoparticles are very suitable to be used to develop binding assays and for microfluidic separations, whereas MIP beads with diameter of 1.5-3 μm can be more appropriate to use in new analytical liquid chromatography systems. Previous studies have demonstrated that imprinted microspheres and nanoparticles can be synthesized using a simple precipitation polymerization method. Despite that the synthetic method is straightforward, the final particle size obtained has been difficult to adjust for a given template. In this work, we initiated to study new synthetic conditions to obtain MIP beads with controllable size in the nano- to micro-meter range, using racemic propranolol as a model template. Varying the composition of the cross-linking monomer allowed the particle size of the MIP beads to be altered in the range of 130 nm to 2.4 μm, whereas the favorable binding property of the imprinted beads remained intact. The chiral recognition sites were further characterized with equilibrium binding analysis using tritium-labeled (S)-propranolol as a tracer. In general, the imprinted sites displayed a high chiral selectivity: the apparent affinity of the (S)-imprinted sites for (S)-propranolol was 20 times that of for (R)-propranolol. Compared to previously reported irregular particles, the chiral selectivity of competitive radioligand binding assays developed from the present imprinted beads has been increased by six to seven folds in an optimized aqueous solvent

  4. Polymer X-ray refractive nano-lenses fabricated by additive technology.

    Science.gov (United States)

    Petrov, A K; Bessonov, V O; Abrashitova, K A; Kokareva, N G; Safronov, K R; Barannikov, A A; Ershov, P A; Klimova, N B; Lyatun, I I; Yunkin, V A; Polikarpov, M; Snigireva, I; Fedyanin, A A; Snigirev, A

    2017-06-26

    The present work demonstrates the potential applicability of additive manufacturing to X-Ray refractive nano-lenses. A compound refractive lens with a radius of 5 µm was produced by the two-photon polymerization induced lithography. It was successfully tested at the X-ray microfocus laboratory source and a focal spot of 5 μm was measured. An amorphous nature of polymer material combined with the potential of additive technologies may result in a significantly enhanced focusing performance compared to the best examples of modern X-ray compound refractive lenses.

  5. Topological imprint for periodic orbits

    International Nuclear Information System (INIS)

    Martín, Jesús San; Moscoso, Ma José; Gómez, A González

    2012-01-01

    The more self-crossing points an orbit has the more complex it is. We introduce the topological imprint to characterize crossing points and focus on the period-doubling cascade. The period-doubling cascade topological imprint determines the topological imprint for orbits in chaotic bands. In addition, there is a closer link between this concept and the braids studied by Lettelier et al (2000 J. Phys. A: Math. Gen. 33 1809–25). (paper)

  6. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  7. Molecularly Imprinted Polymer Synthesis Using RAFT Polymerisation

    International Nuclear Information System (INIS)

    Cormack, P.A.G.; Faizatul Shimal Mehamod; Faizatul Shimal Mehamod

    2013-01-01

    In this paper, the synthesis and characterisation of caffeine-imprinted polymers are described. The polymers were prepared in monolithic form via both reversible addition-fragmentation chain-transfer (RAFT) polymerisation and conventional free radical polymerisation, using methacrylic acid and ethylene glycol dimethacrylate as the functional monomer and crosslinking agent, respectively. The potential benefits in applying RAFT polymerisation techniques towards the synthesis of molecularly imprinted polymers (MIPs) are explored and elucidated. The pore structures of the polymers produced were characterised by nitrogen sorption porosimetry and the molecular recognition properties of representative products were evaluated in high-performance liquid chromatography (HPLC) mode. Molecular imprinting effects were confirmed by analysing the relative retentions of analytes on imprinted and non-imprinted HPLC stationary phases. It was found that a caffeine-imprinted polymer synthesised by RAFT polymerisation was superior to a polymer prepared using a conventional synthetic approach; the imprinting factor and column efficiency were found to be higher for the former material. (author)

  8. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  9. Thiolated polyethylene oxide as a non-fouling element for nano-patterned bio-devices

    International Nuclear Information System (INIS)

    Lisboa, Patricia; Valsesia, Andrea; Colpo, Pascal; Gilliland, Douglas; Ceccone, Giacomo; Papadopoulou-Bouraoui, Andri; Rauscher, Hubert; Reniero, Fabiano; Guillou, Claude; Rossi, Francois

    2007-01-01

    This work describes the synthesis of a thiolated polyethylene oxide that self-assembles on gold to create a non-fouling surface. Thiolated polyethylene oxide was synthesised by reacting 16-mercaptohexadecanoic acid with polyethylene glycol mono methyl ether. The coverage of the thiolated polyethylene oxide on gold was studied by cyclic voltammetry, and the modified surfaces were characterised by X-ray photoelectron spectroscopy and ellipsometry. Protein resistance was assessed using quartz crystal microbalance. Results showed a non-fouling character produced by the thiolated polyethylene oxide. The synthesised product was used as the passivation layer on nano-patterned surfaces consisting of arrayed nano-spots, fabricated by plasma based colloidal lithography. The specific adsorption of anti-bovine serum albumin in the mercaptohexadecanoic acid spots was verified by atomic force microscopy

  10. A novel 2D silicon nano-mold fabrication technique for linear nanochannels over a 4 inch diameter substrate

    Science.gov (United States)

    Yin, Zhifu; Qi, Liping; Zou, Helin; Sun, Lei

    2016-01-01

    A novel low-cost 2D silicon nano-mold fabrication technique was developed based on Cu inclined-deposition and Ar+ (argon ion) etching. With this technique, sub-100 nm 2D (two dimensional) nano-channels can be etched economically over the whole area of a 4 inch n-type  silicon wafer. The fabricating process consists of only 4 steps, UV (Ultraviolet) lithography, inclined Cu deposition, Ar+ sputter etching, and photoresist & Cu removing. During this nano-mold fabrication process, we investigated the influence of the deposition angle on the width of the nano-channels and the effect of Ar+ etching time on their depth. Post-etching measurements showed the accuracy of the nanochannels over the whole area: the variation in width is 10%, in depth it is 11%. However, post-etching measurements also showed the accuracy of the nanochannels between chips: the variation in width is 2%, in depth it is 5%. With this newly developed technology, low-cost and large scale 2D nano-molds can be fabricated, which allows commercial manufacturing of nano-components over large areas. PMID:26752559

  11. MFM observation of spin structures in nano-magnetic-dot arrays fabricated by damascene technique

    International Nuclear Information System (INIS)

    Sato, K.; Yamamoto, T.; Tezuka, T.; Ishibashi, T.; Morishita, Y.; Koukitu, A.; Machida, K.; Yamaoka, T.

    2006-01-01

    Regularly aligned arrays of magnetic nano dots buried in silicon wafers have been fabricated using damascene technique with the help of electron beam lithography. Arrays of square, rectangular, cross-shaped and Y-shaped structures of submicron size have been obtained. Spin distributions have been observed by means of magnetic force microscopy and analyzed by a micromagnetic simulation with Landau-Lifshitz-Gilbert equations. Importance of magnetostatic interactions working between adjacent dots has been elucidated

  12. Direct replacement of antibodies with molecularly imprinted polymer (MIP) nanoparticles in ELISA – development of a novel assay for vancomycin

    Science.gov (United States)

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J. Sarah; Piletska, Elena V.; Perez De Vargas Sansalvador, Isabel M.; Whitcombe, Michael J.; Piletsky, Sergey A.

    2016-01-01

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop ELISA type assays is presented here for the first time. NanoMIPs were synthesized by a solid phase approach with immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering and electron microscopy. Immobilization, blocking and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a HRP-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was three orders of magnitude better than a previously described ELISA based on antibodies. In these experiments nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA. PMID:23947402

  13. Direct replacement of antibodies with molecularly imprinted polymer nanoparticles in ELISA--development of a novel assay for vancomycin.

    Science.gov (United States)

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J Sarah; Piletska, Elena V; De Vargas Sansalvador, Isabel M Perez; Whitcombe, Michael J; Piletsky, Sergey A

    2013-09-03

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop assays similar to the enzyme-linked immunosorbent assay (ELISA) is presented here for the first time. NanoMIPs were synthesized by a solid-phase approach with an immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering, and electron microscopy. Immobilization, blocking, and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a horseradish peroxidase-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range of 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was 3 orders of magnitude better than a previously described ELISA based on antibodies. In these experiments, nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA.

  14. Effect of nano-imprinting on open-circuit voltage of organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Emah, J.B.; Curry, R.J.; Silva, S.R.P. [Surrey Univ., Guildford (United Kingdom). Advanced Technology Inst.

    2010-07-01

    The open-circuit voltage (V{sub oc}) of solar cells with non-Ohmic contacts are determined by the work function difference of the electrodes. For Ohmic contacts the V{sub oc} is governed by the LUMO and HOMO levels of the acceptor and donor, respectively, which pin the Fermi levels of the cathode and anode. We present a case where the V{sub oc} of a single layer device using poly (3-hexylthiopene-2,5-diyl) (P3HT) as the photoactive material between a nanoimprinted poly poly (3,4-ethylenedioxythiophene) poly (styrene sulfonate)(PEDOT:PSS) and Al electrode decreases due to patterning. The reverse is shown to be the case when [6,6]-phenyl-C{sub 61}-butyric acid ester (PCBM) is introduced to form a bulk heterojunction (BHJ). In both scenarios, there is an increase in the short-circuit current, attributed to an extended optical path length within the photoactive layer and enhanced charge extraction through the increased surface area. The patterned BHJ devices show a 28% and 40% increase in the power conversion efficiency when imprinted with 727 nm and 340 nm periodic patterns respectively. ATR-FTIR investigations of the interfacial PEDOT:PSS film following patterning reveals the presence of PDMS residue which is supported by consideration of the effect on single layer P3HT and P3HT:PCBM blend device performance. UPS measurements demonstrate a reduction in the work function of the interfacial PEDOT:OSS layer by {proportional_to}0.5 eV following nanoimprinting which may originate from chemical modification by the PDMS residue or interfacial dipole formation. XPS spectrum of the imprinted PEDOT:PSS also shows a chemical shift in the 0(1s) core-level towards higher binding energy signifying interaction of the PDMS stamp residue with the PSS dominated surface of PEDOT:PSS. This led to significant improvement in the V{sub oc} and ultimately, the PCE. (orig.)

  15. Imprinting alterations in sperm may not significantly influence ART outcomes and imprinting patterns in the cord blood of offspring.

    Science.gov (United States)

    Tang, Li; Liu, Zichao; Zhang, Ruopeng; Su, Cunmei; Yang, Wenjuan; Yao, Youlin; Zhao, Shuhua

    2017-01-01

    An increase in imprinting disorders in children conceived though assisted reproductive technologies (ARTs) has been the subject of several reports. The transmission of imprinting errors from the sperm of infertile fathers is believed to be a possible reason for the increased occurrence of these disorders. However, whether the imprinting alterations in sperm affect ART outcomes and the imprinting of offspring is unclear. In the current study, we analyzed the methylation of H19, SNRPN and KCNQ1OT1 by pyrosequencing sperm samples from 97 infertile patients and 31 proven fertile males as well as cord blood samples from 13 infantswho were conceived by infertile parents through intracytoplasmic sperm injection (ICSI) and 30 healthy newborns who were conceived naturally. After four cases were excluded owing to the lack of a sequencing signal, the infertile patients were subgrouped into normal (69 cases) and abnormal (24 cases) imprinting groups according to the reference range set by the control group. Between the groups, there were no significant differences in ART outcomes. Significantly different levels of methylation were detected in H19, but none of the imprinted genes were determined to be outside of the methylation reference range set by the values derived from the naturally conceived controls. Three CpG loci were found to be significantly hypomethylated in the maternally imprinted gene KCNQ1OT1 in two patients from the abnormal imprinting group, none of which were caused by sperm imprinting errors. In addition, the paternal H19 gene exhibited discrepant methylation patterns between the sperm controls and the cord blood controls. Our data suggest that increased imprinting errors in the sperm of infertile patients do not have an obvious influence on ART outcomes or the imprinting of offspring.

  16. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  17. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  18. Recording performances in perpendicular magnetic patterned media

    International Nuclear Information System (INIS)

    Asbahi, M; Moritz, J; Dieny, B; Gourgon, C; Perret, C; Van de Veerdonk, R J M

    2010-01-01

    We report on the recording performances and signal-to-noise ratio (SNR) analyses of perpendicular magnetic bit-patterned media. Two different types of magnetic samples are investigated. They differ by the way that they were patterned (nano-imprint versus e-beam lithography) as well as their magnetic properties (Co/Pt multilayers and CoCrPt alloy are the recording layers).Using a contact read/write quasi-static tester, we were able to characterize the write windows, the bit error rates and measure the SNR. The influence of magnetic properties and media microstructure on the writing processes is studied. We show also that the lithographical method used to replicate the media induces more or less noise due to structural distributions.

  19. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Directory of Open Access Journals (Sweden)

    Rongning Liang

    2018-03-01

    Full Text Available Nowadays, it is still difficult for molecularly imprinted polymers (MIPs to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  20. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Science.gov (United States)

    Liang, Rongning; Wang, Tiantian; Zhang, Huan; Yao, Ruiqing; Qin, Wei

    2018-03-01

    Nowadays, it is still difficult for molecularly imprinted polymer (MIPs) to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  1. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  2. Time-Efficient High-Resolution Large-Area Nano-Patterning of Silicon Dioxide

    DEFF Research Database (Denmark)

    Lin, Li; Ou, Yiyu; Aagesen, Martin

    2017-01-01

    A nano-patterning approach on silicon dioxide (SiO2) material, which could be used for the selective growth of III-V nanowires in photovoltaic applications, is demonstrated. In this process, a silicon (Si) stamp with nanopillar structures was first fabricated using electron-beam lithography (EBL....... In addition, high time efficiency can be realized by one-spot electron-beam exposure in the EBL process combined with NIL for mass production. Furthermore, the one-spot exposure enables the scalability of the nanostructures for different application requirements by tuning only the exposure dose. The size...

  3. Nb nanoSQUIDs for detection of small spin systems

    Energy Technology Data Exchange (ETDEWEB)

    Woelbing, R.; Nagel, J.; Kemmler, M.; Kleiner, R.; Koelle, D. [Physikalisches Institut, Universitaet Tuebingen (Germany); Kieler, O.; Weimann, T.; Kohlmann, J.; Zorin, A. [Fachbereich 2.4 ' ' Quantenelektronik' ' , PTB Braunschweig (Germany); Buchter, A.; Xue, F.; Poggio, M. [Department of Physics, University of Basel (Switzerland); Rueffer, D.; Russo-Averchi, E.; Fontcuberta i Morral, A. [Laboratoire des Materiaux Semiconducteurs, EPF Lausanne (Switzerland); Huber, R.; Berberich, P. [Physik-Department E10, Technische Universitaet Muenchen (Germany); Grundler, D. [Laboratoire des Materiaux Semiconducteurs, EPF Lausanne (Switzerland); Physik-Department E10, Technische Universitaet Muenchen (Germany)

    2013-07-01

    We report on the realization of highly sensitive dc nanoSQUIDs for the investigation of small spin systems in moderate magnetic fields. The Nb SQUIDs are based on normal metal Josephson junctions made of HfTi and patterned by e-beam lithography. We demonstrate stable operation up to B = ± 50 mT without degradation of rms flux noise (S{sub Φ}{sup 1/2} ≤ 280 nΦ{sub 0}/√(Hz)). We also present a multifunctional system combining a Nb nanoSQUID and a low-temperature magnetic force microscope (LTMFM) with a Ni nanotube as a scanning tip. This system allows for magnetization measurements of the Ni tube by using both, LTMFM and SQUID readout. Furthermore, the measurement of magnetic flux Φ vs. position of the particle provides an experimental determination of the coupling factor φ{sub μ} = Φ/μ between SQUID and Ni tube with magnetic moment μ. The results confirm our predictions from numerical simulations, taking into account the SQUID geometry.

  4. [Werkgartner's muzzle imprint mark--a literature study].

    Science.gov (United States)

    Geserick, Gunther; Vendura, Klaus; Wirth, Ingo

    2009-01-01

    Since Werkgartner described and correctly interpreted the muzzle imprint mark around the gunshot entrance wound in 1922, this finding has been generally accepted as a sign of a contact shot. In further studies, it could finally be clarified that the muzzle imprint mark is caused by the expansive power of the powder gases with pressure on and abrasion of the skin at the muzzle (weapon imprint). Its shape depends on the firearm, the ammunition and the anatomical conditions, but does not require a bullet. Examinations under a magnifying glass microscope and histological investigations can complete the macroscopic findings. Occasionally, the muzzle imprint mark requires a certain "drying period" in order to become clearly visible. In rare cases, muzzle imprint marks also form on textiles perforated by the projectile. Characteristically shaped muzzled imprint marks can provide clues to the type of the firearm and its position at the time of discharge.

  5. Recent Advances in Imprinting Disorders

    DEFF Research Database (Denmark)

    Soellner, L; Begemann, M; Mackay, D J G

    2017-01-01

    Imprinting disorders (ImpDis) are a group of currently 12 congenital diseases with common underlying (epi)genetic etiologies and overlapping clinical features affecting growth, development and metabolism. In the last years it has emerged that ImpDis are characterized by the same types of mutations...... and epimutations, i.e. uniparental disomies, copy number variations, epimutations, and point mutations. Each ImpDis is associated with a specific imprinted locus, but the same imprinted region can be involved in different ImpDis. Additionally, even the same aberrant methylation patterns are observed in different...

  6. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  7. Label-free detection of DNA hybridization and single point mutations in a nano-gap biosensor

    International Nuclear Information System (INIS)

    Zaffino, R L; Mir, M; Samitier, J

    2014-01-01

    We describe a conductance-based biosensor that exploits DNA-mediated long-range electron transport for the label-free and direct electrical detection of DNA hybridization. This biosensor platform comprises an array of vertical nano-gap biosensors made of gold and fabricated through standard photolithography combined with focused ion beam lithography. The nano-gap walls are covalently modified with short, anti-symmetric thiolated DNA probes, which are terminated by 19 bases complementary to both the ends of a target DNA strand. The nano-gaps are separated by a distance of 50nm, which was adjusted to fit the length of the DNA target plus the DNA probes. The hybridization of the target DNA closes the gap circuit in a switch on/off fashion, in such a way that it is readily detected by an increase in the current after nano-gap closure. The nano-biosensor shows high specificity in the discrimination of base-pair mismatching and does not require signal indicators or enhancing molecules. The design of the biosensor platform is applicable for multiplexed detection in a straightforward manner. The platform is well-suited to mass production, point-of-care diagnostics, and wide-scale DNA analysis applications. (paper)

  8. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  9. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Science.gov (United States)

    Paliwal, Anupam; Temkin, Alexis M; Kerkel, Kristi; Yale, Alexander; Yotova, Iveta; Drost, Natalia; Lax, Simon; Nhan-Chang, Chia-Ling; Powell, Charles; Borczuk, Alain; Aviv, Abraham; Wapner, Ronald; Chen, Xiaowei; Nagy, Peter L; Schork, Nicholas; Do, Catherine; Torkamani, Ali; Tycko, Benjamin

    2013-08-01

    Allele-specific DNA methylation (ASM) is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons), one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated) while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq) in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs), each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS) peaks near CTCF binding sites with ASM.

  10. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Directory of Open Access Journals (Sweden)

    Anupam Paliwal

    2013-08-01

    Full Text Available Allele-specific DNA methylation (ASM is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons, one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs, each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS peaks near CTCF binding sites with ASM.

  11. Catalytic silica particles via template-directed molecular imprinting

    Energy Technology Data Exchange (ETDEWEB)

    Markowitz, M.A.; Kust, P.R.; Deng, G.; Schoen, P.E.; Dordick, J.S.; Clark, D.S.; Gaber, B.P.

    2000-02-22

    The surfaces of silica particle were molecularly imprinted with an {alpha}-chymotrypsin transition-state analogue (TSA) by utilizing the technique of template-directed synthesis of mineralized materials. The resulting catalytic particles hydrolyzed amides in an enantioselective manner. A mixture of a nonionic surfactant and the acylated chymotrysin TSA, with the TSA acting as the headgroup at the surfactant-water interface, was used to form a microemulsion for silica particle formation. Incorporation of amine-, dihydroimidazole-, and carboxylate-terminated trialkoxysilanes into the particles during imprinting resulted in enhancement of the rates of amide hydrolysis. Acylated imprint molecules formed more effective imprints in the presence of the functionalized silanes than nonacylated imprint molecules. Particles surface-imprinted with the chymotrypsin TSA were selective for the trypsin substrate, and particles surface-imprinted with the L-isomer of the enzyme TSA were enantioselective for the D-isomer of the substrate.

  12. Dopamine-imprinted monolithic column for capillary electrochromatography.

    Science.gov (United States)

    Aşır, Süleyman; Sarı, Duygu; Derazshamshir, Ali; Yılmaz, Fatma; Şarkaya, Koray; Denizli, Adil

    2017-11-01

    A dopamine-imprinted monolithic column was prepared and used in capillary electrochromatography as stationary phase for the first time. Dopamine was selectively separated from aqueous solution containing the competitor molecule norepinephrine, which is similar in size and shape to the template molecule. Morphology of the dopamine-imprinted column was observed by scanning electron microscopy. The influence of the organic solvent content of mobile phase, applied pressure and pH of the mobile phase on the recognition of dopamine by the imprinted monolithic column has been evaluated, and the imprinting effect in the dopamine-imprinted monolithic polymer was verified. Developed dopamine-imprinted monolithic column resulted in excellent separation of dopamine from structurally related competitor molecule, norepinephrine. Separation was achieved in a short period of 10 min, with the electrophoretic mobility of 5.81 × 10 -5  m 2 V -1 s -1 at pH 5.0 and 500 mbar pressure. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Molecularly Imprinted Nanomaterials for Sensor Applications

    Science.gov (United States)

    Irshad, Muhammad; Iqbal, Naseer; Mujahid, Adnan; Afzal, Adeel; Hussain, Tajamal; Sharif, Ahsan; Ahmad, Ejaz; Athar, Muhammad Makshoof

    2013-01-01

    Molecular imprinting is a well-established technology to mimic antibody-antigen interaction in a synthetic platform. Molecularly imprinted polymers and nanomaterials usually possess outstanding recognition capabilities. Imprinted nanostructured materials are characterized by their small sizes, large reactive surface area and, most importantly, with rapid and specific analysis of analytes due to the formation of template driven recognition cavities within the matrix. The excellent recognition and selectivity offered by this class of materials towards a target analyte have found applications in many areas, such as separation science, analysis of organic pollutants in water, environmental analysis of trace gases, chemical or biological sensors, biochemical assays, fabricating artificial receptors, nanotechnology, etc. We present here a concise overview and recent developments in nanostructured imprinted materials with respect to various sensor systems, e.g., electrochemical, optical and mass sensitive, etc. Finally, in light of recent studies, we conclude the article with future perspectives and foreseen applications of imprinted nanomaterials in chemical sensors. PMID:28348356

  14. 77 FR 25082 - Picture Permit Imprint Indicia

    Science.gov (United States)

    2012-04-27

    ... POSTAL SERVICE 39 CFR Part 111 Picture Permit Imprint Indicia AGENCY: Postal Service\\TM\\. ACTION... Service, Domestic Mail Manual (DMM[supreg]) 604.5 to add picture permit imprint indicia standards allowing...: The use of picture permit imprint indicia is designed to improve the effectiveness of a mailpiece by...

  15. Assessing the plasmonics of gold nano-triangles with higher order laser modes

    Directory of Open Access Journals (Sweden)

    Laura E. Hennemann

    2012-10-01

    Full Text Available Regular arrays of metallic nano-triangles – so called Fischer patterns – are fabricated by nano-sphere lithography. We studied such gold nano-triangle arrays on silicon or glass substrates. A series of different samples was investigated with a parabolic mirror based confocal microscope where the sample is scanned through the laser focus. By employing higher order laser modes (azimuthally and radially polarised laser beams, we can excite the Fischer patterns using either a pure in-plane (x,y electric field or a strongly z-directional (optical axis of the optical microscope electric field. We collected and evaluated the emitted luminescence and thereby investigated the respectively excited plasmonic modes. These varied considerably: firstly with the light polarisation in the focus, secondly with the aspect ratio of the triangles and thirdly with the employed substrate. Moreover, we obtained strongly enhanced Raman spectra of an adenine (sub-monolayer on gold Fischer patterns on glass. We thus showed that gold Fischer patterns are promising surface-enhanced Raman scattering (SERS substrates.

  16. From superamphiphobic to amphiphilic polymeric surfaces with ordered hierarchical roughness fabricated with colloidal lithography and plasma nanotexturing.

    Science.gov (United States)

    Ellinas, K; Tserepi, A; Gogolides, E

    2011-04-05

    Ordered, hierarchical (triple-scale), superhydrophobic, oleophobic, superoleophobic, and amphiphilic surfaces on poly(methyl methacrylate) PMMA polymer substrates are fabricated using polystyrene (PS) microparticle colloidal lithography, followed by oxygen plasma etching-nanotexturing (for amphiphilic surfaces) and optional subsequent fluorocarbon plasma deposition (for amphiphobic surfaces). The PS colloidal microparticles were assembled by spin-coating. After etching/nanotexturing, the PMMA plates are amphiphilic and exhibit hierarchical (triple-scale) roughness with microscale ordered columns, and dual-scale (hundred nano/ten nano meter) nanoscale texture on the particles (top of the column) and on the etched PMMA surface. The spacing, diameter, height, and reentrant profile of the microcolumns are controlled with the etching process. Following the design requirements for superamphiphobic surfaces, we demonstrate enhancement of both hydrophobicity and oleophobicity as a result of hierarchical (triple-scale) and re-entrant topography. After fluorocarbon film deposition, we demonstrate superhydrophobic surfaces (contact angle for water 168°, compared to 110° for a flat surface), as well as superoleophobic surfaces (153° for diiodomethane, compared to 80° for a flat surface).

  17. Neuronal plasticity and multisensory integration in filial imprinting.

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-03-10

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus.

  18. Neuronal Plasticity and Multisensory Integration in Filial Imprinting

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-01-01

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus. PMID:21423770

  19. Neural basis of imprinting behavior in chicks.

    Science.gov (United States)

    Nakamori, Tomoharu; Maekawa, Fumihiko; Sato, Katsushige; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2013-01-01

    Newly hatched chicks memorize the characteristics of the first moving object they encounter, and subsequently show a preference for it. This "imprinting" behavior is an example of infant learning and is elicited by visual and/or auditory cues. Visual information of imprinting stimuli in chicks is first processed in the visual Wulst (VW), a telencephalic area corresponding to the mammalian visual cortex, congregates in the core region of the hyperpallium densocellulare (HDCo) cells, and transmitted to the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. The imprinting memory is stored in the IMM, and activities of IMM neurons are altered by imprinting. Imprinting also induces functional and structural plastic changes of neurons in the circuit that links the VW and the IMM. Of these neurons, the activity of the HDCo cells is strongly influenced by imprinting. Expression and modulation of NR2B subunit-containing N-methyl-D-aspartate (NMDA) receptors in the HDCo cells are crucial for plastic changes in this circuit as well as the process of visual imprinting. Thus, elucidation of cellular and molecular mechanisms underlying the plastic changes that occurred in the HDCo cells may provide useful knowledge about infant learning. © 2012 The Authors Development, Growth & Differentiation © 2012 Japanese Society of Developmental Biologists.

  20. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  1. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  2. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  3. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  4. Preparations, Properties, and Applications of Periodic Nano Arrays using Anodized Aluminum Oxide and Di-block Copolymer

    Science.gov (United States)

    Noh, Kunbae

    2011-12-01

    Self-ordered arrangements observed in various materials systems such as anodic aluminum oxide, polystyrene nanoparticles, and block copolymer are of great interest in terms of providing new opportunities in nanofabrication field where lithographic techniques are broadly used in general. Investigations on self-assembled nano arrays to understand how to obtain periodic nano arrays in an efficient yet inexpensive way, and how to realize advanced material and device systems thereof, can lead to significant impacts on science and technology for many forefront device applications. In this thesis, various aspects of periodic nano-arrays have been discussed including novel preparations, properties and applications of anodized aluminum oxide (AAO) and PS-b-P4VP (S4VP) di-block copolymer self-assembly. First, long-range ordered AAO arrays have been demonstrated. Nanoimprint lithography (NIL) process allowed a faithful pattern transfer of the imprint mold pattern onto Al thin film, and interesting self-healing and pattern tripling phenomena were observed, which could be applicable towards fabrication of the NIL master mold having highly dense pattern over large area, useful for fabrication of a large-area substrate for predictable positioning of arrayed devices. Second, S4VP diblock copolymer self-assembly and S4VP directed AAO self-assembly have been demonstrated in the Al thin film on Si substrate. Such a novel combination of two dissimilar self-assembly techniques demonstrated a potential as a versatile tool for nanopatterning formation on a Si substrate, capable of being integrated into Si process technology. As exemplary applications, vertically aligned Ni nanowires have been synthesized into an S4VP-guided AAO membrane on a Si substrate in addition to anti-dot structured [Co/Pd]n magnetic multilayer using S4VP self assembly. Third, a highly hexagonally ordered, vertically parallel aluminum oxide nanotube array was successfully fabricated via hard anodization technique

  5. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  6. Endosperm imprinting: a child custody battle?

    Science.gov (United States)

    Becraft, Philip W

    2012-02-07

    Endosperm gene imprinting has long been speculated to control nutrient allocation to seeds. For the first time, an imprinted gene directly involved in this process has been identified. Copyright © 2012 Elsevier Ltd. All rights reserved.

  7. Molecularly Imprinted Polymers: Present and Future Prospective

    Directory of Open Access Journals (Sweden)

    Giuseppe Vasapollo

    2011-09-01

    Full Text Available Molecular Imprinting Technology (MIT is a technique to design artificial receptors with a predetermined selectivity and specificity for a given analyte, which can be used as ideal materials in various application fields. Molecularly Imprinted Polymers (MIPs, the polymeric matrices obtained using the imprinting technology, are robust molecular recognition elements able to mimic natural recognition entities, such as antibodies and biological receptors, useful to separate and analyze complicated samples such as biological fluids and environmental samples. The scope of this review is to provide a general overview on MIPs field discussing first general aspects in MIP preparation and then dealing with various application aspects. This review aims to outline the molecularly imprinted process and present a summary of principal application fields of molecularly imprinted polymers, focusing on chemical sensing, separation science, drug delivery and catalysis. Some significant aspects about preparation and application of the molecular imprinting polymers with examples taken from the recent literature will be discussed. Theoretical and experimental parameters for MIPs design in terms of the interaction between template and polymer functionalities will be considered and synthesis methods for the improvement of MIP recognition properties will also be presented.

  8. Molecularly Imprinted Membranes

    Science.gov (United States)

    Trotta, Francesco; Biasizzo, Miriam; Caldera, Fabrizio

    2012-01-01

    Although the roots of molecularly imprinted polymers lie in the beginning of 1930s in the past century, they have had an exponential growth only 40–50 years later by the works of Wulff and especially by Mosbach. More recently, it was also proved that molecular imprinted membranes (i.e., polymer thin films) that show recognition properties at molecular level of the template molecule are used in their formation. Different procedures and potential application in separation processes and catalysis are reported. The influences of different parameters on the discrimination abilities are also discussed. PMID:24958291

  9. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  10. Imprinted Polymers in Wastewater Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Eastman, Christopher; Goodrich, Scott; Gartner, Isabelle; Mueller, Anja

    2004-03-31

    In wastewater treatment, a method that specifically recognizes a variety of impurities in a flexible manner would be useful for treatment facilities with varying needs. Current purification techniques (i.e. bacteria, oxidation, reduction, precipitation and filtration) are nonspecific and difficult to control in complex mixtures. Heavy metal removal is particularly important in improving the efficiency of wastewater treatment, as they inhibit or even destroy the bacteria used for filtration. Imprinting polymerization is a technique that allows for the efficient removal of specific compounds and has been used in purification of enantiomers. It has potential to be applied in wastewater systems with the impurities acting as the template for the imprinting polymerization. The polymer with the bound impurities intact can then be removed via precipitation. After removal of the impurity the polymer can be reused. Data for the imprinting polymerization of polyacrylates and polyacrylamides for several metal complexes will be presented. Imprinting polymerization in combination with emulsion polymerization to improve the removal of hydrophobic contaminants will be described. Removal efficiencies will be presented and compared with conventional wastewater treatment methods.

  11. Fabrication of nano-sized magnetic tunnel junctions using lift-off process assisted by atomic force probe tip.

    Science.gov (United States)

    Jung, Ku Youl; Min, Byoung-Chul; Ahn, Chiyui; Choi, Gyung-Min; Shin, Il-Jae; Park, Seung-Young; Rhie, Kungwon; Shin, Kyung-Ho

    2013-09-01

    We present a fabrication method for nano-scale magnetic tunnel junctions (MTJs), employing e-beam lithography and lift-off process assisted by the probe tip of atomic force microscope (AFM). It is challenging to fabricate nano-sized MTJs on small substrates because it is difficult to use chemical mechanical planarization (CMP) process. The AFM-assisted lift-off process enables us to fabricate nano-sized MTJs on small substrates (12.5 mm x 12.5 mm) without CMP process. The e-beam patterning has been done using bi-layer resist, the poly methyl methacrylate (PMMA)/ hydrogen silsesquioxane (HSQ). The PMMA/HSQ resist patterns are used for both the etch mask for ion milling and the self-aligned mask for top contact formation after passivation. The self-aligned mask buried inside a passivation oxide layer, is readily lifted-off by the force exerted by the probe tip. The nano-MTJs (160 nm x 90 nm) fabricated by this method show clear current-induced magnetization switching with a reasonable TMR and critical switching current density.

  12. Causal imprinting in causal structure learning.

    Science.gov (United States)

    Taylor, Eric G; Ahn, Woo-Kyoung

    2012-11-01

    Suppose one observes a correlation between two events, B and C, and infers that B causes C. Later one discovers that event A explains away the correlation between B and C. Normatively, one should now dismiss or weaken the belief that B causes C. Nonetheless, participants in the current study who observed a positive contingency between B and C followed by evidence that B and C were independent given A, persisted in believing that B causes C. The authors term this difficulty in revising initially learned causal structures "causal imprinting." Throughout four experiments, causal imprinting was obtained using multiple dependent measures and control conditions. A Bayesian analysis showed that causal imprinting may be normative under some conditions, but causal imprinting also occurred in the current study when it was clearly non-normative. It is suggested that causal imprinting occurs due to the influence of prior knowledge on how reasoners interpret later evidence. Consistent with this view, when participants first viewed the evidence showing that B and C are independent given A, later evidence with only B and C did not lead to the belief that B causes C. Copyright © 2012 Elsevier Inc. All rights reserved.

  13. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  14. Potential roles for transposable elements in creating imprinted expression.

    Science.gov (United States)

    Anderson, Sarah N; Springer, Nathan M

    2018-04-01

    Changes in gene expression can have profound effects on phenotype. Nature has provided many complex patterns of gene regulation such as imprinting. Imprinted genes exhibit differences in the expression of the maternal and paternal alleles, even though they reside in the same nucleus with access to the same trans-acting factors. Significant attention has been focused on the potential reasons that imprinted expression could be beneficial and stabilized by selection. However, less attention has focused on understanding how imprinted expression might arise or decay. We discuss the evidence for frequent turnover of imprinted expression based on evolutionary analyses in plants and the potential role for transposable elements (TEs) in creating imprinted expression patterns. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Imprinting disorders after assisted reproductive technologies

    DEFF Research Database (Denmark)

    Lidegaard, Øjvind; Pinborg, Anja; Andersen, Anders Nyboe

    2006-01-01

    To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies.......To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies....

  16. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  17. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  18. Fluorescense Anisotropy Studies of Molecularly Imprinted Polymer Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yin-Chu; Wang, Zheming; Yan, Mingdi; Prahl, Scott A.

    2005-08-03

    Molecularly imprinted polymers (MIPs) are used as recognition elements in biochemical sensors. In a fluorescence-based MIP sensor system, it is difficult to distinguish the analyte fluorescence from the background fluorescence of the polymer itself. We studied steady-state fluorescence anisotropy of anthracene imprinted in a polymer (polyurethane) matrix. Vertically polarized excitation light was incident on MIP films coated on silicon wafers; vertically and horizontally polarized emission was measured. We compared the fluorescence anisotropy of MIPs with imprinted molecules, MIPs with the imprinted molecules extracted, MIPs with rebound molecules, and nonimprinted control polymers (without binding cavities). It is shown that differences in fluorescence anisotropy between the polymers and imprinted fluorescent molecules may provide a means to discriminate the fluorescence of analyte from that of the background polymer.

  19. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  20. Imprinting can cause a maladaptive preference for infectious conspecifics.

    Science.gov (United States)

    Stephenson, Jessica F; Reynolds, Michael

    2016-04-01

    Recognizing and associating with specific individuals, such as conspecifics or kin, brings many benefits. One mechanism underlying such recognition is imprinting: the long-term memory of cues encountered during development. Typically, juveniles imprint on cues of nearby individuals and may later associate with phenotypes matching their 'recognition template'. However, phenotype matching could lead to maladaptive social decisions if, for instance, individuals imprint on the cues of conspecifics infected with directly transmitted diseases. To investigate the role of imprinting in the sensory ecology of disease transmission, we exposed juvenile guppies,Poecilia reticulata, to the cues of healthy conspecifics, or to those experiencing disease caused by the directly transmitted parasite Gyrodactylus turnbulli In a dichotomous choice test, adult 'disease-imprinted' guppies preferred to associate with the chemical cues of G. turnbulli-infected conspecifics, whereas 'healthy-imprinted' guppies preferred to associate with cues of uninfected conspecifics. These responses were only observed when stimulus fish were in late infection, suggesting imprinted fish responded to cues of disease, but not of infection alone. We discuss how maladaptive imprinting may promote disease transmission in natural populations of a social host. © 2016 The Author(s).

  1. Computational design and fabrication of core-shell magnetic molecularly imprinted polymer for dispersive micro-solid-phase extraction coupled with high-performance liquid chromatography for the determination of rhodamine 6G.

    Science.gov (United States)

    Xie, Jin; Xie, Jie; Deng, Jian; Fang, Xiangfang; Zhao, Haiqing; Qian, Duo; Wang, Hongjuan

    2016-06-01

    A novel core-shell magnetic nano-adsorbent with surface molecularly imprinted polymer coating was fabricated and then applied to dispersive micro-solid-phase extraction followed by determination of rhodamine 6G using high-performance liquid chromatography. The molecularly imprinted polymer coating was prepared by copolymerization of dopamine and m-aminophenylboronic acid (functional monomers), in the presence of rhodamine 6G (template). The selection of the suitable functional monomers was based on the interaction between different monomers and the template using the density functional theory. The ratios of the monomers to template were further optimized by an OA9 (3(4) ) orthogonal array design. The binding performances of the adsorbent were evaluated by static, kinetic, and selective adsorption experiments. The results reveal that the adsorbent possesses remarkable affinity and binding specificity for rhodamine 6G because of the enhanced Lewis acid-base interaction between the B(Ш) embedded in the imprinted cavities and the template. The nano-adsorbent was successfully applied to dispersive micro-solid-phase extraction coupled to high-performance liquid chromatography for the trace determination of rhodamine 6G in samples with a detection limit of 2.7 nmol/L. Spiked recoveries ranged from 93.0-99.1, 89.5-92.7, and 86.9-105% in river water, matrimony vine and paprika samples, respectively, with relative standard deviations of less than 4.3%. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Facile fabrication of super-hydrophobic nano-needle arrays via breath figures method.

    Science.gov (United States)

    Kim, Jiseok; Lew, Brian; Kim, Woo Soo

    2011-12-06

    Super-hydrophobic surfaces which have been fabricated by various methods such as photolithography, chemical treatment, self-assembly, and imprinting have gained enormous attention in recent years. Especially 2D arrays of nano-needles have been shown to have super-hydrophobicity due to their sharp surface roughness. These arrays can be easily generated by removing the top portion of the honeycomb films prepared by the breath figures method. The hydrophilic block of an amphiphilic polymer helps in the fabrication of the nano-needle arrays through the production of well-ordered honeycomb films and good adhesion of the film to a substrate. Anisotropic patterns with water wettability difference can be useful for patterning cells and other materials using their selective growth on the hydrophilic part of the pattern. However, there has not been a simple way to generate patterns with highly different wettability. Mechanical stamping of the nano-needle array with a polyurethane stamp might be the simplest way to fabricate patterns with wettability difference. In this study, super-hydrophobic nano-needle arrays were simply fabricated by removing the top portion of the honeycomb films. The maximum water contact angle obtained with the nano-needle array was 150°. By controlling the pore size and the density of the honeycomb films, the height, width, and density of nano-needle arrays were determined. Anisotropic patterns with different wettability were fabricated by simply pressing the nano-needle array at ambient temperature with polyurethane stamps which were flexible but tough. Mechanical stamping of nano-needle arrays with micron patterns produced hierarchical super-hydrophobic structures.PACS: 05.70.Np, 68.55.am, 68.55.jm.

  3. Pattern imprinting in CMOS static RAMs from Co-60 irradiation

    International Nuclear Information System (INIS)

    Schott, J.T.; Zugich, M.H.

    1987-01-01

    Total dose irradiation of various CMOS SRAMs is shown to imprint the pattern stored in the memory during irradiation. This imprinted pattern is the preferred state of the memory at subsequent power-up. Imprinting can occur at dose levels significantly below the failure level of the devices and is consistent with the bias dependent radiation induced threshold shifts of the individual transistors of the memory cells. However, before total imprinting occurs, other unusual imprinting phenomena can occur, such as a reverse imprinting effect seen in SOS memories, which is probably related to the bias dependence of back-channel leakage

  4. Sexual imprinting: what strategies should we expect to see in nature?

    Science.gov (United States)

    Chaffee, Dalton W; Griffin, Hayes; Gilman, R Tucker

    2013-12-01

    Sexual imprinting occurs when juveniles learn mate preferences by observing the phenotypes of other members of their populations, and it is ubiquitous in nature. Imprinting strategies, that is which individuals and phenotypes are observed and how strong preferences become, vary among species. Imprinting can affect trait evolution and the probability of speciation, and different imprinting strategies are expected to have different effects. However, little is known about how and why different imprinting strategies evolve, or which strategies we should expect to see in nature. We used a mathematical model to study how the evolution of sexual imprinting depends on (1) imprinting costs and (2) the sex-specific fitness effects of the phenotype on which individuals imprint. We found that even small fixed costs prevent the evolution of sexual imprinting, but small relative costs do not. When imprinting does evolve, we identified the conditions under which females should evolve to imprint on their fathers, their mothers, or on other members of their populations. Our results provide testable hypotheses for empirical work and help to explain the conditions under which sexual imprinting might evolve to promote speciation. © 2013 The Author(s). Evolution © 2013 The Society for the Study of Evolution.

  5. Optical Nano-antennae as Compact and Efficient Couplers from Free-space to Waveguide Modes

    DEFF Research Database (Denmark)

    Zenin, Vladimir A.; Malureanu, Radu; Volkov, Valentyn

    2015-01-01

    Optical nano-antennae are one of the possible solutions for coupling free-space radiation into subwavelength waveguides. Our efforts were concentrated on coupling between an optical fibre and a plasmonic slot waveguide. Such coupling is still an issue to be solved in order to advance the use...... of plasmonic waveguides for optical interconnects. During the talk, we will present our modelling optimisation, fabrication and measurement of the nano-antennae functionality. For the modelling part, we used CST Microwave studio for optimising the antenna geometry. Various antennae were modelled and fabricated....... The fabrication was based on electron beam lithography and lift-off processes. The measurements were performed with scattering scanning near-field microscope and allowed the retrieval of both amplitude and phase of the propagating plasmon. The obtained values agree very well with the theoretically predicted ones...

  6. Pending templates imprinted polymers-hypothesis, synthesis, adsorption, and chromatographic properties.

    Science.gov (United States)

    Yang, Chun; Luan, Xinjie; Zhao, Meifeng; Liu, Guofeng; Wang, Jian; Qu, Qishu; Hu, Xiaoya

    2013-05-01

    This is the first time when protein-imprinted polymers are prepared with "pending templates." The polymers were synthesized in the presence of a real sample (chicken egg white), rather than any known commercial proteins. Compared with a simultaneously synthesized nonimprinted control polymer, the polymers show higher adsorption capacity for abundant components (as "pending templates") in the original sample. Chromatography experiments indicated that the columns made of the imprinted polymers could retain abundant species (imprinted) and separate them from those not imprinted. Thus, the sample could be split into dimidiate subfractions with reduced complexities. "Pending template imprinting" suggests a new way to investigate molecular imprinting, especially to dissect, simplify, and analyze complicated samples through a series of polymers just imprinted by the samples per se. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Multi-dimensional single-spin nano-optomechanics with a levitated nanodiamond

    Science.gov (United States)

    Neukirch, Levi P.; von Haartman, Eva; Rosenholm, Jessica M.; Nick Vamivakas, A.

    2015-10-01

    Considerable advances made in the development of nanomechanical and nano-optomechanical devices have enabled the observation of quantum effects, improved sensitivity to minute forces, and provided avenues to probe fundamental physics at the nanoscale. Concurrently, solid-state quantum emitters with optically accessible spin degrees of freedom have been pursued in applications ranging from quantum information science to nanoscale sensing. Here, we demonstrate a hybrid nano-optomechanical system composed of a nanodiamond (containing a single nitrogen-vacancy centre) that is levitated in an optical dipole trap. The mechanical state of the diamond is controlled by modulation of the optical trapping potential. We demonstrate the ability to imprint the multi-dimensional mechanical motion of the cavity-free mechanical oscillator into the nitrogen-vacancy centre fluorescence and manipulate the mechanical system's intrinsic spin. This result represents the first step towards a hybrid quantum system based on levitating nanoparticles that simultaneously engages optical, phononic and spin degrees of freedom.

  8. Functional mapping imprinted quantitative trait loci underlying developmental characteristics

    Directory of Open Access Journals (Sweden)

    Li Gengxin

    2008-03-01

    Full Text Available Abstract Background Genomic imprinting, a phenomenon referring to nonequivalent expression of alleles depending on their parental origins, has been widely observed in nature. It has been shown recently that the epigenetic modification of an imprinted gene can be detected through a genetic mapping approach. Such an approach is developed based on traditional quantitative trait loci (QTL mapping focusing on single trait analysis. Recent studies have shown that most imprinted genes in mammals play an important role in controlling embryonic growth and post-natal development. For a developmental character such as growth, current approach is less efficient in dissecting the dynamic genetic effect of imprinted genes during individual ontology. Results Functional mapping has been emerging as a powerful framework for mapping quantitative trait loci underlying complex traits showing developmental characteristics. To understand the genetic architecture of dynamic imprinted traits, we propose a mapping strategy by integrating the functional mapping approach with genomic imprinting. We demonstrate the approach through mapping imprinted QTL controlling growth trajectories in an inbred F2 population. The statistical behavior of the approach is shown through simulation studies, in which the parameters can be estimated with reasonable precision under different simulation scenarios. The utility of the approach is illustrated through real data analysis in an F2 family derived from LG/J and SM/J mouse stains. Three maternally imprinted QTLs are identified as regulating the growth trajectory of mouse body weight. Conclusion The functional iQTL mapping approach developed here provides a quantitative and testable framework for assessing the interplay between imprinted genes and a developmental process, and will have important implications for elucidating the genetic architecture of imprinted traits.

  9. Electron Beam Lithography of HSQ and PMMA Resists and Importance of their Properties to Link the Nano World to the Micro World

    NARCIS (Netherlands)

    Kaleli, B.; Aarnink, Antonius A.I.; Smits, Sander M.; Hueting, Raymond Josephus Engelbart; Wolters, Robertus A.M.; Schmitz, Jurriaan

    2010-01-01

    In this work we investigated the properties of HSQ and PMMA resists focusing on contrast and line width for ebeam lithography (EBL) application. HSQ was found to be a good candidate to have desired line widths but the contrast we obtained was less than it was for PMMA. Since the fluorine based

  10. Synthesis of a Molecularly Imprinted Polymer for Dioxin

    Directory of Open Access Journals (Sweden)

    Magda Brattoli

    2006-08-01

    Full Text Available A molecularly imprinted polymer for recognising selectively 2,3,7,8-tetrachlorodibenzodioxin (TCDD was made by a new non-covalent method employing a“dummy” template. The proposed way represents a simplification of a synthetic schemeproposed by Lübke et al.[1] for covalent imprinting. Comparison of extraction yields of thenovel polymer, a non imprinted polymer and an imprinting polymer, prepared by theoriginal procedure demonstrates the binding capacity of the proposed polymer, which is inprinciple applicable to solid phase extraction (SPE of dioxin.

  11. Rational preparation of dibenzothiophene-imprinted polymers by surface imprinting technique combined with atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Yang, Wenming; Liu, Lukuan; Zhou, Zhiping; Liu, Hong; Xie, Binze; Xu, Wanzhen

    2013-01-01

    A computational simulation method is introduced to simulate the dibenzothiophene-monomer pre-assembly system of molecular imprinted polymers. The interaction type and intensity between dibenzothiophene and monomer are discussed from the binding energy and spatial position distribution. The simulation and analysis results indicate that the amount of the function monomer is not the more the better in preparing molecular imprinted polymers. Based on the above results, a novel dibenzothiophene-imprinted polymers with the favorable specific adsorption effect was prepared by surface imprinting technique combined with atom transfer radical polymerization. This combined technologies are used for preparing a desulfurization adsorbent for the first time. Various measures were selected to characterize the structure and morphology of the prepared adsorbent. The characterization results show that the adsorbent has suitable features for further adsorption process. A series of static adsorption experiments were conducted to analyze its adsorption performance. The adsorption process follows Elovich model by the kinetic analysis and Sips equation by the isothermal analysis. The approach we described will provide another opportunity in the deep desulfurization field.

  12. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors

    Science.gov (United States)

    Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong

    2014-04-01

    An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO2) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g-1 at the scan rate of 5 mV s-1. This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices.

  13. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors

    International Nuclear Information System (INIS)

    Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong

    2014-01-01

    An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO 2 ) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g −1  at the scan rate of 5 mV s −1 . This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices. (paper)

  14. Role of imprint/exfoliative cytology in ulcerated skin neoplasms.

    Science.gov (United States)

    Ramakrishnaiah, Vishnu Prasad Nelamangala; Babu, Ravindra; Pai, Dinker; Verma, Surendra Kumar

    2013-12-01

    Imprint cytology is a method of studying cells by taking an imprint from the cut surface of a wedge biopsy specimen or from the resected margins of a surgical specimen. It is rapid, simple and fairly accurate. Exfoliative cytology is an offshoot from the imprint cytology where in cells obtained from the surface of ulcers, either by scrape or brush, are analyzed for the presence of malignant cells. We undertook this study to see the role of imprint/exfoliative cytology in the diagnosis of ulcerated skin neoplasm and to check the adequacy of resected margins intra-operatively. This was a prospective investigative study conducted from September 2003 to July 2005. All patients presenting to surgical clinic with ulcerated skin and soft tissue tumours were included in the study. A wedge biopsy obtained from the ulcer and imprint smears were taken from the cut surface. Exfoliative cytology was analyzed from the surface smears. Wedge biopsy specimen was sent for histopathological (HPE) examination. The cytology and HPE were analyzed by a separate pathologist. Imprint cytology was also used to check the adequacy of resected margins in case of wide excision. This was compared with final HPE. Total of 107 patients was included in the present study and 474 imprint smears were done, with an average of 4.43 slides per lesion. Out of 59 wide excision samples, 132 imprint smears were prepared for assessing resected margins accounting for an average of 2.24 slides per each excised lesion. On combining imprint cytology with exfoliative cytology the overall sensitivity, specificity and positive predictive value were 90.38 %, 100 % and 90.38 % respectively. Only one out of 59 cases had a positive resected margin which was not picked by imprint cytology. Imprint cytology can be used for rapid and accurate diagnosis of various skin malignancies. It can also be used to check the adequacy of the resected margin intraoperatively.

  15. Molecularly Imprinted Polymer/Metal Organic Framework Based Chemical Sensors

    Directory of Open Access Journals (Sweden)

    Zhenzhong Guo

    2016-10-01

    Full Text Available The present review describes recent advances in the concept of molecular imprinting using metal organic frameworks (MOF for development of chemical sensors. Two main strategies regarding the fabrication, performance and applications of recent sensors based on molecularly imprinted polymers associated with MOF are presented: molecularly imprinted MOF films and molecularly imprinted core-shell nanoparticles using MOF as core. The associated transduction modes are also discussed. A brief conclusion and future expectations are described herein.

  16. GATM, the human ortholog of the mouse imprinted Gatm gene, escapes genomic imprinting in placenta

    Directory of Open Access Journals (Sweden)

    Toshinobu Miyamoto

    2005-03-01

    Full Text Available The GATM gene encodes L-arginine:glycine amidinotransferase, which catalyzes the conversion of L-arginine into guanidinoacetate, the rate-limiting step in the synthesis of creatine. Since, deficiencies in creatine synthesis and transport lead to certain forms of mental retardation in human, the human GATM gene appears to be involved in brain development. Recently it has been demonstrated that the mouse Gatm is expressed during development and is imprinted with maternal expression in the placenta and yolk sac, but not in embryonic tissues. We investigated the imprinting status of the human GATM by analyzing its expression in four human placentas. GATM was biallelically expressed, thus suggesting that this gene escapes genomic imprinting in placentas, differently from what has been reported in mouse extra-embryonic tissues.

  17. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    Science.gov (United States)

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  18. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  19. Foster parenting, human imprinting and conventional handling ...

    African Journals Online (AJOL)

    p2492989

    Foster parenting, human imprinting and conventional handling affects survival and early .... bird may subsequently direct its sexual attention to those humans on whom it was imprinted (Bubier et al., ..... The mind through chicks' eyes: memory,.

  20. Distinguishing epigenetic marks of developmental and imprinting regulation

    Directory of Open Access Journals (Sweden)

    McEwen Kirsten R

    2010-01-01

    Full Text Available Abstract Background The field of epigenetics is developing rapidly, however we are only beginning to comprehend the complexity of its influence on gene regulation. Using genomic imprinting as a model we examine epigenetic profiles associated with different forms of gene regulation. Imprinting refers to the expression of a gene from only one of the chromosome homologues in a parental-origin-specific manner. This is dependent on heritable germline epigenetic control at a cis-acting imprinting control region that influences local epigenetic states. Epigenetic modifications associated with imprinting regulation can be compared to those associated with the more canonical developmental regulation, important for processes such as differentiation and tissue specificity. Here we test the hypothesis that these two mechanisms are associated with different histone modification enrichment patterns. Results Using high-throughput data extraction with subsequent analysis, we have found that particular histone modifications are more likely to be associated with either imprinting repression or developmental repression of imprinted genes. H3K9me3 and H4K20me3 are together enriched at imprinted genes with differentially methylated promoters and do not show a correlation with developmental regulation. H3K27me3 and H3K4me3, however, are more often associated with developmental regulation. We find that imprinted genes are subject to developmental regulation through bivalency with H3K4me3 and H3K27me3 enrichment on the same allele. Furthermore, a specific tri-mark signature comprising H3K4me3, H3K9me3 and H4K20me3 has been identified at all imprinting control regions. Conclusion A large amount of data is produced from whole-genome expression and epigenetic profiling studies of cellular material. We have shown that such publicly available data can be mined and analysed in order to generate novel findings for categories of genes or regulatory elements. Comparing two

  1. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  2. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  3. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  4. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  5. Review: Biological imprinting: Some genetic considerations | Saad ...

    African Journals Online (AJOL)

    ... as for interpretation of possible mechanisms implicated in its occurrence. Keywords: Genetic imprinting; Mutations; Re-sense mutation; Epigenetic alterations; DNA methylation/demethylation; Parthenogenesis; Position-effect variegation; Post-fertilization genomic imprinting; microRNA; Chromatin modifications; Pyknons ...

  6. Current Progress of Nanomaterials in Molecularly Imprinted Electrochemical Sensing.

    Science.gov (United States)

    Zhong, Chunju; Yang, Bin; Jiang, Xinxin; Li, Jianping

    2018-01-02

    Nanomaterials have received much attention during the past decade because of their excellent optical, electronic, and catalytic properties. Nanomaterials possess high chemical reactivity, also high surface energy. Thus, provide a stable immobilization platform for biomolecules, while preserving their reactivity. Due to the conductive and catalytic properties, nanomaterials can also enhance the sensitivity of molecularly imprinted electrochemical sensors by amplifying the electrode surface, increasing the electron transfer, and catalyzing the electrochemical reactions. Molecularly imprinted polymers that contain specific molecular recognition sites can be designed for a particular target analyte. Incorporating nanomaterials into molecularly imprinted polymers is important because nanomaterials can improve the response signal, increase the sensitivity, and decrease the detection limit of the sensors. This study describes the classification of nanomaterials in molecularly imprinted polymers, their analytical properties, and their applications in the electrochemical sensors. The progress of the research on nanomaterials in molecularly imprinted polymers and the application of nanomaterials in molecularly imprinted polymers is also reviewed.

  7. Selective Adsorption of Nano-bio materials and nanostructure fabrication on Molecular Resists Modified by proton beam irradiation

    International Nuclear Information System (INIS)

    Lee, H. W.; Kim, H. S.; Kim, S. M.

    2008-04-01

    The purpose of this research is the fabrication of nanostructures on silicon substrate using proton beam and selectively adsorption of bio-nano materials on the patterned substrate. Recently, the miniaturization of the integrated devices with fine functional structures was intensively investigated, based on combination of nanotechnology (NT), biotechnology (BT) and information technology (IT). Because of the inherent limitation in optical lithography, large variety of novel patterning technologies were evolved to construct nano-structures onto a substrate. Atomic force microscope-based nanolithography has readily formed sub-50 nm patterns by the local modification of a substrate using a probe with a curvature of 10 nm. The surface property was regarded as one of the most important factors for AFM-based nanolithography as well as for other novel nanolithographies. The molecular thin films such as a self-assembled monolayer or a polymer resist layer have been used as an alternative to modifying the surface property. Although proton or ion beam irradiation has been used as an efficient tool to modify the physical, chemical and electrical properties of a surface, the nano-patterning on the substrate or the molecular film modified with the beam irradiation has hardly been studied at both home and abroad. The selective adsorption of nano-bio materials such as carbon nanotubes and proteins on the patterns would contribute to developing the integrated devices. The polystyrene nanoparticles (400 nm) were arrayed on al silicon surface using nanosphere lithography and the various nanopatterns were fabricated by proton beam irradiation on the polystyrene nanoparticles arrayed silicon surface. We obtained the two different nanopatterns such as polymer nanoring patterns and silicon oxide patterns on the same silicon substrate. The polymer nanoring patterns formed by the crosslinkage of polystyrene when proton beam was irradiated at the triangular void spaces that are enclosed by

  8. Preparation of polyhedral oligomeric silsesquioxane based imprinted monolith.

    Science.gov (United States)

    Li, Fang; Chen, Xiu-Xiu; Huang, Yan-Ping; Liu, Zhao-Sheng

    2015-12-18

    Polyhedral oligomeric silsesquioxane (POSS) was successfully applied, for the first time, to prepare imprinted monolithic column with high porosity and good permeability. The imprinted monolithic column was synthesized with a mixture of PSS-(1-Propylmethacrylate)-heptaisobutyl substituted (MA 0702), naproxon (template), 4-vinylpyridine, and ethylene glycol dimethacrylate, in ionic liquid 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIM]BF4). The influence of synthesis parameters on the retention factor and imprinting effect, including the amount of MA 0702, the ratio of template to monomer, and the ratio of monomer to crosslinker, was investigated. The greatest imprinting factor on the imprinted monolithic column prepared with MA 0702 was 22, about 10 times higher than that prepared in absence of POSS. The comparisons between MIP monoliths synthesized with POSS and without POSS were made in terms of permeability, column efficiency, surface morphology and pore size distribution. In addition, thermodynamic and Van Deemter analysis were used to evaluate the POSS-based MIP monolith. Copyright © 2015 Elsevier B.V. All rights reserved.

  9. The fabrication of 3-D nanostructures by a low- voltage EBL

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seung Hun [Department of Nano Science and Technology, Pusan National University (Korea, Republic of); Kim, Jae Gu [Department of Nano-Mechanical Systems, Korea Institute of Machinery and Materials (Korea, Republic of); Kim, Chang Seok [Department of Cogno-Mechatronics Engineering, Pusan National University (Korea, Republic of); Choi, Doo Sun; Chang, Sunghwan [Department of Nano-Mechanical Systems, Korea Institute of Machinery and Materials (Korea, Republic of); Jeong, Myung Yung, E-mail: myjeong@pusan.ac.kr [Department of Cogno-Mechatronics Engineering, Pusan National University (Korea, Republic of)

    2011-02-15

    Three-dimensional (3-D) structures are used in many applications, including the fabrication of opto-electronic and bio-MEMS devices. Among the various fabrication techniques available for 3-D structures, nano imprint lithography (NIL) is preferred for producing nanoscale 3-D patterns because of its simplicity, relatively short processing time, and high manufacturing precision. For efficient replication in NIL, a precise 3-D stamp must be used as an imprinting tool. Hence, we attempted the fabrication of original 3-D master molds by low-voltage electron beam lithography (EBL). We then fabricated polydimethylsiloxane (PDMS) stamps from the original 3-D mold via replica molding with ultrasonic vibration.First, we experimentally analyzed the characteristics of low-voltage EBL in terms of various parameters such as resist thickness, acceleration voltage, aperture size, and baking temperature. From these e-beam exposure experiments, we found that the exposure depth and width were almost saturated at 3 kV or lesser, even when the electron dosage was increased. This allowed for the fabrication of various stepped 3-D nanostructures at a low voltage. In addition, by using line-dose EBL, V-groove patterns could be fabricated on a cured electron resist (ER) at a low voltage and low baking temperature. Finally, the depth variation could be controlled to within 10 nm through superposition exposure at 1 kV. From these results, we determined the optimum electron beam exposure conditions for the fabrication of various 3-D structures on ERs by low-voltage EBL. We then fabricated PDMS stamps via the replica molding process.

  10. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  11. Convergent and divergent evolution of genomic imprinting in the marsupial Monodelphis domestica

    Directory of Open Access Journals (Sweden)

    Das Radhika

    2012-08-01

    Full Text Available Abstract Background Genomic imprinting is an epigenetic phenomenon resulting in parent-of-origin specific monoallelic gene expression. It is postulated to have evolved in placental mammals to modulate intrauterine resource allocation to the offspring. In this study, we determined the imprint status of metatherian orthologues of eutherian imprinted genes. Results L3MBTL and HTR2A were shown to be imprinted in Monodelphis domestica (the gray short-tailed opossum. MEST expressed a monoallelic and a biallelic transcript, as in eutherians. In contrast, IMPACT, COPG2, and PLAGL1 were not imprinted in the opossum. Differentially methylated regions (DMRs involved in regulating imprinting in eutherians were not found at any of the new imprinted loci in the opossum. Interestingly, a novel DMR was identified in intron 11 of the imprinted IGF2R gene, but this was not conserved in eutherians. The promoter regions of the imprinted genes in the opossum were enriched for the activating histone modification H3 Lysine 4 dimethylation. Conclusions The phenomenon of genomic imprinting is conserved in Therians, but the marked difference in the number and location of imprinted genes and DMRs between metatherians and eutherians indicates that imprinting is not fully conserved between the two Therian infra-classes. The identification of a novel DMR at a non-conserved location as well as the first demonstration of histone modifications at imprinted loci in the opossum suggest that genomic imprinting may have evolved in a common ancestor of these two Therian infra-classes with subsequent divergence of regulatory mechanisms in the two lineages.

  12. Genome Imprinting

    Indian Academy of Sciences (India)

    the cell nucleus (mitochondrial and chloroplast genomes), and. (3) traits governed ... tively good embryonic development but very poor development of membranes and ... Human homologies for the type of situation described above are naturally ..... imprint; (b) New modifications of the paternal genome in germ cells of each ...

  13. Efficient room temperature hydrogen sensor based on UV-activated ZnO nano-network

    Science.gov (United States)

    Kumar, Mohit; Kumar, Rahul; Rajamani, Saravanan; Ranwa, Sapana; Fanetti, Mattia; Valant, Matjaz; Kumar, Mahesh

    2017-09-01

    Room temperature hydrogen sensors were fabricated from Au embedded ZnO nano-networks using a 30 mW GaN ultraviolet LED. The Au-decorated ZnO nano-networks were deposited on a SiO2/Si substrate by a chemical vapour deposition process. X-ray diffraction (XRD) spectrum analysis revealed a hexagonal wurtzite structure of ZnO and presence of Au. The ZnO nanoparticles were interconnected, forming nano-network structures. Au nanoparticles were uniformly distributed on ZnO surfaces, as confirmed by FESEM imaging. Interdigitated electrodes (IDEs) were fabricated on the ZnO nano-networks using optical lithography. Sensor performances were measured with and without UV illumination, at room temperate, with concentrations of hydrogen varying from 5 ppm to 1%. The sensor response was found to be ˜21.5% under UV illumination and 0% without UV at room temperature for low hydrogen concentration of 5 ppm. The UV-photoactivated mode enhanced the adsorption of photo-induced O- and O2- ions, and the d-band electron transition from the Au nanoparticles to ZnO—which increased the chemisorbed reaction between hydrogen and oxygen. The sensor response was also measured at 150 °C (without UV illumination) and found to be ˜18% at 5 ppm. Energy efficient low cost hydrogen sensors can be designed and fabricated with the combination of GaN UV LEDs and ZnO nanostructures.

  14. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  15. Data Encoding using Periodic Nano-Optical Features

    Science.gov (United States)

    Vosoogh-Grayli, Siamack

    typical set elements for an ergodic source emitting the optical encoding units compared to a bi-state encoding unit (bit) shows a 36 orders of magnitude decrease for the error probability interval of [0 0.01]. The algorithms for the proposed encoding system have been implemented in MATLAB and the Nano-optical structures have been fabricated using Electron Beam Lithography on optical medium.

  16. Controlled fabrication of nano-scale double barrier magnetic tunnel junctions using focused ion beam milling method

    International Nuclear Information System (INIS)

    Wei, H.X.; Wang, T.X.; Zeng, Z.M.; Zhang, X.Q.; Zhao, J.; Han, X.F.

    2006-01-01

    The controlled fabrication method for nano-scale double barrier magnetic tunnel junctions (DBMTJs) with the layer structure of Ta(5)/Cu(10)/Ni 79 Fe 21 (5)/Ir 22 Mn 78 (12)/Co 6 Fe 2 B 2 (4)/Al(1) -oxide/Co 6 Fe 2 B 2 (6)/Al (1)-oxide/Co 6 Fe 2 B 2 (4)/Ir 22 Mn 78 (12)/Ni 79 Fe 21 (5)/Ta(5) (thickness unit: nm) was used. This method involved depositing thin multi-layer stacks by sputtering system, and depositing a Pt nano-pillar using a focused ion beam which acted both as a top contact and as an etching mask. The advantages of this process over the traditional process using e-beam and optical lithography in that it involve only few processing steps, e.g. it does not involve any lift-off steps. In order to evaluate the nanofabrication techniques, the DBMTJs with the dimensions of 200 nmx400 nm, 200 nmx200 nm nano-scale were prepared and their R-H, I-V characteristics were measured.

  17. 3-dimensional free standing micro-structures by proton beam writing of Su 8-silver nanoParticle polymeric composite

    Science.gov (United States)

    Igbenehi, H.; Jiguet, S.

    2012-09-01

    Proton beam lithography a maskless direct-write lithographic technique (well suited for producing 3-Dimensional microstructures in a range of resist and semiconductor materials) is demonstrated as an effective tool in the creation of electrically conductive freestanding micro-structures in an Su 8 + Nano Silver polymer composite. The structures produced show non-ohmic conductivity and fit the percolation theory conduction model of tunneling of separated nanoparticles. Measurements show threshold switching and a change in conductivity of at least 4 orders of magnitude. The predictable range of protons in materials at a given energy is exploited in the creation of high aspect ratio, free standing micro-structures, made from a commercially available SU8 Silver nano-composite (GMC3060 form Gersteltec Inc. a negative tone photo-epoxy with added metallic nano-particles(Silver)) to create films with enhanced electrical properties when exposed and cured. Nano-composite films are directly written on with a finely focused MeV accelerated Proton particle beam. The energy loss of the incident proton beams in the target polymer nano- composite film is concentrated at the end of its range, where damage occurs; changing the chemistry of the nano-composite film via an acid initiated polymerization - creating conduction paths. Changing the energy of the incident beams provide exposed regions with different penetration and damage depth - exploited in the demonstrated cantilever microstructure.

  18. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  19. Sb(III)-Imprinted Organic-Inorganic Hybrid Sorbent Prepared by Hydrothermal-Assisted Surface Imprinting Technique for Selective Adsorption of Sb(III)

    Science.gov (United States)

    Zhang, Dan; Zhao, Yue; Xu, Hong-Bo

    2018-03-01

    Sb(III)-imprinted organic-inorganic hybrid sorbent was prepared by hydrothermal-assisted surface imprinting technique and was characterized by Fourier transform infrared spectroscopy, scanning electron microscopy coupled to an energy dispersive spectrometer and N2 adsorption/desorption isotherms. Hydrothermal-assisted process can improve the selectivity of the Sb(III)-imprinted hybrid sorbent for Sb(III) due to stable control of temperature and pressure. The Sb(III)-imprinted hybrid sorbent IIS indicated higher selectivity for Sb(III), had high static adsorption capacity of 37.3 mg g-1 for Sb(III), displayed stable adsorption capacity in pH range from 4 to 8, reached an rapid adsorption equilibrium within 30 min. According to the correlation coefficient ( r 2 > 0.99), the experimental data fitted better the pseudo-second-order kinetic model and Langmuir equilibrium isotherm.

  20. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  1. Molecularly Imprinted Microrods via Mesophase Polymerization.

    Science.gov (United States)

    Parisi, Ortensia Ilaria; Scrivano, Luca; Candamano, Sebastiano; Ruffo, Mariarosa; Vattimo, Anna Francesca; Spanedda, Maria Vittoria; Puoci, Francesco

    2017-12-28

    The aim of the present research work was the synthesis of molecularly imprinted polymers (MIPs) with a rod-like geometry via "mesophase polymerization". The ternary lyotropic system consisting of sodium dodecyl sulfate (SDS), water, and decanol was chosen to prepare a hexagonal mesophase to direct the morphology of the synthesized imprinted polymers using theophylline, methacrylic acid, and ethylene glycol dimethacrylate as a drug model template, a functional monomer, and a crosslinker, respectively. The obtained molecularly imprinted microrods (MIMs) were assessed by performing binding experiments and in vitro release studies, and the obtained results highlighted good selective recognition abilities and sustained release properties. In conclusion, the adopted synthetic strategy involving a lyotropic mesophase system allows for the preparation of effective MIPs characterized by a rod-like morphology.

  2. Imprint cytology: A boon in tissue diagnosis

    Directory of Open Access Journals (Sweden)

    Charusheela Rajesh Gore

    2017-01-01

    Full Text Available Background: The technique of imprint cytology has provided great impetus to cytodiagnosis due to its simplicity, cost effectiveness, rapid results. It plays a significant role in the rapid diagnosis of the lesions. Objectives: To analyze the sensitivity and specificity of imprint cytology and thereby to evaluate its diagnostic utility. Materials and Methods: The prospective study was carried out in a tertiary care hospital. It included 105 cases. Both benign and malignant lesions from different organ systems were included in the study. Various techniques like touch imprints scrape cytology and squash preparations were used according to the nature of tissue sample. The cytodiagnosis was correlated with histopathological (HP diagnosis to evaluate the sensitivity and specificity of imprint cytology. Results: Maximum lesions were of central nervous system (25.7% followed by breast, head, and neck. Imprint cytology diagnosis had sensitivity of 95.5% with 100% specificity for detection of benign and malignant lesions. Overall accuracy of detecting type of lesion was 98.1%. Total discordance with HP diagnosis was found in 1.9% of cases. Conclusion: The use of smear technique in intraoperative diagnosis provides a rapid and efficient means of pathological assessment which in experienced hand, is capable of obtaining a high degree of accuracy. Its use is highly recommended routinely.

  3. Nm-scale diamond-like-carbon (DLC) templates for use in soft lithography

    International Nuclear Information System (INIS)

    Watson, G.S.; Myhra, S.; Brown, C.L.; Watson, J.A.

    2005-01-01

    An emerging set of methods known collectively as soft lithography is now being utilised for a large variety of applications including micromolding, microfluidic networks and microcontact printing. In particular stamps and elastomeric elements can be formed by exposure of a polymer to a template. Established lithographic techniques used in the microelectronic industry, such as photolithography, are generally used to fabricate such master templates at the micron scale. In this study we demonstrate the use of diamond-like-carbon (DLC) as a template for producing polymer micro/nano stamps and 3D polymer structures. Intricate surface relief patterns can be formed on the DLC surface from lithographic techniques by atomic force microscopy (AFM) operated in the electrical conductivity mode. A number of polymers can be used to transfer patterns. One of the most widely used polymers for pattern transfer has been polydimethylsiloxane (PDMS). The elastomer is chemically resistant, has a low surface energy and readily conforms to different surface topographies. Obtaining a master is the limiting factor in the production of PDMS replicas. (author). 2 refs., 4 figs

  4. Quantum-dots-encoded-microbeads based molecularly imprinted polymer.

    Science.gov (United States)

    Liu, Yixi; Liu, Le; He, Yonghong; He, Qinghua; Ma, Hui

    2016-03-15

    Quantum dots encoded microbeads have various advantages such as large surface area, superb optical properties and the ability of multiplexing. Molecularly imprinted polymer that can mimic the natural recognition entities has high affinity and selectivity for the specific analyte. Here, the concept of utilizing the quantum dots encoded microbeads as the supporting material and the polydopamine as the functional monomer to form the core-shell molecular imprinted polymer was proposed for the first time. The resulted imprinted polymer can provide various merits: polymerization can complete in aqueous environment; fabrication procedure is facile and universal; the obvious economic advantage; the thickness of the imprinting layer is highly controllable; polydopamine coating can improve the biocompatibility of the quantum dot encoded microbeads. The rabbit IgG binding and flow cytometer experiment result showed the distinct advantages of this strategy: cost-saving, facile and fast preparation procedure. Most importantly, the ability for the multichannel detection, which makes the imprinted polydopamine modified encoded-beads very attractive in protein pre-concentration, recognition, separation and biosensing. Copyright © 2015 Elsevier B.V. All rights reserved.

  5. Nanostructuring steel for injection molding tools

    International Nuclear Information System (INIS)

    Al-Azawi, A; Smistrup, K; Kristensen, A

    2014-01-01

    The production of nanostructured plastic items by injection molding with ridges down to 400 nm in width, which is the smallest line width replicated from nanostructured steel shims, is presented. Here we detail a micro-fabrication method where electron beam lithography, nano-imprint lithography and ion beam etching are combined to nanostructure the planar surface of a steel wafer. Injection molded plastic parts with enhanced surface properties, like anti-reflective, superhydrophobic and structural colors can be achieved by micro- and nanostructuring the surface of the steel molds. We investigate the minimum line width that can be realized by our fabrication method and the influence of etching angle on the structure profile during the ion beam etching process. Trenches down to 400 nm in width have been successfully fabricated into a 316 type electro-polished steel wafer. Afterward a plastic replica has been produced by injection molding with good structure transfer fidelity. Thus we have demonstrated that by utilizing well-established fabrication techniques, nanostructured steel shims that are used in injection molding, a technique that allows low cost mass fabrication of plastic items, are produced. (paper)

  6. Molecular Imprinting Applications in Forensic Science.

    Science.gov (United States)

    Yılmaz, Erkut; Garipcan, Bora; Patra, Hirak K; Uzun, Lokman

    2017-03-28

    Producing molecular imprinting-based materials has received increasing attention due to recognition selectivity, stability, cast effectiveness, and ease of production in various forms for a wide range of applications. The molecular imprinting technique has a variety of applications in the areas of the food industry, environmental monitoring, and medicine for diverse purposes like sample pretreatment, sensing, and separation/purification. A versatile usage, stability and recognition capabilities also make them perfect candidates for use in forensic sciences. Forensic science is a demanding area and there is a growing interest in molecularly imprinted polymers (MIPs) in this field. In this review, recent molecular imprinting applications in the related areas of forensic sciences are discussed while considering the literature of last two decades. Not only direct forensic applications but also studies of possible forensic value were taken into account like illicit drugs, banned sport drugs, effective toxins and chemical warfare agents in a review of over 100 articles. The literature was classified according to targets, material shapes, production strategies, detection method, and instrumentation. We aimed to summarize the current applications of MIPs in forensic science and put forth a projection of their potential uses as promising alternatives for benchmark competitors.

  7. Role of imprint cytology in intra operative diagnosis of thyroid lesions.

    Science.gov (United States)

    Anila, K R; Krishna, G

    2014-07-01

    Intra-operative imprint cytology is an important diagnostic modality in the diagnosis of thyroid lesions. A correct intra-operative diagnosis helps eliminate the need for second surgery. To study diagnostic accuracy of imprint cytology and to compare the imprint cytology results with that of the corresponding paraffin section diagnosis in thyroidectomy cases. This is a prospective study of 84 patients who have undergone thyroidectomies over a period of one year at the Department of Surgery, Thiruvananthapuram, Kerala, India. The intraoperative imprint cytology smears were stained by Papanicolaou method. The imprint cytology interpretation was later compared with the paraffin section diagnosis. Of the 84 patients using haematoxylin and eosin stained histopathology sections as the gold standard, the diagnostic sensitivity of imprint cytology was 75% and specificity was 100%. Positive predictive value was 100%. Negative predictive value was 98.74%. Imprint cytology has high sensitivity and specificity in diagnosing lesions of the thyroid. The problems faced were in diagnosing follicular carcinomas and differentiating low grade lymphoma from lymphocytic thyroiditis. Imprint cytology is a simple, reliable diagnostic technique. It has high sensitivity and specificity in intra-operative diagnosis of lesions of thyroid. In spite of the advent of newer diagnostic modalities like frozen sections, imprint cytology still holds its unique position in the current perspective.

  8. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  9. Highly selective determination of methylmercury with methylmercury-imprinted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Liu Yongwen [Department of Chemistry, Shanxi Datong University, Datong 037009 (China)]. E-mail: dtlyw@263.net; Zai Yunhui [School of Chemistry and Chemical Engineering of Lanzhou University, Lanzhou 730000 (China); Chang Xijun [School of Chemistry and Chemical Engineering of Lanzhou University, Lanzhou 730000 (China); Guo Yong [Department of Chemistry, Shanxi Datong University, Datong 037009 (China); Meng Shuangming [Department of Chemistry, Shanxi Datong University, Datong 037009 (China); Feng Feng [Department of Chemistry, Shanxi Datong University, Datong 037009 (China)

    2006-08-11

    Methylmercury-imprinted and non-imprinted polymers were prepared by formation monomer complex of methylmercury with (4-ethenylphenyl)-4-formate-6-phenyl-2,2'-bipyridine and thermally polymerizing with divinylbenzene (crosslinker) in the presence of 2,2'-azobisisobutyronitrile as initiator and subsequently leached with the acidic thiourea solution (1.0 mol L{sup -1} of thiourea and 4.0 mol L{sup -1} of HCl). In the same way, non-imprinted copolymers were prepared without methylmercury chloride added. The separation and preconcentration characteristics of the polymers for methylmercury were investigated by batch and column procedures. The results demonstrated that the methylmercury-imprinted polymers had higher adsorption capacity (170 {mu}mol g{sup -1} of dry microbeads) and good selectivity for methylmercury compared to non-imprinted polymers. The distribution ratio (D) values of the methylmercury-imprinted polymers increased for methylmercury with respect to both D values of Hg(II), Cu(II), Zn(II), Cd(II) and non-imprinted polymers. The relatively selective factor ({alpha} {sub r}) values of CH{sub 3}Hg{sup +}/Hg(II), CH{sub 3}Hg{sup +}/Cu(II), CH{sub 3}Hg{sup +}/Zn(II), and CH{sub 3}Hg{sup +}/Cd(II) are 24.0, 46.7, 50.7, and 40.2, which are greater than 1. The methylmercury-imprinted polymers can be used at least twenty times with recoveries no less than 95%. Based on the packed columns with methylmercury-imprinted polymers, a highly selective solid-phase extraction (SPE) and preconcentration method for methylmercury was developed. The metal ion imprinted polymer solid-phase extraction (MIIP-SPE) preconcentration procedure showed a linear calibration curve within concentration range from 0.093 to 22 {mu}g L{sup -1}. The detection limit and quantification limit were 0.041 and 0.093 {mu}g L{sup -1} (3{sigma}) for cold vapor atomic absorption spectrometry (CVAAS). The relative standard deviation of the 10 replicate determinations was 3.5% for the

  10. Imprinted silicon-based nanophotonics

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Olsen, Brian Bilenberg; Frandsen, Lars Hagedorn

    2007-01-01

    We demonstrate and optically characterize silicon-on-insulator based nanophotonic devices fabricated by nanoimprint lithography. In our demonstration, we have realized ordinary and topology-optimized photonic crystal waveguide structures. The topology-optimized structures require lateral pattern ...

  11. Parental genome dosage imbalance deregulates imprinting in Arabidopsis.

    Directory of Open Access Journals (Sweden)

    Pauline E Jullien

    2010-03-01

    Full Text Available In mammals and in plants, parental genome dosage imbalance deregulates embryo growth and might be involved in reproductive isolation between emerging new species. Increased dosage of maternal genomes represses growth while an increased dosage of paternal genomes has the opposite effect. These observations led to the discovery of imprinted genes, which are expressed by a single parental allele. It was further proposed in the frame of the parental conflict theory that parental genome imbalances are directly mirrored by antagonistic regulations of imprinted genes encoding maternal growth inhibitors and paternal growth enhancers. However these hypotheses were never tested directly. Here, we investigated the effect of parental genome imbalance on the expression of Arabidopsis imprinted genes FERTILIZATION INDEPENDENT SEED2 (FIS2 and FLOWERING WAGENINGEN (FWA controlled by DNA methylation, and MEDEA (MEA and PHERES1 (PHE1 controlled by histone methylation. Genome dosage imbalance deregulated the expression of FIS2 and PHE1 in an antagonistic manner. In addition increased dosage of inactive alleles caused a loss of imprinting of FIS2 and MEA. Although FIS2 controls histone methylation, which represses MEA and PHE1 expression, the changes of PHE1 and MEA expression could not be fully accounted for by the corresponding fluctuations of FIS2 expression. Our results show that parental genome dosage imbalance deregulates imprinting using mechanisms, which are independent from known regulators of imprinting. The complexity of the network of regulations between expressed and silenced alleles of imprinted genes activated in response to parental dosage imbalance does not support simple models derived from the parental conflict hypothesis.

  12. Retrotransposon silencing by DNA methylation can drive mammalian genomic imprinting.

    Directory of Open Access Journals (Sweden)

    Shunsuke Suzuki

    2007-04-01

    Full Text Available Among mammals, only eutherians and marsupials are viviparous and have genomic imprinting that leads to parent-of-origin-specific differential gene expression. We used comparative analysis to investigate the origin of genomic imprinting in mammals. PEG10 (paternally expressed 10 is a retrotransposon-derived imprinted gene that has an essential role for the formation of the placenta of the mouse. Here, we show that an orthologue of PEG10 exists in another therian mammal, the marsupial tammar wallaby (Macropus eugenii, but not in a prototherian mammal, the egg-laying platypus (Ornithorhynchus anatinus, suggesting its close relationship to the origin of placentation in therian mammals. We have discovered a hitherto missing link of the imprinting mechanism between eutherians and marsupials because tammar PEG10 is the first example of a differentially methylated region (DMR associated with genomic imprinting in marsupials. Surprisingly, the marsupial DMR was strictly limited to the 5' region of PEG10, unlike the eutherian DMR, which covers the promoter regions of both PEG10 and the adjacent imprinted gene SGCE. These results not only demonstrate a common origin of the DMR-associated imprinting mechanism in therian mammals but provide the first demonstration that DMR-associated genomic imprinting in eutherians can originate from the repression of exogenous DNA sequences and/or retrotransposons by DNA methylation.

  13. Imprinted Expression of SNRPN in Human Preimplantation Embryos

    OpenAIRE

    Huntriss, John; Daniels, Robert; Bolton, Virginia; Monk, Marilyn

    1998-01-01

    Prader-Willi syndrome (PWS) and Angelman syndrome (AS) are two clinically distinct neurogenetic disorders arising from a loss of expression of imprinted genes within the human chromosome region 15q11-q13. Recent evidence suggests that the SNRPN gene, which is defective in PWS, plays a central role in the imprinting-center regulation of the PWS/AS region. To increase our understanding of the regulation of expression of this imprinted gene, we have developed single-cell-sensitive procedures for...

  14. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  15. Differential regulation of genomic imprinting by TET proteins in embryonic stem cells.

    Science.gov (United States)

    Liu, Lizhi; Mao, Shi-Qing; Ray, Chelsea; Zhang, Yu; Bell, Fong T; Ng, Sheau-Fang; Xu, Guo-Liang; Li, Xiajun

    2015-09-01

    TET proteins have been found to play an important role in active demethylation at CpG sites in mammals. There are some reports implicating their functions in removal of DNA methylation imprint at the imprinted regions in the germline. However, it is not well established whether TET proteins can also be involved in demethylation of DNA methylation imprint in embryonic stem (ES) cells. Here we report that loss of TET proteins caused a significant increase in DNA methylation at the Igf2-H19 imprinted region in ES cells. We also observed a variable increase in DNA methylation at the Peg1 imprinted region in the ES clones devoid of TET proteins, in particular in the differentiated ES cells. By contrast, we did not observe a significant increase of DNA methylation imprint at the Peg3, Snrpn and Dlk1-Dio3 imprinted regions in ES cells lacking TET proteins. Interestingly, loss of TET proteins did not result in a significant increase of DNA methylation imprint at the Igf2-H19 and Peg1 imprinted regions in the embryoid bodies (EB). Therefore, TET proteins seem to be differentially involved in maintaining DNA methylation imprint at a subset of imprinted regions in ES cells and EBs. Copyright © 2015 The Authors. Published by Elsevier B.V. All rights reserved.

  16. Designing Fingers in Simulation based on Imprints

    DEFF Research Database (Denmark)

    Wolniakowski, Adam; Krüger, Norbert; Werner, Andrzej

    process of doing so. This method takes root in the idea of using the imprint to produce the finger geometry. We furthermore provide a verification of our newly introduced imprinting method and a comparison to the previously introduced parametrized geometry method. This verification is done through a set...

  17. Designing Fingers in Simulation based on Imprints

    DEFF Research Database (Denmark)

    Wiuf Schwartz, Lukas Christoffer Malte; Wolniakowski, Adam; Werner, Andrzej

    2017-01-01

    process of doing so. This method takes root in the idea of using the imprint to produce the finger geometry. We furthermore provide a verification of our newly introduced imprinting method and a comparison to the previously introduced parametrized geometry method. This verification is done through a set...

  18. Lithography-Free Fabrication of Reconfigurable Substrate Topography For Contact Guidance

    Science.gov (United States)

    Pholpabu, Pitirat; Kustra, Stephen; Wu, Haosheng; Balasubramanian, Aditya; Bettinger, Christopher J.

    2014-01-01

    Mammalian cells detect and respond to topographical cues presented in natural and synthetic biomaterials both in vivo and in vitro. Micro- and nano-structures influence the adhesion, morphology, proliferation, migration, and differentiation of many phenotypes. Although the mechanisms that underpin cell-topography interactions remain elusive, synthetic substrates with well-defined micro- and nano-structures are important tools to elucidate the origin of these responses. Substrates with reconfigurable topography are desirable because programmable cues can be harmonized with dynamic cellular responses. Here we present a lithography-free fabrication technique that can reversibly present topographical cues using an actuation mechanism that minimizes the confounding effects of applied stimuli. This method utilizes strain-induced buckling instabilities in bi-layer substrate materials with rigid uniform silicon oxide membranes that are thermally deposited on elastomeric substrates. The resulting surfaces are capable of reversible of substrates between three distinct states: flat substrates (A = 1.53 ± 0.55 nm, Rms = 0.317 ± 0.048 nm); parallel wavy grating arrays (A|| = 483.6 ± 7.8 nm and λ|| = 4.78 ± 0.16 μm); perpendicular wavy grating arrays (A⊥ = 429.3 ± 5.8 nm; λ⊥ = 4.95 ± 0.36 μm). The cytoskeleton dynamics of 3T3 fibroblasts in response to these surfaces was measured using optical microscopy. Fibroblasts cultured on dynamic substrates that are switched from flat to topographic features (FLAT-WAVY) exhibit a robust and rapid change in gross morphology as measured by a reduction in circularity from 0.30 ± 0.13 to 0.15 ± 0.08 after 5 min. Conversely, dynamic substrate sequences of FLAT-WAVY-FLAT do not significantly alter the gross steady-state morphology. Taken together, substrates that present topographic structures reversibly can elucidate dynamic aspects of cell-topography interactions. PMID:25468368

  19. Evolution and Engineering of Precisely Controlled Ge Nanostructures on Scalable Array of Ordered Si Nano-pillars

    Science.gov (United States)

    Wang, Shuguang; Zhou, Tong; Li, Dehui; Zhong, Zhenyang

    2016-06-01

    The scalable array of ordered nano-pillars with precisely controllable quantum nanostructures (QNs) are ideal candidates for the exploration of the fundamental features of cavity quantum electrodynamics. It also has a great potential in the applications of innovative nano-optoelectronic devices for the future quantum communication and integrated photon circuits. Here, we present a synthesis of such hybrid system in combination of the nanosphere lithography and the self-assembly during heteroepitaxy. The precise positioning and controllable evolution of self-assembled Ge QNs, including quantum dot necklace(QDN), QD molecule(QDM) and quantum ring(QR), on Si nano-pillars are readily achieved. Considering the strain relaxation and the non-uniform Ge growth due to the thickness-dependent and anisotropic surface diffusion of adatoms on the pillars, the comprehensive scenario of the Ge growth on Si pillars is discovered. It clarifies the inherent mechanism underlying the controllable growth of the QNs on the pillar. Moreover, it inspires a deliberate two-step growth procedure to engineer the controllable QNs on the pillar. Our results pave a promising avenue to the achievement of desired nano-pillar-QNs system that facilitates the strong light-matter interaction due to both spectra and spatial coupling between the QNs and the cavity modes of a single pillar and the periodic pillars.

  20. Design of molecular imprinted polymers compatible with aqueous environment.

    Science.gov (United States)

    Piletska, Elena V; Guerreiro, Antonio R; Romero-Guerra, Maria; Chianella, Iva; Turner, Anthony P F; Piletsky, Sergey A

    2008-01-21

    The main problem of poor water compatibility of molecularly imprinted polymers (MIPs) was addressed in examples describing design of synthetic receptors with high affinity for drugs of abuse. An extensive potentiometric titration of 10 popular functional monomers and corresponding imprinted and blank polymers was conducted in order to evaluate the subtleties of functional groups ionisation under aqueous conditions. It was found that polymers prepared using 2-trifluoromethacrylic acid (TFMAA) in combination with toluene as porogen possess superior properties which make them suitable for effective template recognition in water. The potential impact of phase separation during polymerisation on formation of high quality imprints has been discussed. Three drugs of abuse such as cocaine, deoxyephedrine and methadone were used as template models in polymer preparation for the practical validation of obtained results. The polymer testing showed that synthesized molecularly imprinted polymers have high affinity and selectivity for corresponding templates in aqueous environment, with imprinting factors of 2.6 for cocaine and 1.4 for methadone and deoxyephedrine. Corresponding blank polymers were unable to differentiate between analytes, suggesting that imprinting phenomenon was responsible for the recognition properties.

  1. Molecularly Imprinted Microrods via Mesophase Polymerization

    Directory of Open Access Journals (Sweden)

    Ortensia Ilaria Parisi

    2017-12-01

    Full Text Available The aim of the present research work was the synthesis of molecularly imprinted polymers (MIPs with a rod-like geometry via “mesophase polymerization”. The ternary lyotropic system consisting of sodium dodecyl sulfate (SDS, water, and decanol was chosen to prepare a hexagonal mesophase to direct the morphology of the synthesized imprinted polymers using theophylline, methacrylic acid, and ethylene glycol dimethacrylate as a drug model template, a functional monomer, and a crosslinker, respectively. The obtained molecularly imprinted microrods (MIMs were assessed by performing binding experiments and in vitro release studies, and the obtained results highlighted good selective recognition abilities and sustained release properties. In conclusion, the adopted synthetic strategy involving a lyotropic mesophase system allows for the preparation of effective MIPs characterized by a rod-like morphology.

  2. Imprint switch mutations at Rasgrf1 support conflict hypothesis of imprinting and define a growth control mechanism upstream of IGF1

    Science.gov (United States)

    Drake, Nadia M.; Park, Yoon Jung; Shirali, Aditya S.; Cleland, Thomas A.

    2010-01-01

    Rasgrf1 is imprinted and expressed preferentially from the paternal allele in neonatal mouse brain. At weaning, expression becomes biallelic. Using a mouse model, we assayed the effects of perturbing imprinted Rasgrf1 expression in mice with the following imprinted expression patterns: monoallelic paternal (wild type), monoallelic maternal (maternal only), biallelic (both alleles transcribed), and null (neither allele transcribed). All genotypes exhibit biallelic expression around weaning. Consequences of this transient imprinting perturbation are manifested as overall size differences that correspond to the amount of neonatal Rasgrf1 expressed and are persistent, extending into adulthood. Biallelic mice are the largest and overexpress Rasgrf1 relative to wild-type mice, null mice are the smallest and underexpress Rasgrf1 as neonates, and the two monoallelically expressing genotypes are intermediate and indistinguishable from one another, in both size and Rasgrf1 expression level. Importantly, these data support one of the key underlying assumptions of the “conflict hypothesis” that describes the evolution of genomic imprinting in mammals and supposes that equivalent amounts of imprinted gene expression produce equivalent phenotypes, regardless of which parental allele is transcribed. Concordant with the difference in overall body size, we identify differences in IGF-1 levels, both in serum protein and as liver transcript, and identify additional differential expression of components upstream of IGF-1 release in the GH/IGF-1 axis. These data suggest that imprinted Rasgrf1 expression affects GH/IGF-1 axis function, and that the consequences of Rasgrf1 inputs to this axis persist beyond the time period when expression is restricted via epigenetic mechanisms, suggesting that proper neonatal Rasgrf1 expression levels are critical for development. PMID:19513790

  3. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  4. Sexual dimorphism in parental imprint ontogeny and contribution to embryonic development.

    Science.gov (United States)

    Bourc'his, Déborah; Proudhon, Charlotte

    2008-01-30

    Genomic imprinting refers to the functional non-equivalence of parental genomes in mammals that results from the parent-of-origin allelic expression of a subset of genes. Parent-specific expression is dependent on the germ line acquisition of DNA methylation marks at imprinting control regions (ICRs), coordinated by the DNA-methyltransferase homolog DNMT3L. We discuss here how the gender-specific stages of DNMT3L expression may have influenced the various sexually dimorphic aspects of genomic imprinting: (1) the differential developmental timing of methylation establishment at paternally and maternally imprinted genes in each parental germ line, (2) the differential dependence on DNMT3L of parental methylation imprint establishment, (3) the unequal duration of paternal versus maternal methylation imprints during germ cell development, (4) the biased distribution of methylation-dependent ICRs towards the maternal genome, (5) the different genomic organization of paternal versus maternal ICRs, and finally (6) the overwhelming contribution of maternal germ line imprints to development compared to their paternal counterparts.

  5. Smart coumarin-tagged imprinted polymers for the rapid detection of tamoxifen.

    Science.gov (United States)

    Ray, Judith V; Mirata, Fosca; Pérollier, Celine; Arotcarena, Michel; Bayoudh, Sami; Resmini, Marina

    2016-03-01

    A signalling molecularly imprinted polymer was synthesised for easy detection of tamoxifen and its metabolites. 6-Vinylcoumarin-4-carboxylic acid (VCC) was synthesised from 4-bromophenol to give a fluorescent monomer, designed to switch off upon binding of tamoxifen. Clomiphene, a chlorinated analogue, was used as the template for the imprinting, and its ability to quench the coumarin fluorescence when used in a 1:1 ratio was demonstrated. Tamoxifen and 4-hydroxytamoxifen were also shown to quench coumarin fluorescence. Imprinted and non-imprinted polymers were synthesised using VCC, methacrylic acid as a backbone monomer and ethylene glycol dimethacrylate as cross-linker, and were ground and sieved to particle sizes ranging between 45 and 25 μm. Rebinding experiments demonstrate that the imprinted polymer shows very strong affinity for both clomiphene and tamoxifen, while the non-imprinted polymer shows negligible rebinding. The fluorescence of the imprinted polymer is quenched by clomiphene, tamoxifen and 4-hydroxytamoxifen. The switch off in fluorescence of the imprinted polymer under these conditions could also be detected under a UV lamp with the naked eye, making this matrix suitable for applications when coupled with a sample preparation system.

  6. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  7. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  8. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  9. Enhanced Absorption in Organic Thin-Films from Imprinted Concave Nanostructures

    DEFF Research Database (Denmark)

    Goszczak, Arkadiusz Jaroslaw; Rubahn, Horst-Günter; Madsen, Morten

    2017-01-01

    In this work, a rapid, replicable method for imprinting concave nanostructures to be used as functional light-trapping nanostructures in organic thin-films is presented. Porous anodic alumina templates were fabricated both by anodization of thick Al foils and by anodization of submicrometer thin Al...... patterns and used for imprinting of spin coated photoresist on glass substrates. We have investigated semi-periodic and aperiodic imprinted large concave patterns fabricated from rigid masters after anodization of Al in H3PO4. We show that metal covered imprinted concaves show enhancement in absorption...

  10. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  11. Time-Efficient High-Resolution Large-Area Nano-Patterning of Silicon Dioxide

    Directory of Open Access Journals (Sweden)

    Li Lin

    2017-01-01

    Full Text Available A nano-patterning approach on silicon dioxide (SiO2 material, which could be used for the selective growth of III-V nanowires in photovoltaic applications, is demonstrated. In this process, a silicon (Si stamp with nanopillar structures was first fabricated using electron-beam lithography (EBL followed by a dry etching process. Afterwards, the Si stamp was employed in nanoimprint lithography (NIL assisted with a dry etching process to produce nanoholes on the SiO2 layer. The demonstrated approach has advantages such as a high resolution in nanoscale by EBL and good reproducibility by NIL. In addition, high time efficiency can be realized by one-spot electron-beam exposure in the EBL process combined with NIL for mass production. Furthermore, the one-spot exposure enables the scalability of the nanostructures for different application requirements by tuning only the exposure dose. The size variation of the nanostructures resulting from exposure parameters in EBL, the pattern transfer during nanoimprint in NIL, and subsequent etching processes of SiO2 were also studied quantitatively. By this method, a hexagonal arranged hole array in SiO2 with a hole diameter ranging from 45 to 75 nm and a pitch of 600 nm was demonstrated on a four-inch wafer.

  12. Selectively Patterning Polymer Opal Films via Microimprint Lithography.

    Science.gov (United States)

    Ding, Tao; Zhao, Qibin; Smoukov, Stoyan K; Baumberg, Jeremy J

    2014-11-01

    Large-scale structural color flexible coatings have been hard to create, and patterning color on them is key to many applications, including large-area strain sensors, wall-size displays, security devices, and smart fabrics. To achieve controlled tuning, a micro-imprinting technique is applied here to pattern both the surface morphology and the structural color of the polymer opal films (POFs). These POFs are made of 3D ordered arrays of hard spherical particles embedded inside soft shells. The soft outer shells cause the POFs to deform upon imprinting with a pre-patterned stamp, driving a flow of the soft polymer and a rearrangement of the hard spheres within the films. As a result, a patterned surface morphology is generated within the POFs and the structural colors are selectively modified within different regions. These changes are dependent on the pressure, temperature, and duration of imprinting, as well as the feature sizes in the stamps. Moreover, the pattern geometry and structural colors can then be further tuned by stretching. Micropattern color generation upon imprinting depends on control of colloidal transport in a polymer matrix under shear flow and brings many potential properties including stretchability and tunability, as well as being of fundamental interest.

  13. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    Science.gov (United States)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  14. Genomic imprinting of IGF2 in marsupials is methylation dependent

    Directory of Open Access Journals (Sweden)

    Imumorin Ikhide

    2008-05-01

    Full Text Available Abstract Background- Parent-specific methylation of specific CpG residues is critical to imprinting in eutherian mammals, but its importance to imprinting in marsupials and, thus, the evolutionary origins of the imprinting mechanism have been the subject of controversy. This has been particularly true for the imprinted Insulin-like Growth Factor II (IGF2, a key regulator of embryonic growth in vertebrates and a focal point of the selective forces leading to genomic imprinting. The presence of the essential imprinting effector, DNMT3L, in marsupial genomes and the demonstration of a differentially methylated region (DMR in the retrotransposon-derived imprinted gene, PEG10, in tammar wallaby argue for a role for methylation in imprinting, but several studies have found no evidence of parent-specific methylation at other imprinted loci in marsupials. Results- We performed the most extensive search to date for allele-specific patterns of CpG methylation within CpG isochores or CpG enriched segments across a 22 kilobase region surrounding the IGF2 gene in the South American opossum Monodelphis domestica. We identified a previously unknown 5'-untranslated exon for opossum IGF2, which is flanked by sequences defining a putative neonatal promoter, a DMR and an active Matrix Attachment Region (MAR. Demethylation of this DMR in opossum neonatal fibroblasts results in abherrant biallelic expression of IGF2. Conclusion- The demonstration of a DMR and an active MAR in the 5' flank of opossum IGF2 mirrors the regulatory features of the 5' flank of Igf2 in mice. However, demethylation induced activation of the maternal allele of IGF2 in opossum differs from the demethylation induced repression of the paternal Igf2 allele in mice. While it can now be concluded that parent-specific DNA methylation is an epigentic mark common to Marsupialia and Eutheria, the molecular mechanisms of transcriptional silencing at imprinted loci have clearly evolved along independent

  15. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  16. Gene dosage effects of the imprinted delta-like homologue 1 (dlk1/pref1 in development: implications for the evolution of imprinting.

    Directory of Open Access Journals (Sweden)

    Simao Teixeira da Rocha

    2009-02-01

    Full Text Available Genomic imprinting is a normal process that causes genes to be expressed according to parental origin. The selective advantage conferred by imprinting is not understood but is hypothesised to act on dosage-critical genes. Here, we report a unique model in which the consequences of a single, double, and triple dosage of the imprinted Dlk1/Pref1, normally repressed on the maternally inherited chromosome, can be assessed in the growing embryo. BAC-transgenic mice were generated that over-express Dlk1 from endogenous regulators at all sites of embryonic activity. Triple dosage causes lethality associated with major organ abnormalities. Embryos expressing a double dose of Dlk1, recapitulating loss of imprinting, are growth enhanced but fail to thrive in early life, despite the early growth advantage. Thus, any benefit conferred by increased embryonic size is offset by postnatal lethality. We propose a negative correlation between gene dosage and survival that fixes an upper limit on growth promotion by Dlk1, and we hypothesize that trade-off between growth and lethality might have driven imprinting at this locus.

  17. Using IMPRINT to Guide Experimental Design with Simulated Task Environments

    Science.gov (United States)

    2015-06-18

    USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN OF SIMULATED TASK ENVIRONMENTS THESIS Gregory...ENG-MS-15-J-052 USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN WITH SIMULATED TASK ENVIRONMENTS THESIS Presented to the Faculty Department...Civilian, USAF June 2015 DISTRIBUTION STATEMENT A. APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED. AFIT-ENG-MS-15-J-052 USING IMPRINT

  18. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  19. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  20. Mycotoxin analysis using imprinted materials technology: Recent developments

    Science.gov (United States)

    Molecular imprinting technology is an attractive, cost effective, and robust alternative to address the limitations of highly selective natural receptors, such as antibodies and aptamers. The field of molecular imprinting has seen a recent surge in growth with several commercially available products...

  1. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  2. Sexual imprinting on ecologically divergent traits leads to sexual isolation in sticklebacks.

    Science.gov (United States)

    Kozak, Genevieve M; Head, Megan L; Boughman, Janette W

    2011-09-07

    During sexual imprinting, offspring learn parental phenotypes and then select mates who are similar to their parents. Imprinting has been thought to contribute to the process of speciation in only a few rare cases; this is despite imprinting's potential to generate assortative mating and solve the problem of recombination in ecological speciation. If offspring imprint on parental traits under divergent selection, these traits will then be involved in both adaptation and mate preference. Such 'magic traits' easily generate sexual isolation and facilitate speciation. In this study, we show that imprinting occurs in two ecologically divergent stickleback species (benthics and limnetics: Gasterosteus spp.). Cross-fostered females preferred mates of their foster father's species. Furthermore, imprinting is essential for sexual isolation between species; isolation was reduced when females were raised without fathers. Daughters imprinted on father odour and colour during a critical period early in development. These traits have diverged between the species owing to differences in ecology. Therefore, we provide the first evidence that imprinting links ecological adaptation to sexual isolation between species. Our results suggest that imprinting may facilitate the evolution of sexual isolation during ecological speciation, may be especially important in cases of rapid diversification, and thus play an integral role in the generation of biodiversity.

  3. Selective enrichment and separation of phosphotyrosine peptides by thermosensitive molecularly imprinted polymers.

    Science.gov (United States)

    Yang, Xiaoqing; Xia, Yan

    2016-01-01

    Novel thermosensitive molecularly imprinted polymers were successfully prepared using the epitope imprinting approach in the presence of the mimic template phenylphosphonic acid, the functional monomer vinylphosphonic acid-Ti(4+) , the temperature-sensitive monomer N-isopropylacrylamide and the crosslinker N,N'-methylenebisacrylamide. The ratio of the template/thermosensitive monomers/crosslinker was optimized, and when the ratio was 2:2:1, the prepared thermosensitive molecularly imprinted polymers had the highest imprinting factor. The synthetic thermosensitive molecularly imprinted polymers were characterized by Fourier transform infrared spectroscopy to reveal the combination and elution processes of the template. Then, the adsorption capacity and thermosensitivity was measured. When the temperature was 28°C, the imprinting factor was the highest. The selectivity and adsorption capacity of the thermosensitive molecularly imprinted polymers for phosphotyrosine peptides from a mixture of three tailor-made peptides were measured by high-performance liquid chromatography. The results showed that the thermosensitive molecularly imprinted polymers have good selectivity for phosphotyrosine peptides. Finally, the imprinted hydrogels were applied to specifically adsorb phosphotyrosine peptides from a sample mixture containing phosphotyrosine and a tryptic digest of β-casein, which demonstrated high selectivity. After four rebinding cycles, 78.9% adsorption efficiency was still retained. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Lithography-free nanofluidic concentrator based on droplets-on-demand system

    Science.gov (United States)

    Yu, Miao; Zhou, Hongbo; Yao, Shuhuai

    2013-11-01

    Biomarkers are usually low-abundance proteins in biofluids and below detection limit of conventional biosensors. Nanofluidic concentration devices allow efficient biomolecules trapping by utilizing ion concentration polarization near nanochannels. However, once the electric field is turned off, the electrokinetic concentration plug cannot maintain its concentration status and starts to diffuse. In order to maintain the high concentration and extract the concentrated sample for further analysis, a good approach is to encapsulate these plugs into water-in-oil droplets. Here we developed a nanofluidic concentrator based on droplet-on-demand generator to encapsulate concentrated sample in nL droplets. The lithography-free nanochannels were patterned by thermal cracking on the surface of PS Petri-dish. The resulting nanochannel arrays were 30 nm in depth. In combination with microchannels on PDMS, the micro-nano hybrid chip was developed. We used FITC solution to demonstrate that the chip significantly increased the sample concentration for more than 100 folds within 5 minutes. By tuning the pulsed pressure imposed by the solenoid valve connected to the concentration channel, the system can generate a desired volume of droplet with a target sample concentration at a prescribed time. This work was supported by the Research Grants Council of Hong Kong under General Research Fund (Grant No. 621110).

  5. Molecularly Imprinted Polymers: Novel Discovery for Drug Delivery.

    Science.gov (United States)

    Dhanashree, Surve; Priyanka, Mohite; Manisha, Karpe; Vilasrao, Kadam

    2016-01-01

    Molecularly imprinted polymers (MIP) are novel carriers synthesized by imprinting of a template over a polymer. This paper presents the recent application of MIP for diagnostic and therapeutic drug delivery. MIP owing to their 3D polymeric structures and due to bond formation with the template serves as a reservoir of active causing stimuli sensitive, enantioselective, targetted and/or controlled release. The review elaborates about key factors for optimization of MIP, controlled release by MIP for various administration routes various forms like patches, contact lenses, nanowires along with illustrations. To overcome the limitation of organic solvent usage causing increased cost, water compatible MIP and use of supercritical fluid technology for molecular imprinting were developed. Novel methods for developing water compatible MIP like pickering emulsion polymerization, co-precipitation method, cyclodextrin imprinting, surface grafting, controlled/living radical chain polymerization methods are described with illustration in this review. Various protein imprinting methods like bulk, epitope and surface imprinting are described along with illustrations. Further, application of MIP in microdevices as biomimetic sensing element for personalized therapy is elaborated. Although development and application of MIP in drug delivery is still at its infancy, constant efforts of researchers will lead to a novel intelligent drug delivery with commercial value. Efforts should be directed in developing solid oral dosage forms consisting of MIP for therapeutic protein and peptide delivery and targeted release of potent drugs addressing life threatening disease like cancer. Amalgamation of bio-engineering and pharmaceutical techniques can make these future prospects into reality.

  6. Evidence for a Peripheral Olfactory Memory in Imprinted Salmon

    Science.gov (United States)

    Nevitt, Gabrielle A.; Dittman, Andrew H.; Quinn, Thomas P.; Moody, William J., Jr.

    1994-05-01

    The remarkable homing ability of salmon relies on olfactory cues, but its cellular basis is unknown. To test the role of peripheral olfactory receptors in odorant memory retention, we imprinted coho salmon (Oncorhynchus kisutch) to micromolar concentrations of phenyl ethyl alcohol during parr-smolt transformation. The following year, we measured phenyl ethyl alcohol responses in the peripheral receptor cells using patch clamp. Cells from imprinted fish showed increased sensitivity to phenyl ethyl alcohol compared either to cells from naive fish or to sensitivity to another behaviorally important odorant (L-serine). Field experiments verified an increased behavioral preference for phenyl ethyl alcohol by imprinted salmon as adults. Thus, some component of the imprinted olfactory homestream memory appears to be retained peripherally.

  7. Cosmological imprints of pre-inflationary particles

    Energy Technology Data Exchange (ETDEWEB)

    Fialkov, Anastasia; Itzhaki, Nissan; Kovetz, Ely D., E-mail: anastasia.fialkov@gmail.com, E-mail: nitzhaki@post.tau.ac.il, E-mail: elykovetz@gmail.com [Tel-Aviv University, Ramat-Aviv, 69978 (Israel)

    2010-02-01

    We study some of the cosmological imprints of pre-inflationary particles. We show that each such particle provides a seed for a spherically symmetric cosmic defect. The profile of this cosmic defect is fixed and its magnitude is linear in a single parameter that is determined by the mass of the pre-inflationary particle. We study the CMB and peculiar velocity imprints of this cosmic defect and suggest that it could explain some of the large scale cosmological anomalies.

  8. Cosmological imprints of pre-inflationary particles

    International Nuclear Information System (INIS)

    Fialkov, Anastasia; Itzhaki, Nissan; Kovetz, Ely D.

    2010-01-01

    We study some of the cosmological imprints of pre-inflationary particles. We show that each such particle provides a seed for a spherically symmetric cosmic defect. The profile of this cosmic defect is fixed and its magnitude is linear in a single parameter that is determined by the mass of the pre-inflationary particle. We study the CMB and peculiar velocity imprints of this cosmic defect and suggest that it could explain some of the large scale cosmological anomalies

  9. Bio-Mimetic Sensors Based on Molecularly Imprinted Membranes

    Directory of Open Access Journals (Sweden)

    Catia Algieri

    2014-07-01

    Full Text Available An important challenge for scientific research is the production of artificial systems able to mimic the recognition mechanisms occurring at the molecular level in living systems. A valid contribution in this direction resulted from the development of molecular imprinting. By means of this technology, selective molecular recognition sites are introduced in a polymer, thus conferring it bio-mimetic properties. The potential applications of these systems include affinity separations, medical diagnostics, drug delivery, catalysis, etc. Recently, bio-sensing systems using molecularly imprinted membranes, a special form of imprinted polymers, have received the attention of scientists in various fields. In these systems imprinted membranes are used as bio-mimetic recognition elements which are integrated with a transducer component. The direct and rapid determination of an interaction between the recognition element and the target analyte (template was an encouraging factor for the development of such systems as alternatives to traditional bio-assay methods. Due to their high stability, sensitivity and specificity, bio-mimetic sensors-based membranes are used for environmental, food, and clinical uses. This review deals with the development of molecularly imprinted polymers and their different preparation methods. Referring to the last decades, the application of these membranes as bio-mimetic sensor devices will be also reported.

  10. Bio-Mimetic Sensors Based on Molecularly Imprinted Membranes

    Science.gov (United States)

    Algieri, Catia; Drioli, Enrico; Guzzo, Laura; Donato, Laura

    2014-01-01

    An important challenge for scientific research is the production of artificial systems able to mimic the recognition mechanisms occurring at the molecular level in living systems. A valid contribution in this direction resulted from the development of molecular imprinting. By means of this technology, selective molecular recognition sites are introduced in a polymer, thus conferring it bio-mimetic properties. The potential applications of these systems include affinity separations, medical diagnostics, drug delivery, catalysis, etc. Recently, bio-sensing systems using molecularly imprinted membranes, a special form of imprinted polymers, have received the attention of scientists in various fields. In these systems imprinted membranes are used as bio-mimetic recognition elements which are integrated with a transducer component. The direct and rapid determination of an interaction between the recognition element and the target analyte (template) was an encouraging factor for the development of such systems as alternatives to traditional bio-assay methods. Due to their high stability, sensitivity and specificity, bio-mimetic sensors-based membranes are used for environmental, food, and clinical uses. This review deals with the development of molecularly imprinted polymers and their different preparation methods. Referring to the last decades, the application of these membranes as bio-mimetic sensor devices will be also reported. PMID:25196110

  11. Highly Selective Fluorescent Sensing of Proteins Based on a Fluorescent Molecularly Imprinted Nanosensor

    Directory of Open Access Journals (Sweden)

    Shuo Wang

    2013-09-01

    Full Text Available A fluorescent molecularly imprinted nanosensor was obtained by grafting imprinted polymer onto the surface of multi-wall carbon nanotubes and post-imprinting treatment with fluorescein isothiocyanate (FITC. The fluorescence of lysozyme-imprinted polymer (Lys-MIP was quenched more strongly by Lys than that of nonimprinted polymer (NIP, which indicated that the Lys-MIP could recognize Lys. The resulted imprinted material has the ability to selectively sense a target protein, and an imprinting factor of 3.34 was achieved. The Lys-MIP also showed selective detection for Lys among other proteins such as cytochrome C (Cyt C, hemoglobin (HB and bovine serum albumin (BSA due to the imprinted sites in the Lys-MIP. This approach combines the high selectivity of surface molecular imprinting technology and fluorescence, and converts binding events into detectable signals by monitoring fluorescence spectra. Therefore, it will have further applications for Lys sensing.

  12. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  13. Synthesis of molecular imprinting polymers for extraction of gallic acid from urine.

    Science.gov (United States)

    Bhawani, Showkat Ahmad; Sen, Tham Soon; Ibrahim, Mohammad Nasir Mohammad

    2018-02-21

    The molecularly imprinted polymers for gallic acid were synthesized by precipitation polymerization. During the process of synthesis a non-covalent approach was used for the interaction of template and monomer. In the polymerization process, gallic acid was used as a template, acrylic acid as a functional monomer, ethylene glycol dimethacrylate as a cross-linker and 2,2'-azobisisobutyronitrile as an initiator and acetonitrile as a solvent. The synthesized imprinted and non-imprinted polymer particles were characterized by using Fourier-transform infrared spectroscopy and scanning electron microscopy. The rebinding efficiency of synthesized polymer particles was evaluated by batch binding assay. The highly selective imprinted polymer for gallic acid was MIPI1 with a composition (molar ratio) of 1:4:20, template: monomer: cross-linker, respectively. The MIPI1 showed highest binding efficiency (79.50%) as compared to other imprinted and non-imprinted polymers. The highly selective imprinted polymers have successfully extracted about 80% of gallic acid from spiked urine sample.

  14. Deregulation of an imprinted gene network in prostate cancer.

    Science.gov (United States)

    Ribarska, Teodora; Goering, Wolfgang; Droop, Johanna; Bastian, Klaus-Marius; Ingenwerth, Marc; Schulz, Wolfgang A

    2014-05-01

    Multiple epigenetic alterations contribute to prostate cancer progression by deregulating gene expression. Epigenetic mechanisms, especially differential DNA methylation at imprinting control regions (termed DMRs), normally ensure the exclusive expression of imprinted genes from one specific parental allele. We therefore wondered to which extent imprinted genes become deregulated in prostate cancer and, if so, whether deregulation is due to altered DNA methylation at DMRs. Therefore, we selected presumptive deregulated imprinted genes from a previously conducted in silico analysis and from the literature and analyzed their expression in prostate cancer tissues by qRT-PCR. We found significantly diminished expression of PLAGL1/ZAC1, MEG3, NDN, CDKN1C, IGF2, and H19, while LIT1 was significantly overexpressed. The PPP1R9A gene, which is imprinted in selected tissues only, was strongly overexpressed, but was expressed biallelically in benign and cancerous prostatic tissues. Expression of many of these genes was strongly correlated, suggesting co-regulation, as in an imprinted gene network (IGN) reported in mice. Deregulation of the network genes also correlated with EZH2 and HOXC6 overexpression. Pyrosequencing analysis of all relevant DMRs revealed generally stable DNA methylation between benign and cancerous prostatic tissues, but frequent hypo- and hyper-methylation was observed at the H19 DMR in both benign and cancerous tissues. Re-expression of the ZAC1 transcription factor induced H19, CDKN1C and IGF2, supporting its function as a nodal regulator of the IGN. Our results indicate that a group of imprinted genes are coordinately deregulated in prostate cancers, independently of DNA methylation changes.

  15. Electropolymerized molecularly imprinted polypyrrole film for sensing of clofibric acid.

    Science.gov (United States)

    Schweiger, Bianca; Kim, Jungtae; Kim, Young Jun; Ulbricht, Mathias

    2015-02-26

    Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD) of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP) and non-imprinted polymer (NIP) layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6-8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity.

  16. Electropolymerized Molecularly Imprinted Polypyrrole Film for Sensing of Clofibric Acid

    Directory of Open Access Journals (Sweden)

    Bianca Schweiger

    2015-02-01

    Full Text Available Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP and non-imprinted polymer (NIP layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6–8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity.

  17. Double-ion imprinted polymer @magnetic nanoparticles modified screen printed carbon electrode for simultaneous analysis of cerium and gadolinium ions

    Energy Technology Data Exchange (ETDEWEB)

    Prasad, Bhim Bali, E-mail: prof.bbpd@yahoo.com; Jauhari, Darshika

    2015-05-22

    Highlights: • Synthesis of a double-ion imprinted polymer for analysis of Ce(IV) and Gd(III). • Imprinted nano-beads were grown on MNPs-modified SPCE surface. • Voltammetric determination of both templates was carried out simultaneously. • Ultra-trace analysis with LOD (ng mL{sup −1}) 0.07 for Ce(IV) and 0.19 for Gd(III) is achieved. - Abstract: A typical, reproducible, and rugged screen printed carbon electrode, modified with dual-ion imprinted beads, was fabricated employing the “surface grafting from” approach. For this, the acyl chloride functionalized magnetic nanoparticles were first immobilized and chemically attached with a typical functional monomer (but-2-enedioic acid bis-[(2-amino-ethyl)-amide]) on the electrode surface. This was subsequently subjected to the thermal polymerization in the presence of template ions (Ce(IV) and Gd(III)), cross-linker (ethylene glycol dimethacrylate), initiator (AIBN), and multiwalled carbon nanotubes. The modified sensor was used for the simultaneous analysis of both template ions in aqueous, blood serum, and waste-water samples, using differential pulse anodic stripping voltammetry which revealed two oxidation peaks for respective templates with resolution as much as 950 mV, without any cross reactivity, interferences and false-positives. The detection limits realized by the proposed sensor, under optimized conditions, were found to be as low as 0.07 ng mL{sup −1} for Ce(IV) and 0.19 ng mL{sup −1} for Gd(III) (S/N = 3) that could eventually be helpful for lanthanide estimation at stringent levels.

  18. Double-ion imprinted polymer @magnetic nanoparticles modified screen printed carbon electrode for simultaneous analysis of cerium and gadolinium ions

    International Nuclear Information System (INIS)

    Prasad, Bhim Bali; Jauhari, Darshika

    2015-01-01

    Highlights: • Synthesis of a double-ion imprinted polymer for analysis of Ce(IV) and Gd(III). • Imprinted nano-beads were grown on MNPs-modified SPCE surface. • Voltammetric determination of both templates was carried out simultaneously. • Ultra-trace analysis with LOD (ng mL −1 ) 0.07 for Ce(IV) and 0.19 for Gd(III) is achieved. - Abstract: A typical, reproducible, and rugged screen printed carbon electrode, modified with dual-ion imprinted beads, was fabricated employing the “surface grafting from” approach. For this, the acyl chloride functionalized magnetic nanoparticles were first immobilized and chemically attached with a typical functional monomer (but-2-enedioic acid bis-[(2-amino-ethyl)-amide]) on the electrode surface. This was subsequently subjected to the thermal polymerization in the presence of template ions (Ce(IV) and Gd(III)), cross-linker (ethylene glycol dimethacrylate), initiator (AIBN), and multiwalled carbon nanotubes. The modified sensor was used for the simultaneous analysis of both template ions in aqueous, blood serum, and waste-water samples, using differential pulse anodic stripping voltammetry which revealed two oxidation peaks for respective templates with resolution as much as 950 mV, without any cross reactivity, interferences and false-positives. The detection limits realized by the proposed sensor, under optimized conditions, were found to be as low as 0.07 ng mL −1 for Ce(IV) and 0.19 ng mL −1 for Gd(III) (S/N = 3) that could eventually be helpful for lanthanide estimation at stringent levels

  19. Molecular imprinted polypyrrole modified glassy carbon electrode for the determination of tobramycin

    International Nuclear Information System (INIS)

    Gupta, Vinod Kumar; Yola, Mehmet Lütfi; Özaltın, Nuran; Atar, Necip; Üstündağ, Zafer; Uzun, Lokman

    2013-01-01

    Graphical abstract: Atomic force microscopic images of (A) bare GCE and (B) TOB imprinted PPy/GCE surface. - Highlights: • Glassy carbon electrode based on molecularly imprinted polypyrrole was prepared. • The developed surfaces were characterized by AFM, FTIR, EIS and CV. • The developed nanosensor was applied to egg and milk samples. - Abstract: Over the past two decades, molecular imprinted polymers have attracted a broad interest from scientists in sensor development. In the preparation of molecular imprinted polymers the desired molecule (template) induces the creation of specific recognition sites in the polymer. In this study, the glassy carbon electrode (GCE) based on molecularly imprinted polypyrrole (PPy) was fabricated for the determination of tobramycin (TOB). The developed electrode was prepared by incorporation of a template molecule (TOB) during the electropolymerization of pyrrole on GCE in aqueous solution using cyclic voltammetry (CV) method. The performance of the imprinted and non-imprinted electrodes was evaluated by square wave voltammetry (SWV). The effect of pH, monomer and template concentrations, electropolymerization cycles on the performance of the imprinted and non-imprinted electrodes was investigated and optimized. The non-modified and TOB-imprinted surfaces were characterized by using atomic force microscopy (AFM), Fourier transform infrared spectroscopy (FTIR), electrochemical impedance spectroscopy (EIS) and CV. The linearity range of TOB was 5.0 × 10 −10 –1.0 × 10 −8 M with the detection limit of 1.4 × 10 −10 M. The developed nanosensor was applied successfully for the determination of TOB in egg and milk

  20. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Directory of Open Access Journals (Sweden)

    Apratim Majumder

    2016-03-01

    Full Text Available Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL, a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  1. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim; Helms, Phillip L.; Menon, Rajesh, E-mail: rmenon@eng.utah.edu [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2016-03-15

    Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL), a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF) of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  2. Imprinting of Phenylalanine ethyl ester in cyclodextrin polymers in aqueous solution

    DEFF Research Database (Denmark)

    Detcheva, Anna Hr.; Yu, Donghong; Larsen, Kim Lambertsen

    During the last decades there has been a wide interest of developing molecularly imprinted polymers, which selectively can recognize small molecules. Cyclodextrins offer relatively strong binding site of a wide range of small molecules in water and molecular imprinted polymers of these have...... previously been produced with some success. Nevertheless most molecules of interest for molecular imprinting are too samll to accommodate more than one cyclodextrin per molecule and thus limited selectivity may be expected. In order to improve the selectivity of cyclodextrin based molecular imprinted polymer...

  3. Does imprint cytology improve the accuracy of transrectal prostate needle biopsy?

    Science.gov (United States)

    Sayar, Hamide; Bulut, Burak Besir; Bahar, Abdulkadir Yasir; Bahar, Mustafa Remzi; Seringec, Nurten; Resim, Sefa; Çıralık, Harun

    2015-02-01

    To evaluate the accuracy of imprint cytology of core needle biopsy specimens in the diagnosis of prostate cancer. Between December 24, 2011 and May 9, 2013, patients with an abnormal DRE and/or serum PSA level of >2.5 ng/mL underwent transrectal prostate needle biopsy. Samples with positive imprint cytology but negative initial histologic exam underwent repeat sectioning and histological examination. 1,262 transrectal prostate needle biopsy specimens were evaluated from 100 patients. Malignant imprint cytology was found in 236 specimens (18.7%), 197 (15.6%) of which were confirmed by histologic examination, giving an initial 3.1% (n = 39) rate of discrepant results by imprint cytology. Upon repeat sectioning and histologic examination of these 39 biopsy samples, 14 (1.1% of the original specimens) were then diagnosed as malignant, 3 (0.2%) as atypical small acinar proliferation (ASAP), and 5 (0.4%) as high-grade prostatic intraepithelial neoplasia (HGPIN). Overall, 964 (76.4%) specimens were negative for malignancy by imprint cytology. Seven (0.6%) specimens were benign by cytology but malignant cells were found on histological evaluation. On imprint cytology examination, nonmalignant but abnormal findings were seen in 62 specimens (4.9%). These were all due to benign processes. After reexamination, the accuracy, sensitivity, specificity, positive predictive value, negative predictive value, false-positive rate, false-negative rate of imprint preparations were 98.1, 96.9, 98.4, 92.8, 99.3, 1.6, 3.1%, respectively. Imprint cytology is valuable tool for evaluating TRUS-guided core needle biopsy specimens from the prostate. Use of imprint cytology in combination with histopathology increases diagnostic accuracy when compared with histopathologic assessment alone. © 2014 Wiley Periodicals, Inc.

  4. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  5. Adsorptive molecularly imprinted composite membranes for chiral separation of phenylalanine

    Directory of Open Access Journals (Sweden)

    Shah Nasrullah

    2016-09-01

    Full Text Available Two types of composite imprinted membranes, i.e., composite membrane comprised of D-Phe imprinted beads and D-Phe imprinted membrane or DCM and composite membrane comprised of L-Phe imprinted beads and L-Phe imprinted membranes or LCM, were synthesized by phase inversion technique after a uniform dispersion of beads within the polymeric solutions using simple physico-mechanical process. The assemblies of the prepared DCM, LCM and control membranes were employed in ultrafiltration for chiral separation of D, L-Phenylalanine racemate solution. DCM and LCM showed an improved adsorption capacity (0.334 mg g-1 and 0.365 mg g-1 respectively, and adsorption selectivity (2.72 and 2.98 respectively. However, the percent rejection of the template and counter enantiomer were lower than that of control membranes. Compared to control membrane, the DCM and LCM showed inverse permselectivity. These composite membranes having better adsorption and separation ability for Phenylalanine racemate solution will be suitable in the future for various other applications.

  6. Molecularly Imprinted Polymers for 5-Fluorouracil Release in Biological Fluids

    Directory of Open Access Journals (Sweden)

    Franco Alhaique

    2007-04-01

    Full Text Available The aim of this work was to investigate the possibility of employing Molecularly Imprinted Polymers (MIPs as a controlled release device for 5-fluorouracil (5-FU in biological fluids, especially gastrointestinal ones, compared to Non Imprinted Polymers (NIPs. MIPs were synthesized using methacrylic acid (MAA as functional monomer and ethylene glycol dimethacrylate (EGDMA as crosslinking agent. The capacity of the polymer to recognize and to bind the template selectively in both organic and aqueous media was evaluated. An in vitro release study was performed both in gastrointestinal and in plasma simulating fluids. The imprinted polymers bound much more 5-Fu than the corresponding non-imprinted ones and showed a controlled/sustained drug release, with MIPs release rate being indeed much more sustained than that obtained from NIPs. These polymers represent a potential valid system for drug delivery and this study indicates that the selective binding characteristic of molecularly imprinted polymers is promising for the preparation of novel controlled release drug dosage form.

  7. Genomic Imprinting Was Evolutionarily Conserved during Wheat Polyploidization.

    Science.gov (United States)

    Yang, Guanghui; Liu, Zhenshan; Gao, Lulu; Yu, Kuohai; Feng, Man; Yao, Yingyin; Peng, Huiru; Hu, Zhaorong; Sun, Qixin; Ni, Zhongfu; Xin, Mingming

    2018-01-01

    Genomic imprinting is an epigenetic phenomenon that causes genes to be differentially expressed depending on their parent of origin. To evaluate the evolutionary conservation of genomic imprinting and the effects of ploidy on this process, we investigated parent-of-origin-specific gene expression patterns in the endosperm of diploid ( Aegilops spp), tetraploid, and hexaploid wheat ( Triticum spp) at various stages of development via high-throughput transcriptome sequencing. We identified 91, 135, and 146 maternally or paternally expressed genes (MEGs or PEGs, respectively) in diploid, tetraploid, and hexaploid wheat, respectively, 52.7% of which exhibited dynamic expression patterns at different developmental stages. Gene Ontology enrichment analysis suggested that MEGs and PEGs were involved in metabolic processes and DNA-dependent transcription, respectively. Nearly half of the imprinted genes exhibited conserved expression patterns during wheat hexaploidization. In addition, 40% of the homoeolog pairs originating from whole-genome duplication were consistently maternally or paternally biased in the different subgenomes of hexaploid wheat. Furthermore, imprinted expression was found for 41.2% and 50.0% of homolog pairs that evolved by tandem duplication after genome duplication in tetraploid and hexaploid wheat, respectively. These results suggest that genomic imprinting was evolutionarily conserved between closely related Triticum and Aegilops species and in the face of polyploid hybridization between species in these genera. © 2018 American Society of Plant Biologists. All rights reserved.

  8. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  9. Elevated expression of brain-derived neurotrophic factor facilitates visual imprinting in chicks.

    Science.gov (United States)

    Suzuki, Keiko; Maekawa, Fumihiko; Suzuki, Shingo; Nakamori, Tomoharu; Sugiyama, Hayato; Kanamatsu, Tomoyuki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2012-12-01

    With the aim of elucidating the neural mechanisms of early learning, we studied the role of brain-derived neurotrophic factor (BDNF) in visual imprinting in birds. The telencephalic neural circuit connecting the visual Wulst and intermediate medial mesopallium is critical for imprinting, and the core region of the hyperpallium densocellulare (HDCo), situated at the center of this circuit, has a key role in regulating the activity of the circuit. We found that the number of BDNF mRNA-positive cells in the HDCo was elevated during the critical period, particularly at its onset, on the day of hatching (P0). After imprinting training on P1, BDNF mRNA-positive cells in the HDCo increased in number, and tyrosine phosphorylation of TrkB was observed. BDNF infusion into the HDCo at P1 induced imprinting, even with a weak training protocol that does not normally induce imprinting. In contrast, K252a, an antagonist of Trk, inhibited imprinting. Injection of BDNF at P7, after the critical period, did not elicit imprinting. These results suggest that BDNF promotes the induction of imprinting through TrkB exclusively during the critical period. © 2012 The Authors Journal of Neurochemistry © 2012 International Society for Neurochemistry.

  10. Molecularly Imprinted Polypyrrole Based Impedimentric Sensor for Theophylline Determination

    International Nuclear Information System (INIS)

    Ratautaite, Vilma; Janssens, Stoffel D.; Haenen, Ken; Nesládek, Milos; Ramanaviciene, Almira; Baleviciute, Ieva; Ramanavicius, Arunas

    2014-01-01

    Highlights: • Sensor based on polypyrrole imprinted by theophylline (MIP) deposited on oxygen terminated boron-doped nanocrystalline diamond was developed. • This structure was applied as impedimetric sensor sensitive for theophylline. • Optimal polymer formation conditions suitable for MIP formation were elaborated. • Some analytical parameters were determined and evaluated. - Abstract: In this study development of impedimetric sensor based on oxygen terminated boron-doped nanocrystalline diamond (B:NCD:O) modified with theophylline imprinted polypyrrole is described. Hydrogen peroxide induced chemical formation of polypyrrole molecularly imprinted by theophylline was applied for the modification of conducting silicon substrate covered by B:NCD:O film. Non-imprinted polypyrrole layer was formed on similar substrate in order to prove efficiency of imprinted polypyrrole. Electrochemical impedance spectroscopy was applied for the evaluation of analyte-induced changes in electrochemical capacitance/resistance. The impact of polymerization duration on the capacitance of impedimetric sensor was estimated. A different impedance behavior was observed at different ratio of polymerized monomer and template molecule in the polymerization media. The influence of ethanol as additive to polymerization media on registered changes in capacitance/resistance was evaluated. Degradation of sensor stored in buffer solution was evaluated

  11. Molecularly imprinted titania nanoparticles for selective recognition and assay of uric acid

    Science.gov (United States)

    Mujahid, Adnan; Khan, Aimen Idrees; Afzal, Adeel; Hussain, Tajamal; Raza, Muhammad Hamid; Shah, Asma Tufail; uz Zaman, Waheed

    2015-06-01

    Molecularly imprinted titania nanoparticles are su ccessfully synthesized by sol-gel method for the selective recognition of uric acid. Atomic force microscopy is used to study the morphology of uric acid imprinted titania nanoparticles with diameter in the range of 100-150 nm. Scanning electron microscopy images of thick titania layer indicate the formation of fine network of titania nanoparticles with uniform distribution. Molecular imprinting of uric acid as well as its subsequent washing is confirmed by Fourier transformation infrared spectroscopy measurements. Uric acid rebinding studies reveal the recognition capability of imprinted particles in the range of 0.01-0.095 mmol, which is applicable in monitoring normal to elevated levels of uric acid in human blood. The optical shift (signal) of imprinted particles is six times higher in comparison with non-imprinted particles for the same concentration of uric acid. Imprinted titania particles have shown substantially reduced binding affinity toward interfering and structurally related substances, e.g. ascorbic acid and guanine. These results suggest the possible application of titania nanoparticles in uric acid recognition and quantification in blood serum.

  12. Gas Sensors Based on Molecular Imprinting Technology

    OpenAIRE

    Zhang, Yumin; Zhang, Jin; Liu, Qingju

    2017-01-01

    Molecular imprinting technology (MIT); often described as a method of designing a material to remember a target molecular structure (template); is a technique for the creation of molecularly imprinted polymers (MIPs) with custom-made binding sites complementary to the target molecules in shape; size and functional groups. MIT has been successfully applied to analyze; separate and detect macromolecular organic compounds. Furthermore; it has been increasingly applied in assays of biological mac...

  13. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  14. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  15. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  16. Molecular imprinting-chemiluminescence determination of trimethoprim using trimethoprim-imprinted polymer as recognition material.

    Science.gov (United States)

    He, Yunhua; Lu, Jiuru; Liu, Mei; Du, Jianxiu

    2005-07-01

    A new molecular imprinting-chemiluminescence method for the determination of trimethoprim was developed, in which trimethoprim-imprinted polymer was used as the molecular recognition material and the CL reaction of trimethoprim with potassium permanganate in acidic medium was used as the detection system. The CL intensity responds linearly to the concentration of trimethoprim within the 5.0 x 10(-8)-5.0 x 10(-6) g mL(-1) range (r= 0.9983) with a detection limit of 2 x 10(-8) g mL(-1). The relative standard deviation for the determination of 1.0 x 10(-7) g mL(-1) trimethoprim solutions is 4.8% (n= 9). The method has been applied to the determination of trimethoprim in pharmaceutical preparations and body fluids, and satisfactory results were obtained.

  17. Nanostructured conducting molecularly imprinted polymer for selective extraction of salicylate from urine and serum samples by electrochemically controlled solid-phase micro-extraction

    Energy Technology Data Exchange (ETDEWEB)

    Ameli, Akram [Department of Chemistry, Faculty of Science, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Alizadeh, Naader, E-mail: alizaden@modares.ac.ir [Department of Chemistry, Faculty of Science, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of)

    2011-11-30

    Highlights: Black-Right-Pointing-Pointer Overoxidized polypyrrole templated with salicylate has been utilized as conducting molecular imprinted polymer for EC-SPME. Black-Right-Pointing-Pointer This first study reported on conducting molecular imprinted polymer was used to EC-SPME of salicylate. Black-Right-Pointing-Pointer Proposed method, is particularly effective in sample clean-up and selective monitoring of salicylate in physiological samples. - Abstract: Overoxidized polypyrrole (OPPy) films templated with salicylate (SA) have been utilized as conducting molecular imprinted polymers (CMIPs) for potential-induced selective solid-phase micro-extraction processes. Various important fabrication factors for controlling the performance of the OPPy films have been investigated using fluorescence spectrometry. Several key parameters such as applied potential for uptake, release, pH of uptake and release solution were varied to achieve the optimum micro-extraction procedure. The film template with SA exhibited excellent selectivity over some interference. The calibration graphs were linear in the ranges of 5 Multiplication-Sign 10{sup -8} to 5 Multiplication-Sign 10{sup -4} and 1.2 Multiplication-Sign 10{sup -6} to 5 Multiplication-Sign 10{sup -4} mol mL{sup -1} and the detection limit was 4 Multiplication-Sign 10{sup -8} mol L{sup -1}. The OPPy film as the solid-phase micro-extraction absorbent has been applied for the selective clean-up and quantification of trace amounts of SA from physiological samples. The results of scanning electron microscopy (SEM) have confirmed the nano-structure morphologies of the films.

  18. Nanostructured conducting molecularly imprinted polymer for selective extraction of salicylate from urine and serum samples by electrochemically controlled solid-phase micro-extraction

    International Nuclear Information System (INIS)

    Ameli, Akram; Alizadeh, Naader

    2011-01-01

    Highlights: ► Overoxidized polypyrrole templated with salicylate has been utilized as conducting molecular imprinted polymer for EC-SPME. ► This first study reported on conducting molecular imprinted polymer was used to EC-SPME of salicylate. ► Proposed method, is particularly effective in sample clean-up and selective monitoring of salicylate in physiological samples. - Abstract: Overoxidized polypyrrole (OPPy) films templated with salicylate (SA) have been utilized as conducting molecular imprinted polymers (CMIPs) for potential-induced selective solid-phase micro-extraction processes. Various important fabrication factors for controlling the performance of the OPPy films have been investigated using fluorescence spectrometry. Several key parameters such as applied potential for uptake, release, pH of uptake and release solution were varied to achieve the optimum micro-extraction procedure. The film template with SA exhibited excellent selectivity over some interference. The calibration graphs were linear in the ranges of 5 × 10 −8 to 5 × 10 −4 and 1.2 × 10 −6 to 5 × 10 −4 mol mL −1 and the detection limit was 4 × 10 −8 mol L −1 . The OPPy film as the solid-phase micro-extraction absorbent has been applied for the selective clean-up and quantification of trace amounts of SA from physiological samples. The results of scanning electron microscopy (SEM) have confirmed the nano-structure morphologies of the films.

  19. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    Science.gov (United States)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  20. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  1. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  2. Gas Sensors Based on Molecular Imprinting Technology.

    Science.gov (United States)

    Zhang, Yumin; Zhang, Jin; Liu, Qingju

    2017-07-04

    Molecular imprinting technology (MIT); often described as a method of designing a material to remember a target molecular structure (template); is a technique for the creation of molecularly imprinted polymers (MIPs) with custom-made binding sites complementary to the target molecules in shape; size and functional groups. MIT has been successfully applied to analyze; separate and detect macromolecular organic compounds. Furthermore; it has been increasingly applied in assays of biological macromolecules. Owing to its unique features of structure specificity; predictability; recognition and universal application; there has been exploration of the possible application of MIPs in the field of highly selective gas sensors. In this present study; we outline the recent advances in gas sensors based on MIT; classify and introduce the existing molecularly imprinted gas sensors; summarize their advantages and disadvantages; and analyze further research directions.

  3. Producing superfluid circulation states using phase imprinting

    Science.gov (United States)

    Kumar, Avinash; Dubessy, Romain; Badr, Thomas; De Rossi, Camilla; de Goër de Herve, Mathieu; Longchambon, Laurent; Perrin, Hélène

    2018-04-01

    We propose a method to prepare states of given quantized circulation in annular Bose-Einstein condensates (BEC) confined in a ring trap using the method of phase imprinting without relying on a two-photon angular momentum transfer. The desired phase profile is imprinted on the atomic wave function using a short light pulse with a tailored intensity pattern generated with a spatial light modulator. We demonstrate the realization of "helicoidal" intensity profiles suitable for this purpose. Due to the diffraction limit, the theoretical steplike intensity profile is not achievable in practice. We investigate the effect of imprinting an intensity profile smoothed by a finite optical resolution onto the annular BEC with a numerical simulation of the time-dependent Gross-Pitaevskii equation. This allows us to optimize the intensity pattern for a given target circulation to compensate for the limited resolution.

  4. Synthesis of molecular imprinted beta cyclodextrins oligomers in water

    DEFF Research Database (Denmark)

    Yu, Donghong; Nielsen, Anne Louise; Bach, Lone

    2003-01-01

    compounds in aqueous solution and, therefore, molecular imprinting of cyclodextrins polymers in aqueous solution is of great interest. In this paper, molecular imprinting of beta cyclodextrins has been performed in water by use of diiodobenzene as template and epichlorohydrin as a crosslinker. Inclusion...

  5. Preparation and recognition of surface molecularly imprinted core-shell microbeads for protein in aqueous solutions

    International Nuclear Information System (INIS)

    Lu Yan; Yan Changling; Gao Shuyan

    2009-01-01

    In this paper, a surface molecular imprinting technique was reported for preparing core-shell microbeads of protein imprinting, and bovine hemoglobin or bovine serum albumin were used as model proteins for studying the imprinted core-shell microbeads. 3-Aminophenylboronic acid (APBA) was polymerized onto the surface of polystyrene microbead in the presence of the protein templates to create protein-imprinted core-shell microbeads. The various samples were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and Brunauer-Emmett-Teller (BET) methods. The effect of pH on rebinding of the template hemoglobin, the specific binding and selective recognition were studied for the imprinted microbeads. The results show that the bovine hemoglobin-imprinted core-shell microbeads were successfully created. The shell was a sort of imprinted thin films with porous structure and larger surface areas. The imprinted microbeads have good selectivity for templates and high stability. Due to the recognition sites locating at or closing to the surface, these imprinted microbeads have good property of mass-transport. Unfortunately, the imprint technology was not successfully applied to imprinting bovine serum albumin (BSA).

  6. Preparation and recognition of surface molecularly imprinted core-shell microbeads for protein in aqueous solutions

    Energy Technology Data Exchange (ETDEWEB)

    Lu Yan, E-mail: yanlu2001@sohu.com [College of Chemistry and Environmental Science, Henan Normal University, 46 Jlanshe Road, Xinxiang 453007 (China); Yan Changling; Gao Shuyan [College of Chemistry and Environmental Science, Henan Normal University, 46 Jlanshe Road, Xinxiang 453007 (China)

    2009-04-01

    In this paper, a surface molecular imprinting technique was reported for preparing core-shell microbeads of protein imprinting, and bovine hemoglobin or bovine serum albumin were used as model proteins for studying the imprinted core-shell microbeads. 3-Aminophenylboronic acid (APBA) was polymerized onto the surface of polystyrene microbead in the presence of the protein templates to create protein-imprinted core-shell microbeads. The various samples were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and Brunauer-Emmett-Teller (BET) methods. The effect of pH on rebinding of the template hemoglobin, the specific binding and selective recognition were studied for the imprinted microbeads. The results show that the bovine hemoglobin-imprinted core-shell microbeads were successfully created. The shell was a sort of imprinted thin films with porous structure and larger surface areas. The imprinted microbeads have good selectivity for templates and high stability. Due to the recognition sites locating at or closing to the surface, these imprinted microbeads have good property of mass-transport. Unfortunately, the imprint technology was not successfully applied to imprinting bovine serum albumin (BSA).

  7. Influence of surface-imprinted nanoparticles on trypsin activity.

    Science.gov (United States)

    Guerreiro, António; Poma, Alessandro; Karim, Kal; Moczko, Ewa; Takarada, Jessica; de Vargas-Sansalvador, Isabel Perez; Turner, Nicholas; Piletska, Elena; de Magalhães, Cristiana Schmidt; Glazova, Natalia; Serkova, Anastasia; Omelianova, Aleksandra; Piletsky, Sergey

    2014-09-01

    Here, the modulation of enzyme activity is presented by protein-imprinted nanoparticles produced using a solid-phase approach. Using trypsin as target, binding of the nanoparticles to the enzyme results in its inhibition or in stabilization, depending on the orientation of the immobilized enzyme used during imprinting. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. [Evolution of genomic imprinting in mammals: what a zoo!].

    Science.gov (United States)

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-05-01

    Genomic imprinting imposes an obligate mode of biparental reproduction in mammals. This phenomenon results from the monoparental expression of a subset of genes. This specific gene regulation mechanism affects viviparous mammals, especially eutherians, but also marsupials to a lesser extent. Oviparous mammals, or monotremes, do not seem to demonstrate monoparental allele expression. This phylogenic confinement suggests that the evolution of the placenta imposed a selective pressure for the emergence of genomic imprinting. This physiological argument is now complemented by recent genomic evidence facilitated by the sequencing of the platypus genome, a rare modern day case of a monotreme. Analysis of the platypus genome in comparison to eutherian genomes shows a chronological and functional coincidence between the appearance of genomic imprinting and transposable element accumulation. The systematic comparative analyses of genomic sequences in different species is essential for the further understanding of genomic imprinting emergence and divergent evolution along mammalian speciation.

  9. Determination of fusaric acid in maize using molecularly imprinted SPE clean-up

    Science.gov (United States)

    A new liquid chromatography method to detect fusaric acid in maize is reported based on molecularly imprinted polymer solid phase extraction clean-up (MISPE) using mimic-templated molecularly-imprinted polymers. Picolinic acid was used as a toxin analog for imprinting polymers during a thermolytic s...

  10. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    International Nuclear Information System (INIS)

    Uygun, Murat; Feyzioğlu, Esra; Özçalışkan, Emir; Caka, Müşerref; Ergen, Aygen; Akgöl, Sinan; Denizli, Adil

    2013-01-01

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO 3 solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m 2 /g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities

  11. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    Science.gov (United States)

    Uygun, Murat; Feyzioğlu, Esra; Özçalışkan, Emir; Caka, Müşerref; Ergen, Aygen; Akgöl, Sinan; Denizli, Adil

    2013-08-01

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO3 solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m2/g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities.

  12. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    Energy Technology Data Exchange (ETDEWEB)

    Uygun, Murat, E-mail: muygun@adu.edu.tr [Adnan Menderes University, Kocarl Latin-Small-Letter-Dotless-I Vocational and Training School (Turkey); Feyzioglu, Esra; Oezcal Latin-Small-Letter-Dotless-I skan, Emir; Caka, Mueserref; Ergen, Aygen; Akgoel, Sinan [Ege University, Department of Biochemistry, Faculty of Science (Turkey); Denizli, Adil [Hacettepe University, Department of Chemistry, Faculty of Science (Turkey)

    2013-08-15

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO{sub 3} solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m{sup 2}/g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities.

  13. The evolution of the DLK1-DIO3 imprinted domain in mammals.

    Directory of Open Access Journals (Sweden)

    Carol A Edwards

    2008-06-01

    Full Text Available A comprehensive, domain-wide comparative analysis of genomic imprinting between mammals that imprint and those that do not can provide valuable information about how and why imprinting evolved. The imprinting status, DNA methylation, and genomic landscape of the Dlk1-Dio3 cluster were determined in eutherian, metatherian, and prototherian mammals including tammar wallaby and platypus. Imprinting across the whole domain evolved after the divergence of eutherian from marsupial mammals and in eutherians is under strong purifying selection. The marsupial locus at 1.6 megabases, is double that of eutherians due to the accumulation of LINE repeats. Comparative sequence analysis of the domain in seven vertebrates determined evolutionary conserved regions common to particular sub-groups and to all vertebrates. The emergence of Dlk1-Dio3 imprinting in eutherians has occurred on the maternally inherited chromosome and is associated with region-specific resistance to expansion by repetitive elements and the local introduction of noncoding transcripts including microRNAs and C/D small nucleolar RNAs. A recent mammal-specific retrotransposition event led to the formation of a completely new gene only in the eutherian domain, which may have driven imprinting at the cluster.

  14. Imprinting and recalling cortical ensembles.

    Science.gov (United States)

    Carrillo-Reid, Luis; Yang, Weijian; Bando, Yuki; Peterka, Darcy S; Yuste, Rafael

    2016-08-12

    Neuronal ensembles are coactive groups of neurons that may represent building blocks of cortical circuits. These ensembles could be formed by Hebbian plasticity, whereby synapses between coactive neurons are strengthened. Here we report that repetitive activation with two-photon optogenetics of neuronal populations from ensembles in the visual cortex of awake mice builds neuronal ensembles that recur spontaneously after being imprinted and do not disrupt preexisting ones. Moreover, imprinted ensembles can be recalled by single- cell stimulation and remain coactive on consecutive days. Our results demonstrate the persistent reconfiguration of cortical circuits by two-photon optogenetics into neuronal ensembles that can perform pattern completion. Copyright © 2016, American Association for the Advancement of Science.

  15. Protein imprinting and recognition via forming nanofilms on microbeads surfaces in aqueous media

    International Nuclear Information System (INIS)

    Lu Yan; Yan Changling; Wang Xuejing; Wang Gongke

    2009-01-01

    In this paler, we present a technique of forming nanofilms of poly-3-aminophenylboronic acid (pAPBA) on the surfaces of polystyrene (PS) microbeads for proteins (papain and trypsin) in aqueous. Papain was chosen as a model to study the feasibility of the technique and trypsin as an extension. Obtained core-shell microbeads were characterized using scanning electron microscopy (SEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and BET methods. The results show that pAPBA formed nanofilms (60-100 nm in thickness) on the surfaces of PS microbeads. The specific surface area of the papain-imprinted beads was about 180 m 2 g -1 and its pore size was 31 nm. These imprinted microbeads exhibit high recognition specificity and fast mass transfer kinetics. The specificity of these imprinted beads mainly originates from the spatial effect of imprinted sites. Because the protein-imprinted sites were located at, or close to, the surface, the imprinted beads have good site accessibility toward the template molecules. The facility of the imprinting protocol and the high recognition properties of imprinted microbeads make the approach an attractive solution to problems in the field of biotechnology.

  16. Short interspersed transposable elements (SINEs) are excluded from imprinted regions in the human genome.

    Science.gov (United States)

    Greally, John M

    2002-01-08

    To test whether regions undergoing genomic imprinting have unique genomic characteristics, imprinted and nonimprinted human loci were compared for nucleotide and retroelement composition. Maternally and paternally expressed subgroups of imprinted genes were found to differ in terms of guanine and cytosine, CpG, and retroelement content, indicating a segregation into distinct genomic compartments. Imprinted regions have been normally permissive to L1 long interspersed transposable element retroposition during mammalian evolution but universally and significantly lack short interspersed transposable elements (SINEs). The primate-specific Alu SINEs, as well as the more ancient mammalian-wide interspersed repeat SINEs, are found at significantly low densities in imprinted regions. The latter paleogenomic signature indicates that the sequence characteristics of currently imprinted regions existed before the mammalian radiation. Transitions from imprinted to nonimprinted genomic regions in cis are characterized by a sharp inflection in SINE content, demonstrating that this genomic characteristic can help predict the presence and extent of regions undergoing imprinting. During primate evolution, SINE accumulation in imprinted regions occurred at a decreased rate compared with control loci. The constraint on SINE accumulation in imprinted regions may be mediated by an active selection process. This selection could be because of SINEs attracting and spreading methylation, as has been found at other loci. Methylation-induced silencing could lead to deleterious consequences at imprinted loci, where inactivation of one allele is already established, and expression is often essential for embryonic growth and survival.

  17. Polymer Catalysts Imprinted with Metal Ions as Biomimics of Metalloenzymes

    Directory of Open Access Journals (Sweden)

    Joanna Czulak

    2013-01-01

    Full Text Available This work presents the preparation and properties of molecularly imprinted polymers (MIPs with catalytic centers that mimic the active sites of metalloenzymes. The MIP synthesis was based on suspension polymerization of functional monomers (4-vinylpyridine and acrylonitrile with trimethylolpropane trimethacrylate as a crosslinker in the presence of transition metal ions and 4-methoxybenzyl alcohol as a template. Four metal ions have been chosen for imprinting from among the microelements that are the most essential in the native enzymes: Cu2+, Co2+, Mn2+, and Zn2+. To prepare catalysts, the required loading of metal ions was obtained during sorption process. The catalysts imprinted with Cu2+, Co2+, and Zn2+ were successfully used for hydroquinone oxidation in the presence of hydrogen peroxide. The Mn2+-imprinted catalyst showed no activity due to the insufficient metal loading. Cu2+ MIP showed the highest efficiency. In case of Cu- and Co-MIP catalysts, their activity was additionally increased by the use of surface imprinting technique.

  18. Evaluation of accuracy of intra operative imprint cytology for detection of breast lesions

    International Nuclear Information System (INIS)

    Mahmood, Z.; Shahbaz, A.; Qureshi, A.; Aziz, N.; Niazi, S.; Qureshi, S.; Bukhari, M.H.

    2010-01-01

    Objective: To determine the accuracy of imprint cytology as an intraoperative diagnostic procedure for breast lesions with histopathological correlation. Materials and Methods: This was a descriptive study on 40 cases of breast lesions comprising of inflammatory, benign and malignant lesions including their margins etc. It was conducted at King Edward Medical University, Lahore in collaboration with all Surgical Departments of Mayo Hospital. Relevant clinical data was recorded in a proforma. Both touch and scrape imprints were prepared from all the lesions and stained with May-Grunwaled Giemsa and Haematoxylin and Eosin stains. The imprints were subsequently compared with histopathology sections. Results: When we used atypical cases as negative both touch and scrape imprints gave sensitivity, specificity, positive predictive value, negative predictive value and accuracy at 100%. However when we used cases with atypia as positive, sensitivity and negative predictive value were 100% with both touch and scrape imprints. Specificity, positive predictive value and accuracy were 71%, 86%, 85.5% respectively with touch imprints and 78%, 89%, 89% respectively with scrape imprints. No diagnostic difference was noted between the results of both stains. All the imprints were well correlated with histopathological diagnosis. Conclusion: Imprint cytology is an accurate and simple intraoperative method for diagnosing breast lesions. It can provide the surgeons with information regarding immediate clinical and surgical interventions. (author)

  19. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  20. Schedules of electric shock presentation in the behavioral control of imprinted ducklings.

    Science.gov (United States)

    Barrett, J E

    1972-09-01

    The behavioral effects of various schedules of electric shock presentation were investigated during and after the imprinting of Peking ducklings to moving stimuli. The behavior of following a moving imprinted stimulus was differentially controlled by a multiple schedule of punishment and avoidance that respectively suppressed and maintained following behavior. Pole-pecking, reinforced by presentations of the imprinted stimulus, was suppressed by response-produced shock (punishment); various schedules of response-independent shock and delayed punishment had an overall minimal effect. The delivery of response-independent shock in the presence of one of two stimuli, both during and after imprinting, resulted in a marked reduction in choice of the stimulus paired with shock. The experiments provide no support for a differentiation of imprinting from learning on the basis of the behavioral effects of aversive stimuli. Instead, as is the case with other organisms, the schedule under which shock is delivered to imprinted ducklings appears to be an important determinant of the temporal patterning of subsequent behavior.

  1. New molecular imprinted voltammetric sensor for determination of ochratoxin A

    Energy Technology Data Exchange (ETDEWEB)

    Yola, Mehmet Lütfi, E-mail: mehmetyola@gmail.com [Department of Metallurgical and Materials Engineering, Faculty of Engineering, Sinop University, Sinop (Turkey); Gupta, Vinod Kumar, E-mail: vinodfcy@iitr.ac.in [Indian Institute of Technology, Department of Chemistry, Roorkee, Roorkee 247667 (India); Department of Applied Chemistry, University of Johannesburg, Johannesburg (South Africa); Atar, Necip [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey)

    2016-04-01

    In this report, a novel molecular imprinted voltammetric sensor based on silver nanoparticles (AgNPs) involved in a polyoxometalate (H{sub 3}PW{sub 12}O{sub 40}, POM) functionalized reduced graphene oxide (rGO) modified glassy carbon electrode (GCE) was presented for determination of ochrattoxin A (OCH). The developed surfaces were characterized using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. OCH imprinted GCE was prepared via electropolymerization process of 100 mM phenol as monomer in the presence of phosphate buffer solution (pH 6.0) containing 25 mM OCH. The linearity range and the detection limit of the method were calculated as 5.0 × 10{sup −11} − 1.5 × 10{sup −9} M and 1.6 × 10{sup −11} M, respectively. The voltammetric sensor was applied to grape juice and wine samples with good selectivity and recovery. The stability of the voltammetric sensor was also reported. - Highlights: • Ochratoxin A-imprinted electrochemical sensor is developed for the sensitive detection of ochratoxin A • The nanomaterial and ochratoxin A-imprinted surfaces were characterized by several methods • Ochratoxin A-imprinted electrochemical sensor is sensitive and selective in analysis of food • Ochratoxin A-imprinted electrochemical sensor is preferred to the other methods.

  2. Sensitive determination of citrinin based on molecular imprinted electrochemical sensor

    Energy Technology Data Exchange (ETDEWEB)

    Atar, Necip [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey); Yola, Mehmet Lütfi, E-mail: mehmetyola@gmail.com [Department of Metallurgical and Materials Engineering, Faculty of Engineering, Sinop University, Sinop (Turkey); Eren, Tanju [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey)

    2016-01-30

    Graphical abstract: - Highlights: • Citrinin-imprinted electrochemical sensor is developed for the sensitive detection of citrinin. • The nanomaterial and citrinin-imprinted surfaces were characterized by several methods. • Citrinin-imprinted electrochemical sensor is sensitive and selective in analysis of food. • Citrinin-imprinted electrochemical sensor is preferred to the other methods. - Abstract: In this report, a novel molecular imprinted voltammetric sensor based on glassy carbon electrode (GCE) modified with platinum nanoparticles (PtNPs) involved in a polyoxometalate (H{sub 3}PW{sub 12}O{sub 40}, POM) functionalized reduced graphene oxide (rGO) was prepared for the determination of citrinin (CIT). The developed surfaces were characterized by using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. CIT imprinted GCE was prepared via electropolymerization process of 80.0 mM pyrrole as monomer in the presence of phosphate buffer solution (pH 6.0) containing 20.0 mM CIT. The linearity range and the detection limit of the developed method were calculated as 1.0 × 10{sup −12}–1.0 × 10{sup −10} M and 2.0 × 10{sup −13} M, respectively. In addition, the voltammetric sensor was applied to rye samples. The stability and selectivity of the voltammetric sensor were also reported.

  3. Sensitive determination of citrinin based on molecular imprinted electrochemical sensor

    International Nuclear Information System (INIS)

    Atar, Necip; Yola, Mehmet Lütfi; Eren, Tanju

    2016-01-01

    Graphical abstract: - Highlights: • Citrinin-imprinted electrochemical sensor is developed for the sensitive detection of citrinin. • The nanomaterial and citrinin-imprinted surfaces were characterized by several methods. • Citrinin-imprinted electrochemical sensor is sensitive and selective in analysis of food. • Citrinin-imprinted electrochemical sensor is preferred to the other methods. - Abstract: In this report, a novel molecular imprinted voltammetric sensor based on glassy carbon electrode (GCE) modified with platinum nanoparticles (PtNPs) involved in a polyoxometalate (H_3PW_1_2O_4_0, POM) functionalized reduced graphene oxide (rGO) was prepared for the determination of citrinin (CIT). The developed surfaces were characterized by using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. CIT imprinted GCE was prepared via electropolymerization process of 80.0 mM pyrrole as monomer in the presence of phosphate buffer solution (pH 6.0) containing 20.0 mM CIT. The linearity range and the detection limit of the developed method were calculated as 1.0 × 10"−"1"2–1.0 × 10"−"1"0 M and 2.0 × 10"−"1"3 M, respectively. In addition, the voltammetric sensor was applied to rye samples. The stability and selectivity of the voltammetric sensor were also reported.

  4. New molecular imprinted voltammetric sensor for determination of ochratoxin A

    International Nuclear Information System (INIS)

    Yola, Mehmet Lütfi; Gupta, Vinod Kumar; Atar, Necip

    2016-01-01

    In this report, a novel molecular imprinted voltammetric sensor based on silver nanoparticles (AgNPs) involved in a polyoxometalate (H_3PW_1_2O_4_0, POM) functionalized reduced graphene oxide (rGO) modified glassy carbon electrode (GCE) was presented for determination of ochrattoxin A (OCH). The developed surfaces were characterized using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. OCH imprinted GCE was prepared via electropolymerization process of 100 mM phenol as monomer in the presence of phosphate buffer solution (pH 6.0) containing 25 mM OCH. The linearity range and the detection limit of the method were calculated as 5.0 × 10"−"1"1 − 1.5 × 10"−"9 M and 1.6 × 10"−"1"1 M, respectively. The voltammetric sensor was applied to grape juice and wine samples with good selectivity and recovery. The stability of the voltammetric sensor was also reported. - Highlights: • Ochratoxin A-imprinted electrochemical sensor is developed for the sensitive detection of ochratoxin A • The nanomaterial and ochratoxin A-imprinted surfaces were characterized by several methods • Ochratoxin A-imprinted electrochemical sensor is sensitive and selective in analysis of food • Ochratoxin A-imprinted electrochemical sensor is preferred to the other methods

  5. Adsorption characteristics, recognition properties, and preliminary application of nordihydroguaiaretic acid molecularly imprinted polymers prepared by sol–gel surface imprinting technology

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Sen; Zhang, Wen; Long, Wei; Hou, Dan; Yang, Xuechun; Tan, Ni, E-mail: tannii@21cn.com

    2016-02-28

    Graphical abstract: - Highlights: • Nordihydroguaiaretic acid imprinted polymer with imprinting factor 2.12 was prepared for the first time through hydrogen bonding and hydrophobic interaction between the template molecules and the bifunctional monomers. • The obtained surface molecularly imprinting polymers exhibited high affinity and selectivity to the template molecules. • The prepared surface molecularly imprinted polymers were used in separation the natural active component nordihydroguaiaretic acid from medicinal plants. - Abstract: In this paper, a new core-shell composite of nordihydroguaiaretic acid (NDGA) molecularly imprinted polymers layer-coated silica gel (MIP@SiO{sub 2}) was prepared through sol–gel technique and applied as a material for extraction of NDGA from Ephedra. It was synthesized using NDGA as the template molecule, γ-aminopropyltriethoxysilane (APTS) and methyltriethoxysilane (MTEOS) as the functional monomers, tetraethyl orthosilicate (TEOS) as the cross-linker and ethanol as the porogenic solvent in the surface of silica. The non-imprinted polymers layer-coated silica gel (NIP@SiO{sub 2}) were prepared with the same procedure, but with the absence of template molecule. In addition, the optimum adsorption affinity occurred when the molar ratio of NDGA:APTS:MTEOS:TEOS was 1:6:2:80. The prepared MIP@SiO{sub 2} and NIP@SiO{sub 2} were analyzed by scanning electron microscopy (SEM), thermogravimetric analysis (TGA), and Fourier transform-infrared spectroscopy (FT-IR). Their affinity properties to NDGA were evaluated through dynamic adsorption, static adsorption, and selective recognition experiments, and the results showed the saturated adsorption capacity of MIP@SiO{sub 2} could reach to 5.90 mg g{sup −1}, which was two times more than that of NIP@SiO{sub 2}. High performance liquid chromatography (HPLC) was used to evaluate the extraction of NDGA from the medicinal plant ephedra by the above prepared materials, and the results

  6. Adsorption characteristics, recognition properties, and preliminary application of nordihydroguaiaretic acid molecularly imprinted polymers prepared by sol–gel surface imprinting technology

    International Nuclear Information System (INIS)

    Liao, Sen; Zhang, Wen; Long, Wei; Hou, Dan; Yang, Xuechun; Tan, Ni

    2016-01-01

    Graphical abstract: - Highlights: • Nordihydroguaiaretic acid imprinted polymer with imprinting factor 2.12 was prepared for the first time through hydrogen bonding and hydrophobic interaction between the template molecules and the bifunctional monomers. • The obtained surface molecularly imprinting polymers exhibited high affinity and selectivity to the template molecules. • The prepared surface molecularly imprinted polymers were used in separation the natural active component nordihydroguaiaretic acid from medicinal plants. - Abstract: In this paper, a new core-shell composite of nordihydroguaiaretic acid (NDGA) molecularly imprinted polymers layer-coated silica gel (MIP@SiO_2) was prepared through sol–gel technique and applied as a material for extraction of NDGA from Ephedra. It was synthesized using NDGA as the template molecule, γ-aminopropyltriethoxysilane (APTS) and methyltriethoxysilane (MTEOS) as the functional monomers, tetraethyl orthosilicate (TEOS) as the cross-linker and ethanol as the porogenic solvent in the surface of silica. The non-imprinted polymers layer-coated silica gel (NIP@SiO_2) were prepared with the same procedure, but with the absence of template molecule. In addition, the optimum adsorption affinity occurred when the molar ratio of NDGA:APTS:MTEOS:TEOS was 1:6:2:80. The prepared MIP@SiO_2 and NIP@SiO_2 were analyzed by scanning electron microscopy (SEM), thermogravimetric analysis (TGA), and Fourier transform-infrared spectroscopy (FT-IR). Their affinity properties to NDGA were evaluated through dynamic adsorption, static adsorption, and selective recognition experiments, and the results showed the saturated adsorption capacity of MIP@SiO_2 could reach to 5.90 mg g"−"1, which was two times more than that of NIP@SiO_2. High performance liquid chromatography (HPLC) was used to evaluate the extraction of NDGA from the medicinal plant ephedra by the above prepared materials, and the results indicated that the MIP@SiO_2 had

  7. Synthesis of molecularly imprinted dye-silica nanocomposites with high selectivity and sensitivity: Fluorescent imprinted sensor for rapid and efficient detection of τ-fluvalinate in vodka.

    Science.gov (United States)

    Wang, Yunyun; Wang, Jixiang; Cheng, Rujia; Sun, Lin; Dai, Xiaohui; Yan, Yongsheng

    2018-04-01

    An imprinted fluorescent sensor was fabricated based on SiO 2 nanoparticles encapsulated with a molecularly imprinted polymer containing allyl fluorescein. High fluorine cypermethirin as template molecules, methyl methacrylate as functional monomer, and allyl fluorescein as optical materials synthesized a core-shell fluorescent molecular imprinted sensor, which showed a high and rapid sensitivity and selectivity for the detection of τ-fluvalinate. The sensor presented appreciable sensitivity with a limit of 13.251 nM, rapid detection that reached to equilibrium within 3 min, great linear relationship in the relevant concentration range from 0 to 150 nM, and excellent selectivity over structural analogues. In addition, the fluorescent sensor demonstrated desirable regeneration ability (eight cycling operations). The molecularly imprinted polymers ensured specificity, while the fluorescent dyes provided the stabile sensitivity. Finally, an effective application of the sensor was implemented by the detection of τ-fluvalinate in real samples from vodka. The molecularly imprinted fluorescent sensor showed a promising potential in environmental monitoring and food safety. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  9. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  10. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  11. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  12. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  13. Characterization of Conserved and Nonconserved Imprinted Genes in Swine

    Science.gov (United States)

    Genomic imprinting results in the silencing of a subset of mammalian alleles due to parent-of-origin inheritance. Due to the nature of their expression patterns they play a critical role in placental and early embryonic development. In order to increase our understanding of imprinted genes specifi...

  14. Pyrogallol-imprinted polymers with methyl methacrylate via precipitation polymerization

    Science.gov (United States)

    Mehamod, Faizatul Shimal; Othman, Nor Amira; Bulat, Ku Halim Ku; Suah, Faiz Bukhari Mohd

    2018-06-01

    Molecular simulation techniques are important to study the understanding of chemical and physical properties of any material. Computational modeling is considered as time reducer in finding the best recipes for Molecularly-Imprinted Polymers (MIPs). In this study, Pyrogallol-imprinted polymers (PIP) and non-imprinted polymers (NIPs) were synthesized via precipitation polymerization using Pyrogallol (Py), methyl methacrylate (MMA), divinylbenzene (DVB) as template, functional monomer and cross-linker, respectively. The recipe was according to the results from computational techniques. The synthesized PIP and NIPs were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), Brunauer-Emmett-Teller (BET) and UV-visible spectroscopy (UV-vis). Studies on adsorption isotherm showed that PIP and NIPs follow Scatchard isotherm models. Sorption kinetic study found that PIP and NIPs follow pseudo-second order which indicates the rate-limiting step is the surface adsorption. The imprinting factor of PIP was determined by selectivity study and showed the value of k >1, which proved that PIP was selective toward Pyrogallol compared to NIP.

  15. Halloysite-based dopamine-imprinted polymer for selective protein capture.

    Science.gov (United States)

    Zhu, Xiaohong; Li, Hui; Liu, Hui; Peng, Wei; Zhong, Shian; Wang, Yan

    2016-06-01

    We describe a facile, general, and highly efficient approach to obtain polydopamine-coated molecularly imprinted polymer based on halloysite nanotubes for bovine serum albumin. The method combined surface molecular imprinting and one-step immobilized template technique. Hierarchically structured polymer was prepared in physiological conditions adopting dopamine as functional monomer. A thin layer of polydopamine can be coated on the surface of amino-modified halloysite nanotubes by self-polymerization, and the thickness of the imprinted shells can be controlled by the mass ratio of matrix and dopamine. The polymer was characterized by Fourier transform infrared spectrometry, transmission electron microscopy, and thermogravimetric analysis. The prepared material showed high binding capacity (45.4 mg/g) and specific recognition behavior toward the template protein. In addition, stability and regeneration analyses indicated that the imprinted polymer exhibited excellent reusability (relative standard deviation < 9% for batch-to-batch evaluation). Therefore, the developed polymer is effective for protein recognition and separation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  17. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  18. Histopathology-like categories based on endometrial imprint cytology in dysfunctional uterine bleeding.

    Science.gov (United States)

    Baxi, Seema N; Panchal, Nirav S

    2015-01-01

    Cytology of the endometrium is an underused technique in diagnostic pathology. It has been used in the past for endometrial hyperplasia and carcinoma. Only few studies have used cytology in the diagnosis of dysfunctional uterine bleeding (DUB). Endometrial imprint cytology has been rarely used except for application of immunocytochemistry in diagnosis of endometrial carcinoma. The present study was conducted to evaluate whether it is possible to assign histopathology-like diagnosis by imprint cytology and also to evaluate its usefulness in the assessment of patients of dysfunctional uterine bleeding of low clinical suspicion. Imprint smears were made from 93 curettage materials during a study of DUB. Blinded analysis of imprint smears was performed by using McKenzie's criteria and some criteria devised for the requirements of this study. Results of cytology were correlated with histopathology. Statistical analysis was carried out by GraphpadInStat Demo. Majority of the patterns classifiable in histopathology could also be classified in this study on imprint cytology. The overall sensitivity and specificity of cytology in the detection of endometrial patterns in DUB patients were 91.23% and 83.87%, respectively, although the sensitivities and specificities differ according to the phase of endometrium. Histopathology-like categories can be assigned on imprint smears in the diagnosis of DUB. Endometrial imprint cytology can be helpful in centers where histopathology laboratories are not available and even in well-established institutes. It is possible to improve the sensitivity and specificity with better imprinting techniques.

  19. Synthesis of Boron Nano wires, Nano tubes, and Nano sheets

    International Nuclear Information System (INIS)

    Patel, R.B.; Chou, T.; Iqbal, Z.

    2014-01-01

    The synthesis of boron nano wires, nano tubes, and nano sheets using a thermal vapor deposition process is reported. This work confirms previous research and provides a new method capable of synthesizing boron nano materials. The materials were made by using various combinations of MgB 2 , Mg(BH 4 ) 2 , MCM-41, NiB, and Fe wire. Unlike previously reported methods, a nanoparticle catalyst and a silicate substrate are not required for synthesis. Two types of boron nano wires, boron nano tubes, and boron nano sheets were made. Their morphology and chemical composition were determined through the use of scanning electron microscopy, transmission electron microscopy, and electron energy loss spectroscopy. These boron-based materials have potential for electronic and hydrogen storage applications.

  20. Polarization imprint effects on the photovoltaic effect in Pb(Zr,Ti)O3 thin films

    Science.gov (United States)

    Tan, Zhengwei; Tian, Junjiang; Fan, Zhen; Lu, Zengxing; Zhang, Luyong; Zheng, Dongfeng; Wang, Yadong; Chen, Deyang; Qin, Minghui; Zeng, Min; Lu, Xubing; Gao, Xingsen; Liu, Jun-Ming

    2018-04-01

    The polarization imprint along with the photovoltaic (PV) effect has been studied in Pt/Pb(Zr0.3Ti0.7)O3/SrRuO3 ferroelectric capacitors. It is shown that the positive DC poling induces the imprint with a downward direction whereas the negative DC poling suppresses the imprint (i.e., rejuvenation). In the polarization up state, the imprinted capacitor exhibits degraded PV properties compared with the rejuvenated one. This may be because the imprint reduces the number of upward domains, thus lowering the driving force for the PV effect. In the polarization down state, however, the rejuvenated capacitor enters the imprinted state spontaneously. This rejuvenation-to-imprint transition can be further aggravated by applying positive voltages and ultraviolet illumination. It is proposed that the domain pinning/depinning, which are associated with the oxygen vacancies and trapped electrons modulated by polarization, voltage, and illumination, may be responsible for the polarization imprint and rejuvenation. Our study therefore sheds light on the correlation between the polarization imprint and the PV effect in the ferroelectrics and also provides some viable suggestions to address the imprint-induced degradation of PV performance.

  1. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  2. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  3. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  4. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography

    Directory of Open Access Journals (Sweden)

    Linas Jonušauskas

    2017-01-01

    Full Text Available We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL. This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8 and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  5. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  6. Synthesis and Theoretical Study of Molecularly Imprinted Nanospheres for Recognition of Tocopherols

    Directory of Open Access Journals (Sweden)

    Chartchalerm Isarankura-Na-Ayudhya

    2009-08-01

    Full Text Available Molecular imprinting is a technology that facilitates the production of artificial receptors toward compounds of interest. The molecularly imprinted polymers act as artificial antibodies, artificial receptors, or artificial enzymes with the added benefit over their biological counterparts of being highly durable. In this study, we prepared molecularly imprinted polymers for the purpose of binding specifically to tocopherol (vitamin E and its derivative, tocopherol acetate. Binding of the imprinted polymers to the template was found to be two times greater than that of the control, non-imprinted polymers, when using only 10 mg of polymers. Optimization of the rebinding solvent indicated that ethanol-water at a molar ratio of 6:4 (v/v was the best solvent system as it enhanced the rebinding performance of the imprinted polymers toward both tocopherol and tocopherol acetate with a binding capacity of approximately 2 mg/g of polymer. Furthermore, imprinted nanospheres against tocopherol was successfully prepared by precipitation polymerization with ethanol-water at a molar ratio of 8:2 (v/v as the optimal rebinding solvent. Computer simulation was also performed to provide mechanistic insights on the binding mode of template-monomer complexes. Such polymers show high potential for industrial and medical applications, particularly for selective separation of tocopherol and derivatives.

  7. Imprinting modulates processing of visual information in the visual wulst of chicks

    Directory of Open Access Journals (Sweden)

    Uchimura Motoaki

    2006-11-01

    Full Text Available Abstract Background Imprinting behavior is one form of learning and memory in precocial birds. With the aim of elucidating of the neural basis for visual imprinting, we focused on visual information processing. Results A lesion in the visual wulst, which is similar functionally to the mammalian visual cortex, caused anterograde amnesia in visual imprinting behavior. Since the color of an object was one of the important cues for imprinting, we investigated color information processing in the visual wulst. Intrinsic optical signals from the visual wulst were detected in the early posthatch period and the peak regions of responses to red, green, and blue were spatially organized from the caudal to the nasal regions in dark-reared chicks. This spatial representation of color recognition showed plastic changes, and the response pattern along the antero-posterior axis of the visual wulst altered according to the color the chick was imprinted to. Conclusion These results indicate that the thalamofugal pathway is critical for learning the imprinting stimulus and that the visual wulst shows learning-related plasticity and may relay processed visual information to indicate the color of the imprint stimulus to the memory storage region, e.g., the intermediate medial mesopallium.

  8. 21 CFR 330.3 - Imprinting of solid oral dosage form drug products.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 5 2010-04-01 2010-04-01 false Imprinting of solid oral dosage form drug products... AS SAFE AND EFFECTIVE AND NOT MISBRANDED General Provisions § 330.3 Imprinting of solid oral dosage form drug products. A requirement to imprint an identification code on solid oral dosage form drug...

  9. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  10. Imprinting diseases and IVF: Danish National IVF cohort study

    DEFF Research Database (Denmark)

    Lidegaard, Ojvind; Pinborg, Anja; Andersen, Anders Nyboe

    2005-01-01

    The aim of this study was to compare the frequency of imprinting diseases in children born after IVF with the incidence in naturally conceived children.......The aim of this study was to compare the frequency of imprinting diseases in children born after IVF with the incidence in naturally conceived children....

  11. DNA replication: stalling a fork for imprinting and switching

    DEFF Research Database (Denmark)

    Egel, Richard

    2004-01-01

    Mating-type switching in fission yeast has long been known to be directed by a DNA 'imprint'. This imprint has now been firmly characterized as a protected site-specific and strand-specific nick. New work also links the widely conserved Swi1-Swi3 complex to the protection of stalled replication...

  12. Optical response of large-area aluminum-coated nano-bucket arrays on flexible PET substrates

    Science.gov (United States)

    Hohertz, Donna; Chuo, Yindar; Omrane, Badr; Landrock, Clint; Kavanagh, Karen L.

    2014-09-01

    The high-cost of fabrication of nanohole arrays for extraordinary optical transmission, surface-plasmon-resonance-based sensors, inhibits their widespread commercial adoption. Production typically involves the application of small-area patterning techniques, such as focused-ion-beam milling, and electron-beam lithography onto high-cost gold-coated substrates. Moving to lower-cost manufacturing is a critical step for applications such as the detection of environmental oil-leaks, or water quality assurance. In these applications, the sensitivity requirements are relatively low, and a bio-compatible inert surface, such as gold, is unnecessary. We report on the optical response of aluminum-coated nano-bucket arrays fabricated on flexible polyethylene terephthalate substrates. The arrays are fabricated using an economical roll-to-roll UV-casting process from large sheets of nickel templates generated from master quartz stamps. The nano-featured surface is subsequently coated with 50 nm of thermally-evaporated aluminum. The roll-to-roll production process has a 97% yield over a 600 m roll producing nano-buckets with 240 nm diameters, 300 nm deep, with a 70° taper. When exposed to a series of refractive index standards (glucose solutions), changes in the locations of the resonance transmission peaks result in optical sensitivities as high as 390 ± 20 nm/RIU. The peak transmission is approximately 5% of illumination, well within the sensitivity requirements of most common low-cost detectors.

  13. ZFP57 maintains the parent-of-origin-specific expression of the imprinted genes and differentially affects non-imprinted targets in mouse embryonic stem cells

    DEFF Research Database (Denmark)

    Riso, Vincenzo; Cammisa, Marco; Kukreja, Harpreet

    2016-01-01

    ZFP57 is necessary for maintaining repressive epigenetic modifications at Imprinting control regions (ICRs). In mouse embryonic stem cells (ESCs), ZFP57 binds ICRs (ICRBS) and many other loci (non-ICRBS). To address the role of ZFP57 on all its target sites, we performed high-throughput and multi......-locus analyses of inbred and hybrid mouse ESC lines carrying different gene knockouts. By using an allele-specific RNA-seq approach, we demonstrate that ZFP57 loss results in derepression of the imprinted allele of multiple genes in the imprinted clusters. We also find marked epigenetic differences between ICRBS...... the imprinted expression over long distances. At non-ICRBS, ZFP57 inactivation results in acquisition of epigenetic features that are characteristic of poised enhancers, suggesting that another function of ZFP57 in early embryogenesis is to repress cis-acting regulatory elements whose activity is not yet...

  14. Identification and resolution of artifacts in the interpretation of imprinted gene expression.

    Science.gov (United States)

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-12-01

    Genomic imprinting refers to genes that are epigenetically programmed in the germline to express exclusively or preferentially one allele in a parent-of-origin manner. Expression-based genome-wide screening for the identification of imprinted genes has failed to uncover a significant number of new imprinted genes, probably because of the high tissue- and developmental-stage specificity of imprinted gene expression. A very large number of technical and biological artifacts can also lead to the erroneous evidence of imprinted gene expression. In this article, we focus on three common sources of potential confounding effects: (i) random monoallelic expression in monoclonal cell populations, (ii) genetically determined monoallelic expression and (iii) contamination or infiltration of embryonic tissues with maternal material. This last situation specifically applies to genes that occur as maternally expressed in the placenta. Beside the use of reciprocal crosses that are instrumental to confirm the parental specificity of expression, we provide additional methods for the detection and elimination of these situations that can be misinterpreted as cases of imprinted expression.

  15. [The lymph nodes imprint for the diagnosis of lymphoid neoplasms].

    Science.gov (United States)

    Peniche-Alvarado, Carolina; Ramos-Peñafiel, Christian Omar; Martínez-Murillo, Carlos; Romero-Guadarrama, Mónica; Olarte-Carrillo, Irma; Rozen-Fuller, Etta; Martínez-Tovar, Adolfo; Collazo-Jaloma, Juan; Mendoza-García, Carlos Alberto

    2013-01-01

    lymphoma is the most frequent lymphoid neoplasm in our country. Its diagnosis is based on histopathological findings. The lymph node imprint has been used for more than 40 years. The aim was to establish the sensitivity, specificity, positive predictive value and negative predictive value of lymph node imprint and estimate the inter-observer rate. we did an observational, retrospective, prolective study, based on the lymph node imprint obtained by excisional biopsies over a period of 6 years. the inclusion criteria was met on 199 samples, 27.1 % were considered as reactive (n = 54), 16.1 % Hodgkin lymphoma (n = 32), 40.2 % (n = 80) non-Hodgkin lymphoma and 16.6 % (n = 33) as metastatic carcinoma. Comparing with the final histopathology report, the sensitivity and specificity of lymph node imprint were 88 % (0.81-0.95) and 64 % (0.55-0.73) respectively, the positive predictive value was 67 % (0.59-0.76) and the negative predictive value was 86 % (0.79-0.94). The interobserver kappa index was 0.467. the lymph node imprint remains as a useful tool for the diagnosis of lymphoid neoplasm. The agreement between observers was acceptable.

  16. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  17. Molecularly imprinted solid-phase extraction in the analysis of agrochemicals.

    Science.gov (United States)

    Yi, Ling-Xiao; Fang, Rou; Chen, Guan-Hua

    2013-08-01

    The molecular imprinting technique is a highly predeterminative recognition technology. Molecularly imprinted polymers (MIPs) can be applied to the cleanup and preconcentration of analytes as the selective adsorbent of solid-phase extraction (SPE). In recent years, a new type of SPE has formed, molecularly imprinted polymer solid-phase extraction (MISPE), and has been widely applied to the extraction of agrochemicals. In this review, the mechanism of the molecular imprinting technique and the methodology of MIP preparations are explained. The extraction modes of MISPE, including offline and online, are discussed, and the applications of MISPE in the analysis of agrochemicals such as herbicides, fungicides and insecticides are summarized. It is concluded that MISPE is a powerful tool to selectively isolate agrochemicals from real samples with higher extraction and cleanup efficiency than commercial SPE and that it has great potential for broad applications.

  18. DNA analysis by single molecule stretching in nanofluidic biochips

    DEFF Research Database (Denmark)

    Abad, E.; Juarros, A.; Retolaza, A.

    2011-01-01

    Imprint Lithography (NIL) technology combined with a conventional anodic bonding of the silicon base and Pyrex cover. Using this chip, we have performed single molecule imaging on a bench-top fluorescent microscope system. Lambda phage DNA was used as a model sample to characterize the chip. Single molecules of λ-DNA......Stretching single DNA molecules by confinement in nanofluidic channels has attracted a great interest during the last few years as a DNA analysis tool. We have designed and fabricated a sealed micro/nanofluidic device for DNA stretching applications, based on the use of the high throughput Nano...... stained with the fluorescent dye YOYO-1 were stretched in the nanochannel array and the experimental results were analysed to determine the extension factor of the DNA in the chip and the geometrical average of the nanochannel inner diameter. The determination of the extension ratio of the chip provides...

  19. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    DEFF Research Database (Denmark)

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information...... is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10...... region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through...

  20. Steering and filtering white light with resonant waveguide gratings

    Science.gov (United States)

    Quaranta, Giorgio; Basset, Guillaume; Martin, Olivier J. F.; Gallinet, Benjamin

    2017-08-01

    A novel thin-film single-layer structure based on resonant waveguide gratings (RWGs) allows to engineer selective color filtering and steering of white light. The unit cell of the structure consists of two adjacent finite-length and cross-talking RWGs, where the former acts as in-coupler and the latter acts as out-coupler. The structure is made by only one nano-imprint lithography replication and one thin film layer deposition, making it fully compatible with up-scalable fabrication processes. We characterize a fabricated optical security element designed to work with the flash and the camera of a smartphone in off-axis light steering configuration, where the pattern is revealed only by placing the smartphone in the proper position. Widespread applications are foreseen in a variety of fields, such as multifocal or monochromatic lenses, solar cells, biosensors, security devices and seethrough optical combiners for near-eye displays.

  1. Nano-technology and nano-toxicology.

    Science.gov (United States)

    Maynard, Robert L

    2012-01-01

    Rapid developments in nano-technology are likely to confer significant benefits on mankind. But, as with perhaps all new technologies, these benefits are likely to be accompanied by risks, perhaps by new risks. Nano-toxicology is developing in parallel with nano-technology and seeks to define the hazards and risks associated with nano-materials: only when risks have been identified they can be controlled. This article discusses the reasons for concern about the potential effects on health of exposure to nano-materials and relates these to the evidence of the effects on health of the ambient aerosol. A number of hypotheses are proposed and the dangers of adopting unsubstantiated hypotheses are stressed. Nano-toxicology presents many challenges and will need substantial financial support if it is to develop at a rate sufficient to cope with developments in nano-technology.

  2. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  3. Does genomic imprinting play a role in autoimmunity?

    Science.gov (United States)

    Camprubí, Cristina; Monk, David

    2011-01-01

    In the 19th century Gregor Mendel defined the laws of genetic inheritance by crossing different types of peas. From these results arose his principle of equivalence: the gene will have the same behaviour whether it is inherited from the mother or the father. Today, several key exceptions to this principle are known, for example sex-linked traits and genes in the mitochondrial genome, whose inheritance patterns are referred to as 'non mendelian'. A third, important exception in mammals is that of genomic imprinting, where transcripts are expressed in a monoallelic fashion from only the maternal or the paternal chromosome. In this chapter, we discuss how parent-of-origin effects and genomic imprinting may play a role in autoimmunity and speculate how imprinted miRNAs may influence the expression of many target autoimmune associated genes.

  4. Characterization of molecularly imprinted polymers using a new polar solvent titration method.

    Science.gov (United States)

    Song, Di; Zhang, Yagang; Geer, Michael F; Shimizu, Ken D

    2014-07-01

    A new method of characterizing molecularly imprinted polymers (MIPs) was developed and tested, which provides a more accurate means of identifying and measuring the molecular imprinting effect. In the new polar solvent titration method, a series of imprinted and non-imprinted polymers were prepared in solutions containing increasing concentrations of a polar solvent. The polar solvent additives systematically disrupted the templation and monomer aggregation processes in the prepolymerization solutions, and the extent of disruption was captured by the polymerization process. The changes in binding capacity within each series of polymers were measured, providing a quantitative assessment of the templation and monomer aggregation processes in the imprinted and non-imprinted polymers. The new method was tested using three different diphenyl phosphate imprinted polymers made using three different urea functional monomers. Each monomer had varying efficiencies of templation and monomer aggregation. The new MIP characterization method was found to have several advantages. To independently verify the new characterization method, the MIPs were also characterized using traditional binding isotherm analyses. The two methods appeared to give consistent conclusions. First, the polar solvent titration method is less susceptible to false positives in identifying the imprinting effect. Second, the method is able to differentiate and quantify changes in binding capacity, as measured at a fixed guest and polymer concentration, arising from templation or monomer aggregation processes in the prepolymerization solution. Third, the method was also easy to carry out, taking advantage of the ease of preparing MIPs. Copyright © 2014 John Wiley & Sons, Ltd.

  5. Molecularly imprinted electrochemical sensor based on nickel nanoparticle-modified electrodes for phenobarbital determination

    International Nuclear Information System (INIS)

    Yu, Hui Cheng; Huang, Xue Yi; Lei, Fu Hou; Tan, Xue Cai; Wei, Yi Chun; Li, Hao

    2014-01-01

    Highlights: • Uniform Ni nanoparticles were synthesized. • A Ni nanoparticle-modified imprinted sensor was developed to detect phenobarbital. • The modified sensor exhibited high sensitivity for phenobarbital. • The electrochemical properties of the modified sensor were investigated. • The prepared sensor was applied to detect phenobarbital in fish samples. - Abstract: Uniform nickel nanoparticles were applied to improve the sensitivity of sensors for phenobarbital (PB) determination. A Ni nanoparticle-modified imprinted electrochemical sensor was developed by thermal polymerization with the use of methacrylic acid as the functional monomer and ethylene glycol maleic rosinate acrylate as the crosslinking agent. The chemical structures and morphologies of the imprinted films were characterized using Fourier transform infrared spectroscopy and scanning electron microscopy. The success of the fabrication of Ni nanoparticles, as well as the Ni nanoparticle-modified imprinted electrochemical sensor, was confirmed by the analytical results. The electrochemical properties of the modified molecularly imprinted and non-imprinted polymer sensors were investigated by cyclic voltammetry, differential pulse voltammetry, electrochemical impedance spectroscopy, and chronoamperometry. Results showed that the electrochemical properties of the molecularly imprinted sensor were remarkably different from those of the non-imprinted sensor. Linear responses of the imprinted sensor to PB were observed for concentrations ranging from 1.4 × 10 −7 mol L −1 to 1.3 × 10 −4 mol L −1 (r 2 = 0.9976), with a detection limit of 8.2 × 10 −9 mol L −1 (S/N = 3). The imprinted electrochemical sensor was used to determine PB in actual fish samples, in which average recoveries between 95.60% and 104.67% were achieved. The developed Ni nanoparticle-modified electrochemical sensor exhibited high sensitivity, high selectivity, and good recovery

  6. MOLECULARLY IMPRINTED SOLID PHASE EXTRACTION FOR TRACE ANALYSIS OF DIAZINON IN DRINKING WATER

    Directory of Open Access Journals (Sweden)

    M. Rahiminejad ، S. J. Shahtaheri ، M. R. Ganjali ، A. Rahimi Forushani ، F. Golbabaei

    2009-04-01

    Full Text Available Amongst organophosphate pesticides, the one most widely used and common environmental contaminant is diazinon; thus methods for its trace analysis in environmental samples must be developed. Use of diazinon imprinted polymers such as sorbents in solid phase extraction, is a prominent and novel application area of molecular imprinted polymers. For diazinon extraction, high performance liquid chromatography analysis was demonstrated in this study. During optimization of the molecular imprinted solid phase extraction procedure for efficient solid phase extraction of diazinon, Plackett-Burman design was conducted. Eight experimental factors with critical influence on molecular imprinted solid phase extraction performance were selected, and 12 different experimental runs based on Plackett-Burman design were carried out. The applicability of diazinon imprinted polymers as the sorbent in solid phase extraction, presented obtained good recoveries of diazinon from LC-grade water. An increase in pH caused an increase in the recovery on molecular imprinted solid phase extraction. From these results, the optimal molecular imprinted solid phase extraction procedure was as follows: solid phase extraction packing with 100 mg diazinon imprinted polymers; conditioning with 5 mL of methanol and 6 mL of LC-grade water; sample loading containing diazinon (pH=10; washing with 1 mL of LC-grade water, 1 mL LC- grade water containing 30% acetonitrile and 0.5 mL of acetonitrile, respectively; eluting with 1 mL of methanol containing 2% acetic acid. The percentage recoveries obtained by the optimized molecular imprinted solid phase extraction were more than 90% with drinking water spiked at different trace levels of diazinon. Generally speaking, the molecular imprinted solid phase extraction procedure and subsequent high performance liquid chromatography analysis can be a relatively fast and proper approach for qualitative and quantitative analysis of diazinon in

  7. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  8. Self-oriented nanoparticles for site-selective immunoglobulin G recognition via epitope imprinting approach.

    Science.gov (United States)

    Çorman, Mehmet Emin; Armutcu, Canan; Uzun, Lokman; Say, Rıdvan; Denizli, Adil

    2014-11-01

    Molecular imprinting is a polymerization technique that provides synthetic analogs for template molecules. Molecularly imprinted polymers (MIPs) have gained much attention due to their unique properties such as selectivity and specificity for target molecules. In this study, we focused on the development of polymeric materials with molecular recognition ability, so molecular imprinting was combined with miniemulsion polymerization to synthesize self-orienting nanoparticles through the use of an epitope imprinting approach. Thus, L-lysine imprinted nanoparticles (LMIP) were synthesized via miniemulsion polymerization technique. Immunoglobulin G (IgG) was then bound to the cavities that specifically formed for L-lysine molecules that are typically found at the C-terminus of the Fc region of antibody molecules. The resulting nanoparticles makes it possible to minimize the nonspecific interaction between monomer and template molecules. In addition, the orientation of the entire IgG molecule was controlled, and random imprinting of the IgG was prevented. The optimum conditions were determined for IgG recognition using the imprinted nanoparticles. The selectivity of the nanoparticles against IgG molecules was also evaluated using albumin and hemoglobin as competitor molecules. In order to show the self-orientation capability of imprinted nanoparticles, human serum albumin (HSA) adsorption onto both the plain nanoparticles and immobilized nanoparticles by anti-human serum albumin antibody (anti-HSA antibody) was also carried out. Due to anti-HSA antibody immobilization on the imprinted nanoparticles, the adsorption capability of nanoparticles against HSA molecules vigorously enhanced. It is proved that the oriented immobilization of antibodies was appropriately succeeded. Copyright © 2014 Elsevier B.V. All rights reserved.

  9. Frontier of nanometer devices. Part 2. Trends in nanostructure fabrication technology. Nanometa debaisu kenkyu saizensen. 2. Nanometa bisai kako gijutsu no genjo to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, S [NEC Corp., Tokyo (Japan)

    1994-06-20

    Nanometer fabrication technology shows a remarkable progress. Conventional electric beam enables 10 nm lithography and the scanning transmission electron microscopy (STEM) and the scanning tunneling microscopy (STM) enable fabrication below 10 nm even to the level of atom and molecule manipulation. This paper describes the recent trends in nanotechnology (nanolithography and nano dry etching) by the use of electron and ion beams. In the atom technology by the use of STM, studies are in progress on processing with atomic force, electric field evaporation and chemical reaction. Moreover, this paper describes recent development in nano natural lithography, self-formation lithography, atomic layer lithography and nanolithography using electron beam holography. The present state and future prospects are discussed. 31 refs., 10 figs., 1 tab.

  10. Fabrication of Surface Protein-Imprinted Nanoparticles Using a Metal Chelating Monomer via Aqueous Precipitation Polymerization.

    Science.gov (United States)

    Li, Wei; Sun, Yan; Yang, Chongchong; Yan, Xianming; Guo, Hao; Fu, Guoqi

    2015-12-16

    Molecular imprinting is a promising way for constructing artificial protein recognition materials, but it has been challenged by difficulties such as restricted biomacromolecule transfer in the cross-linked polymer networks, and reduced template-monomer interactions that are due to the required aqueous media. Herein, we propose a strategy for imprinting of histidine (His)-exposed proteins by combining previous approaches such as surface imprinting over nanostructures, utilization of metal coordination interactions, and adoption of aqueous precipitation polymerization capable of forming reversible physical crosslinks. With lysozyme as a model template bearing His residues, imprinted polymer nanoshells were grafted over vinyl-modified nanoparticles by aqueous precipitation copolymerization of a Cu(2+) chelating monomer with a temperature-responsive monomer carried out at 37 °C, above the volume phase-transition temperature (VPTT) of the final copolymer. The imprinted nanoshells showed significant temperature sensitivity and the template removal could be facilitated by swelling of the imprinted layers at 4 °C, below the VPTT. The resultant core-shell imprinted nanoparticles exhibited strikingly high rebinding selectivity against a variety of nontemplate proteins. An imprinting factor up to 22.7 was achieved, which is among the best values reported for protein imprinting, and a rather high specific binding capacity of 67.3 mg/g was obtained. Moreover, this approach was successfully extended to preliminary imprinting of hemoglobin, another protein with accessible His. Therefore, it may be a versatile method for fabrication of high-performance surface-imprinted nanoparticles toward His-exposed proteins.

  11. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  12. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  13. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  14. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  15. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  16. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  17. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  18. Preparation and Property Recognition of Nimodipine Molecularly Imprinted Polymer

    Directory of Open Access Journals (Sweden)

    Fei-fei CHEN

    2015-09-01

    Full Text Available Objective: To explore the application of molecular imprinting technique in the separation and detection of nimodipine. Methods: Methacrylic acid as functional monomer, pentaerythritol triacrylate as cross-linking agent were used to prepare molecularly imprinted polymer (MIP with the feature of specific recognition performance on imprinting molecule nimodipine under condition of template molecule nimodipine. The preparation conditions, recognition performance of MIP on nimodipine, different proportions of template molecule and functional monomer, the selectivity to other substrate, and the relationship between adsorption quantity (Q and time were observed. Results: MIP was prepared successfully bynimodipine as template and pentaerythritol triacrylate as cross-linking agent, with the feature of specific recognition performance on nimodipine. The static adsorption distribution coefficient (KD was 0.2264. The equation of Q and the concentration of substrate of template MIP was y = -0.21x+0.2204. Combining capacity of template molecule at the same concentration enhanced with the increasing proportion of functional monomer.Conclusion: Nimodipine MIP based on molecular imprinting technique may become a new approach to chiral separation for nimodipine.

  19. Recognition of lysozyme using surface imprinted bacterial cellulose nanofibers.

    Science.gov (United States)

    Saylan, Yeşeren; Tamahkar, Emel; Denizli, Adil

    2017-11-01

    Here, we developed the lysozyme imprinted bacterial cellulose (Lyz-MIP/BC) nanofibers via the surface imprinting strategy that was designed to recognize lysozyme. This study includes the molecular imprinting method onto the surface of bacterial cellulose nanofibers in the presence of lysozyme by metal ion coordination, as well as further characterizations methods FTIR, SEM and contact angle measurements. The maximum lysozyme adsorption capacity of Lyz-MIP/BC nanofibers was found to be 71 mg/g. The Lyz-MIP/BC nanofibers showed high selectivity for lysozyme towards bovine serum albumin and cytochrome c. Overall, the Lyz-MIP/BC nanofibers hold great potential for lysozyme recognition due to the high binding capacity, significant selectivity and excellent reusability.

  20. Predicting the performance of molecularly imprinted polymers: Selective extraction of caffeine by molecularly imprinted solid phase extraction

    Energy Technology Data Exchange (ETDEWEB)

    Farrington, Keith [School of Chemical Sciences, Dublin City University, Glasnevin, Dublin 9 (Ireland); Magner, Edmond [Materials and Surface Science Institute, Chemical and Environmental Sciences Department, University of Limerick, Limerick (Ireland); Regan, Fiona [School of Chemical Sciences, Dublin City University, Glasnevin, Dublin 9 (Ireland)]. E-mail: fiona.regan@dcu.ie

    2006-04-27

    A rational design approach was taken to the planning and synthesis of a molecularly imprinted polymer capable of extracting caffeine (the template molecule) from a standard solution of caffeine and further from a food sample containing caffeine. Data from NMR titration experiments in conjunction with a molecular modelling approach was used in predicting the relative ratios of template to functional monomer and furthermore determined both the choice of solvent (porogen) and the amount used for the study. In addition the molecular modelling program yielded information regarding the thermodynamic stability of the pre-polymerisation complex. Post-polymerisation analysis of the polymer itself by analysis of the pore size distribution by BET yielded significant information regarding the nature of the size and distribution of the pores within the polymer matrix. Here is proposed a stepwise procedure for the development and testing of a molecularly imprinted polymer using a well-studied compound-caffeine as a model system. It is shown that both the physical characteristics of a molecularly imprinted polymer (MIP) and the analysis of the pre-polymerisation complex can yield vital information, which can predict how well a given MIP will perform.