WorldWideScience

Sample records for monitoring etch uniformity

  1. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  2. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  3. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  4. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  5. High-uniformity centimeter-wide Si etching method for MEMS devices with large opening elements

    Science.gov (United States)

    Okamoto, Yuki; Tohyama, Yukiya; Inagaki, Shunsuke; Takiguchi, Mikio; Ono, Tomoki; Lebrasseur, Eric; Mita, Yoshio

    2018-04-01

    We propose a compensated mesh pattern filling method to achieve highly uniform wafer depth etching (over hundreds of microns) with a large-area opening (over centimeter). The mesh opening diameter is gradually changed between the center and the edge of a large etching area. Using such a design, the etching depth distribution depending on sidewall distance (known as the local loading effect) inversely compensates for the over-centimeter-scale etching depth distribution, known as the global or within-die(chip)-scale loading effect. Only a single DRIE with test structure patterns provides a micro-electromechanical systems (MEMS) designer with the etched depth dependence on the mesh opening size as well as on the distance from the chip edge, and the designer only has to set the opening size so as to obtain a uniform etching depth over the entire chip. This method is useful when process optimization cannot be performed, such as in the cases of using standard conditions for a foundry service and of short turn-around-time prototyping. To demonstrate, a large MEMS mirror that needed over 1 cm2 of backside etching was successfully fabricated using as-is-provided DRIE conditions.

  6. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  7. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  8. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  9. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  10. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  11. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  12. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  13. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  14. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  15. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  16. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  17. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  18. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  19. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  20. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  1. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    Science.gov (United States)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  2. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  3. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  4. Simple and robust near-infrared spectroscopic monitoring of indium-tin-oxide (ITO) etching solution using Teflon tubing

    International Nuclear Information System (INIS)

    Nah, Sanghee; Ryu, Kyungtag; Cho, Soohwa; Chung, Hoeil; Namkung, Hankyu

    2006-01-01

    The ability to monitor etching solutions using a spectroscopy directly through existing Teflon lines in electronic industries is highly beneficial and offers many advantages. A monitoring method was developed using near-infrared (NIR) measurements with Teflon tubing as a sample container for the quantification of components in the indium-tin-oxide (ITO) etching solution composed of hydrochloric acid (HCl), acetic acid (CH 3 COOH) and water. Measurements were reproducible and it was possible to use the same calibration model for different Teflon tubings. Even though partial least squares (PLS) calibration performance was slightly degraded for Teflon cells when compared to quartz cells of the similar pathlength, the calibration data correlated well with reference data. The robustness of Teflon-based NIR measurement was evaluated by predicting the spectra of 10 independent samples that were collected using five different Teflon tubes. Although, two Teflon tubes were visually less transparent than the other three, there was no significant variation in the standard error of predictions (SEPs) among the five Teflon tubes. Calibration accuracy was successfully maintained and highly repeatable prediction results were achieved. This study verifies that a Teflon-based NIR measurement is reliable for the monitoring of etching solutions and it can be successfully integrated into on-line process monitoring

  5. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  6. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  7. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  8. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  9. Low temperature atmospheric microplasma jet array for uniform treatment of polymer surface for flexible electronics

    Science.gov (United States)

    Wang, Tao; Wang, Xiaolin; Yang, Bin; Chen, Xiang; Yang, Chunsheng; Liu, Jingquan

    2017-07-01

    In this paper, the uniformity of polymer film etching by an atmospheric pressure He/O2 microplasma jet array (μPJA) is first investigated with different applied voltage. Plasma characteristics of μPJA were recorded by optical discharge images. Morphologies and chemical compositions of polymer film etched by μPJA were analyzed by optical microscopy, scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS). By increasing the applied voltage from 8.5 kV to 16.4 kV, the non-uniformity of the luminous intensity of the plasma jets increases. It is interesting that the plasma treated regions are actually composed of an etched region and modification region, with distinct morphologies and chemical compositions. The diameters of the etched parylene-C film show the increase of non-uniformity with higher applied voltage. SEM results show that the non-uniformity of surface morphologies of both the modification regions and etched regions increases with the increase of applied voltage. EDS and XPS results also present the significant effect of higher applied voltage on the non-uniformity of surface chemical compositions of both modification and etched regions. The Coulomb interaction of the streamer heads and the hydrodynamic interaction between the plasma jets and the surrounding air are considered to be responsible for this phenomenon. The results shown in this work can help improve the processing quality of polymer film etched by an atmospheric pressure microplasma jet array and two applications are demonstrated to illustrate the uniform downstream surface treatment.

  10. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  11. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    Science.gov (United States)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  12. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  13. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  14. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  15. Fiber optic based optical tomography sensor for monitoring plasma uniformity

    International Nuclear Information System (INIS)

    Benck, Eric C.; Etemadi, Kasra

    2001-01-01

    A new type of fiber optic based optical tomography sensor has been developed for in situ monitoring of plasma uniformity. Optical tomography inverts optical emission measurements into the actual plasma distribution without assuming radial symmetry. The new sensor is designed to operate with only two small windows and acquire the necessary data in less than a second. Optical tomography is being tested on an ICP-GEC RF plasma source. Variations in plasma uniformity are measured as a function of different plasma conditions

  16. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  17. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  18. Fabrication of Slanted Cu Nanopillars with Uniform Arrays

    Directory of Open Access Journals (Sweden)

    Sung-Woon Cho

    2016-02-01

    Full Text Available Slanted Cu nanopillars with uniform arrays were fabricat‐ ed using slanted Si channel structures as templates. A slanted plasma etching technique was employed, utilizing a Faraday cage system for the formation of these slanted channel structures. The angle of the slanted channel structures was accurately controlled because ions entering the Faraday cage maintained their direction. After the slanted channel structures were formed, they were filled with Cu by electroless deposition. Chemical mechanical polishing was then used to remove the excess Cu film and the SiO2 masks. Finally, the remaining poly Si of the channel structures was removed by wet chemical etching. This work offers a novel method for the fabrication of slanted metal nanostructures with uniform arrays covering a large area.

  19. Multiplexed FBG and etched fiber sensors for process and health monitoring of 2-&3-D RTM components

    OpenAIRE

    Keulen, Casey J.; Yıldız, Mehmet; Yildiz, Mehmet; Suleman, Afzal

    2011-01-01

    This paper presents research being conducted on the use of a combination of fiber optic sensors for process and health monitoring of resin transfer molded (RTM) composite structures. A laboratory scale RTM apparatus has been designed and built with the capability of visually monitoring the resin filling process and embedding fiber optic sensors into the composite. Fiber Bragg gratings (FBG) and etched fiber sensors (EFS) have been multiplexed and embedded in quasi-2-D panels and 3-D hollow se...

  20. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    energy by an ionizing particle traversing a material medium. When the ... Their amorphous nature and radiation sensitivity further ... The samples were washed thoroughly in lukewarm soap solution to avoid non-uniformity in etching due to ...

  1. Use of CR-39 foils for personnel neutron dosimetry: improved electrochemical etching chambers and procedures

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1986-01-01

    The electrochemical etching procedures for the new dosimetry system that uses foils of CR-39 plastic has been improved. During 1985, the etching chambers were modified to correct several problems and the changes to the etching procedures were studied, which gave a more uniform track density and size. The currently recommended etch parameters are given. A new generation of CR-39 material from the manufacturer proved to have a considerably lower background track density and a higher sensitivity; the new foils are also more uniform in thickness, which eliminates the need to numerically compensate for thickness variations. The energy dependence of the CR-39 using monoenergetic neutrons from accelerators at Battelle Northwest Laboratories and at Los Alamos National Laboratory was determined. Some variation was found in the energy dependence, but it is believed this was caused by changes in the etching procedures and by uncertainties in the fluences of the neutrons from the accelerators. A means by which the counting of CR-39 tracks may be automated is suggested; this would be very useful in adapting the CR-39 dosimetry system to large-scale use

  2. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  3. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  4. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  5. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  6. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  7. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  8. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  9. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  10. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  11. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  12. Laser investigation of the non-uniformity of fluorescent species in dental enamel

    Science.gov (United States)

    Tran, Stephanie U.; Ridge, Jeremy S.; Nelson, Leonard Y.; Seibel, Eric J.

    In the present study, artificial type I and type II erosions were created on dental specimen using acetic acid and EDTA respectively. Specimens were prepared by etching extracted teeth samples in acid to varying degrees, after which the absolute fluorescence intensity ratio of the etched enamel relative to sound enamel was recorded for each specimen using 405 and 532 nm laser excitation. Results showed differences in the fluorescence ratio of etched to sound enamel for type I and II erosions. These findings suggest a non-uniform distribution of fluorescent species in the interprismatic region as compared to the prismatic region.

  13. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  14. Influence of external magnetic field on the etching of a steel ball in an aqueous solution of nitric acid

    International Nuclear Information System (INIS)

    Yu, Ilchenko M.; Yu, Gorobets O.; Bondar, I.A.; Gaponov, A.M.

    2010-01-01

    The effect of change of shape of a steel ball was revealed as a result of its etching in an aqueous solution of nitric acid under influence of an external magnetic field. The elongation of a ferromagnetic ball was observed along the direction of an external magnetic field while etching took place uniformly in all the directions without magnetic field application. The steel ball etching in a magnetic field is characterized by formation of three cylindrically symmetric regions with different etching rates and surface structures, divided from each other by clear borders (namely, the pole, equator and transition regions are formed). The non-monotone dependences of etching rate, surface structure of a sample and sample shape after etching on an external magnetic field are observed.

  15. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  16. A Non-Uniformly Under-Sampled Blade Tip-Timing Signal Reconstruction Method for Blade Vibration Monitoring

    Directory of Open Access Journals (Sweden)

    Zheng Hu

    2015-01-01

    Full Text Available High-speed blades are often prone to fatigue due to severe blade vibrations. In particular, synchronous vibrations can cause irreversible damages to the blade. Blade tip-timing methods (BTT have become a promising way to monitor blade vibrations. However, synchronous vibrations are unsuitably monitored by uniform BTT sampling. Therefore, non-equally mounted probes have been used, which will result in the non-uniformity of the sampling signal. Since under-sampling is an intrinsic drawback of BTT methods, how to analyze non-uniformly under-sampled BTT signals is a big challenge. In this paper, a novel reconstruction method for non-uniformly under-sampled BTT data is presented. The method is based on the periodically non-uniform sampling theorem. Firstly, a mathematical model of a non-uniform BTT sampling process is built. It can be treated as the sum of certain uniform sample streams. For each stream, an interpolating function is required to prevent aliasing in the reconstructed signal. Secondly, simultaneous equations of all interpolating functions in each sub-band are built and corresponding solutions are ultimately derived to remove unwanted replicas of the original signal caused by the sampling, which may overlay the original signal. In the end, numerical simulations and experiments are carried out to validate the feasibility of the proposed method. The results demonstrate the accuracy of the reconstructed signal depends on the sampling frequency, the blade vibration frequency, the blade vibration bandwidth, the probe static offset and the number of samples. In practice, both types of blade vibration signals can be particularly reconstructed by non-uniform BTT data acquired from only two probes.

  17. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  18. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  19. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  20. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  1. Fabrication of Capacitive Micromachined Ultrasonic Transducers Using a Boron Etch-Stop Method

    DEFF Research Database (Denmark)

    Diederichsen, Søren Elmin; Sandborg-Olsen, Filip; Engholm, Mathias

    2016-01-01

    wt% potassium hydroxide solution with isopropyl alcohol added to increase the etch selectivity to the highly doped boron layer. The resulting plate thickness uniformity is estimated from scanning electron micrographs to a mean value of 2.00μm±2.5%. The resonant frequency in air for a 1-D linear CMUT...

  2. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  3. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  4. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  5. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  6. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  7. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  8. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  9. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  10. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  11. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  12. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  13. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  14. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  15. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  16. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  17. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  18. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  19. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers

    Science.gov (United States)

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-01

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer ( 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm2/V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  20. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  1. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  2. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  3. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    Science.gov (United States)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  4. Effective refractive index modulation based optical fiber humidity sensor employing etched fiber Bragg grating

    Science.gov (United States)

    Mundendhar, Pathi; Khijwania, Sunil K.

    2015-09-01

    Relative humidity (RH) sensor employing etched fiber Bragg grating (FBG) is reported where RH variations are captured using effective-index-modulation, rather than traditional strain-modulation. Additionly, linear sensor response over wide dynamic range with optimum characteristics is focused. Comprehensive experimental investigation is carried out for the sensor that comprises uniformly etched cladding in the FBG region. Obtained results are observed to be in agreement with the theoretical analysis. Sensor response is observed to be linear over dynamic range 3-94%RH with ~ 0.082 pm/%RH sensitivity, ~0.6%RH resolution, ~ +/-2.5%RH accuracy, ~ +/-0.2 pm average discrepancy and ~ 0.2s response time during humidification/desiccation.

  5. In-situ TEM imaging of the anisotropic etching of graphene by metal nanoparticles.

    Science.gov (United States)

    Wei, Jiake; Xu, Zhi; Wang, Hao; Tian, Xuezeng; Yang, Shize; Wang, Lifen; Wang, Wenlong; Bai, Xuedong

    2014-11-21

    Few-layer graphene was successfully tailored with smooth edges along crystallographic directions by Joule heating-driven tungsten nanoparticles inside a transmission electron microscope. The dynamic process was monitored in real time at the atomic resolution level. These high-resolution in-situ observations show that the neighboring graphene layers joined together to form closed edges, which is in contrast to the supposed open edges formed with hydrogen passivation. The tungsten nanoparticles transformed to W₂C in the intermediate stage of etching and to WC after etching, suggesting that carbon dissolution helped the continuous action of the metal nanoparticles in the catalytic anisotropic etching reaction.

  6. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  7. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  8. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  9. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  10. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  11. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  12. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  13. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  14. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  15. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  16. Apodized grating coupler using fully-etched nanostructures

    International Nuclear Information System (INIS)

    Wu Hua; Li Chong; Guo Xia; Li Zhi-Yong

    2016-01-01

    A two-dimensional apodized grating coupler for interfacing between single-mode fiber and photonic circuit is demonstrated in order to bridge the mode gap between the grating coupler and optical fiber. The grating grooves of the grating couplers are realized by columns of fully etched nanostructures, which are utilized to digitally tailor the effective refractive index of each groove in order to obtain the Gaussian-like output diffractive mode and then enhance the coupling efficiency. Compared with that of the uniform grating coupler, the coupling efficiency of the apodized grating coupler is increased by 4.3% and 5.7%, respectively, for the nanoholes and nanorectangles as refractive index tunes layer. (paper)

  17. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  18. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  19. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  20. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  1. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  2. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  3. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  4. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  5. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  6. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  7. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  8. Miniature all-silica optical fiber pressure sensor with an ultrathin uniform diaphragm.

    Science.gov (United States)

    Wang, Wenhui; Wu, Nan; Tian, Ye; Niezrecki, Christopher; Wang, Xingwei

    2010-04-26

    This paper presents an all-silica miniature optical fiber pressure/acoustic sensor based on the Fabry-Perot (FP) interferometric principle. The endface of the etched optical fiber tip and silica thin diaphragm on it form the FP structure. The uniform and thin silica diaphragm was fabricated by etching away the silicon substrate from a commercial silicon wafer that has a thermal oxide layer. The thin film was directly thermally bonded to the endface of the optical fiber thus creating the Fabry-Perot cavity. Thin films with a thickness from 1microm to 3microm have been bonded successfully. The sensor shows good linearity and hysteresis during measurement. A sensor with 0.75 microm-thick diaphragm thinned by post silica etching was demonstrated to have a sensitivity of 11 nm/kPa. The new sensor has great potential to be used as a non-intrusive pressure sensor in a variety of sensing applications.

  9. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  10. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers.

    Science.gov (United States)

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-29

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer (~ 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm 2 /V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  11. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  12. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  13. A Twice Electrochemical-Etching Method to Fabricate Superhydrophobic-Superhydrophilic Patterns for Biomimetic Fog Harvest.

    Science.gov (United States)

    Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji

    2017-08-18

    Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.

  14. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  15. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  16. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  17. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  18. Direct fabrication of nano-gap electrodes by focused ion beam etching

    International Nuclear Information System (INIS)

    Nagase, Takashi; Gamo, Kenji; Kubota, Tohru; Mashiko, Shinro

    2006-01-01

    A simple approach to increase the reliability of nano-gap electrode fabrication techniques is presented. The method is based on maskless sputter etching of Au electrodes using a focused ion beam (FIB) and in-situ monitoring of the etching steps by measuring a current fed to the Au electrodes. The in-situ monitoring is crucial to form nano-gaps much narrower than a FIB spot size. By using this approach, gaps of ∼3-6 nm are fabricated with the high yield of ∼90%, and most of the fabricated nano-gap electrodes showed high resistances of 10 GΩ-1 TΩ. The controllability of the fabrication steps is significantly improved by using triple-layered films consisting of top Ti, Au, and bottom adhesion Ti layers. The applicability of the fabricated nano-gap electrodes to electron transport studies of nano-sized objects is demonstrated by electrical measurement of Au colloidal nano-particles

  19. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  20. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    Science.gov (United States)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  1. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  2. Facile Fabrication of Uniform Polyaniline Nanotubes with Tubular Aluminosilicates as Templates

    OpenAIRE

    Zhang, Long; Liu, Peng

    2008-01-01

    AbstractThe uniform polyaniline (PANI) nanotubes, with inner diameter, outer diameter, and tubular thickness of 40, 60, and 10 nm, respectively, were prepared successfully by using natural tubular aluminosilicates as templates. The halloysite nanotubes were coated with PANI via the in situ chemical oxidation polymerization. Then the templates were etched with HCl/HF solution. The PANI nanotubes were characterized using FTIR, X-ray diffraction, and transmission electron microscopy. The conduct...

  3. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  4. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  5. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  6. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  7. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  8. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  9. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  10. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  11. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  12. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  13. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  14. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  15. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  16. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  17. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  18. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  19. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  20. Atomic scale characterization of white etching area and its adjacent matrix in a martensitic 100Cr6 bearing steel

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.J., E-mail: y.li@mpie.de [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany); Center for Interface-Dominated High Performance Materials, Ruhr-Universität Bochum, Bochum 44780 (Germany); Herbig, M.; Goto, S. [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany); Raabe, D., E-mail: d.raabe@mpie.de [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany)

    2017-01-15

    Atom probe tomography was employed to characterize the microstructure and C distribution in the white etching area (WEA) of a martensitic 100Cr6 bearing steel subjected to rolling contact fatigue. Different from its surrounding matrix where a plate-like martensitic structure prevails, the WEA exhibits equiaxed grains with a uniform grain size of about 10 nm. Significant C grain boundary enrichment (>7.5at.%) and an overall higher C concentration than the nominal value are observed in the WEA. These results suggest that the formation of WEA results from severe local plastic deformation that causes dissolution of carbides and the redistribution of C. - Highlights: •APT has been applied to characterize the microstructure of white etching area (WEA). •Quantitative analyses of C distribution indicate that carbides are dissolved on the WEA. •WEA contains equiaxed grains with a uniform grain size of 10 nm. •C segregation at grain boundaries stabilizes the nanosized grain structure. •Formation of WEA is explained by severe local plastic deformation introduced by cyclic contact loading.

  1. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  2. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  4. Fabrication of 3D solenoid microcoils in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Meng, Xiangwei; Yang, Qing; Chen, Feng; Shan, Chao; Liu, Keyin; Li, Yanyang; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-02-01

    This paper reports a flexible fabrication method for 3D solenoid microcoils in silica glass. The method consists of femtosecond laser wet etching (FLWE) and microsolidics process. The 3D microchannel with high aspect ratio is fabricated by an improved FLWE method. In the microsolidics process, an alloy was chosen as the conductive metal. The microwires are achieved by injecting liquid alloy into the microchannel, and allowing the alloy to cool and solidify. The alloy microwires with high melting point can overcome the limitation of working temperature and improve the electrical property. The geometry, the height and diameter of microcoils were flexibly fabricated by the pre-designed laser writing path, the laser power and etching time. The 3D microcoils can provide uniform magnetic field and be widely integrated in many magnetic microsystems.

  5. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  6. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  7. Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS equipment

    Directory of Open Access Journals (Sweden)

    Ann-Kathrin Kleinschmidt

    2016-11-01

    Full Text Available Reflectance anisotropy spectroscopy (RAS equipment is applied to monitor dry-etch processes (here specifically reactive ion etching (RIE of monocrystalline multilayered III–V semiconductors in situ. The related accuracy of etch depth control is better than 16 nm. Comparison with results of secondary ion mass spectrometry (SIMS reveals a deviation of only about 4 nm in optimal cases. To illustrate the applicability of the reported method in every day settings for the first time the highly etch depth sensitive lithographic process to form a film lens on the waveguide ridge of a broad area laser (BAL is presented. This example elucidates the benefits of the method in semiconductor device fabrication and also suggests how to fulfill design requirements for the sample in order to make RAS control possible.

  8. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  9. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  10. Through-plane uniformity of optical anisotropy in spin-coated biphenyl dianhydride-p-phenylenediamine films

    International Nuclear Information System (INIS)

    Diao Jie; Hess, Dennis W.

    2005-01-01

    The uniformity of the average refractive index and birefringence of poly-(biphenyl dianhydride-p-phenylenediamine) (BPDA-PDA) films has been investigated experimentally as a function of film thickness. Spin-cast and cured BPDA-PDA films were thinned sequentially by reactive ion etching and the dependence of average refractive index and birefringence on the post-thinned film thickness was determined using a prism wave-guide coupler. Negligible changes in the average refractive index and the birefringence were observed as a result of the thinning process. These results confirm previous assumptions that assert uniform optical anisotropy in the through-plane direction for spin-cast BPDA-PDA films

  11. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  12. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  13. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  14. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  15. Blocked Enzymatic Etching of Gold Nanorods: Application to Colorimetric Detection of Acetylcholinesterase Activity and Its Inhibitors.

    Science.gov (United States)

    Saa, Laura; Grinyte, Ruta; Sánchez-Iglesias, Ana; Liz-Marzán, Luis M; Pavlov, Valeri

    2016-05-04

    The anisotropic morphology of gold nanorods (AuNRs) has been shown to lead to nonuniform ligand distribution and preferential etching through their tips. We have recently demonstrated that this effect can be achieved by biocatalytic oxidation with hydrogen peroxide, catalyzed by the enzyme horseradish peroxidase (HRP). We report here that modification of AuNRs with thiol-containing organic molecules such as glutathione and thiocholine hinders enzymatic AuNR etching. Higher concentrations of thiol-containing molecules in the reaction mixture gradually decrease the rate of enzymatic etching, which can be monitored by UV-vis spectroscopy through changes in the AuNR longitudinal plasmon band. This effect can be applied to develop novel optical assays for acetylcholinesterase (AChE) activity. The biocatalytic hydrolysis of acetylthiocholine by AChE yields thiocholine, which prevents enzymatic AuNR etching in the presence of HRP. Additionally, the same bioassay can be used for the detection of nanomolar concentrations of AChE inhibitors such as paraoxon and galanthamine.

  16. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu

    2013-05-20

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half-pitch without alignment issues. Depending on the different dry-etch mechanisms in transferring high and low density nanopatterns, suitable dry-etch angles and methods are studied for the transfer of high density nanopatterns. Some novel process methods have also been developed to eliminate the sidewall and other conversion obstacles for obtaining high density of uniform metallic nanopatterns. With these methods, ultrahigh density trilayer crossbar devices (∼2 × 1010 bit cm-2-kilobit electronic memory), which are composed of built-in practical magnetoresistive nanocells, have been achieved. This scalable process that we have developed provides the relevant industries with a cheap means to commercially fabricate three-dimensional high density metal-cell-metal nanodevices. © 2013 IOP Publishing Ltd.

  17. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  18. Facile Fabrication of Uniform Polyaniline Nanotubes with Tubular Aluminosilicates as Templates

    Science.gov (United States)

    Zhang, Long; Liu, Peng

    2008-08-01

    The uniform polyaniline (PANI) nanotubes, with inner diameter, outer diameter, and tubular thickness of 40, 60, and 10 nm, respectively, were prepared successfully by using natural tubular aluminosilicates as templates. The halloysite nanotubes were coated with PANI via the in situ chemical oxidation polymerization. Then the templates were etched with HCl/HF solution. The PANI nanotubes were characterized using FTIR, X-ray diffraction, and transmission electron microscopy. The conductivity of the PANI nanotubes was found to be 1.752 × 10-5 (Ω·cm)-1.

  19. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  20. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  1. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  2. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  3. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    Science.gov (United States)

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  5. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  6. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  7. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  8. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  9. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  10. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  11. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  12. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  13. Facile Fabrication of Uniform Polyaniline Nanotubes with Tubular Aluminosilicates as Templates

    Directory of Open Access Journals (Sweden)

    Zhang Long

    2008-01-01

    Full Text Available AbstractThe uniform polyaniline (PANI nanotubes, with inner diameter, outer diameter, and tubular thickness of 40, 60, and 10 nm, respectively, were prepared successfully by using natural tubular aluminosilicates as templates. The halloysite nanotubes were coated with PANI via the in situ chemical oxidation polymerization. Then the templates were etched with HCl/HF solution. The PANI nanotubes were characterized using FTIR, X-ray diffraction, and transmission electron microscopy. The conductivity of the PANI nanotubes was found to be 1.752 × 10−5(Ω·cm−1.

  14. An evanescent wave biosensor--Part I: Fluorescent signal acquisition from step-etched fiber optic probes.

    Science.gov (United States)

    Anderson, G P; Golden, J P; Ligler, F S

    1994-06-01

    A fiber-optic biosensor capable of remote continuous monitoring has recently been designed. To permit sensing at locations separate from the optoelectronic instrumentation, long optical fibers are utilized. An evanescent wave immuno-probe is prepared by removing the cladding near the distal end of the fiber and covalently attaching antibodies to the core. Probes with a radius unaltered from that of the original core inefficiently returned the signal produced upon binding the fluorescent-labelled antigen. To elucidate the limiting factors in signal acquisition, a series of fibers with increasingly reduced probe core radius was examined. The results were consistent with the V-number mismatch, the difference in mode carrying capacity between the clad and unclad fiber, being a critical factor in limiting signal coupling from the fiber probe. However, it was also delineated that conditions which conserve excitation power, such that power in the evanescent wave is optimized, must also be met to obtain a maximal signal. The threshold sensitivity for the optimal step-etched fiber probe was improved by over 20-fold in an immunoassay, although, it was demonstrated that signal acquisition decreased along the probe length, suggesting that a sensor region of uniform radius is not ideal.

  15. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  16. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  17. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  20. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  1. A novel deep reactive ion etched (DRIE) glass micro-model for two-phase flow experiments.

    Science.gov (United States)

    Karadimitriou, N K; Joekar-Niasar, V; Hassanizadeh, S M; Kleingeld, P J; Pyrak-Nolte, L J

    2012-09-21

    In the last few decades, micro-models have become popular experimental tools for two-phase flow studies. In this work, the design and fabrication of an innovative, elongated, glass-etched micro-model with dimensions of 5 × 35 mm(2) and constant depth of 43 microns is described. This is the first time that a micro-model with such depth and dimensions has been etched in glass by using a dry etching technique. The micro-model was visualized by a novel setup that allowed us to monitor and record the distribution of fluids throughout the length of the micro-model continuously. Quasi-static drainage experiments were conducted in order to obtain equilibrium data points that relate capillary pressure to phase saturation. By measuring the flow rate of water through the flow network for known pressure gradients, the intrinsic permeability of the micro-model's flow network was also calculated. The experimental results were used to calibrate a pore-network model and test its validity. Finally, we show that glass-etched micro-models can be valuable tools in single and/or multi-phase flow studies and their applications.

  2. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  3. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  4. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  5. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  6. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  7. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  8. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  9. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  10. The segmented non-uniform dielectric module design for uniformity control of plasma profile in a capacitively coupled plasma chamber

    International Nuclear Information System (INIS)

    Xia, Huanxiong; Xiang, Dong; Yang, Wang; Mou, Peng

    2014-01-01

    Low-temperature plasma technique is one of the critical techniques in IC manufacturing process, such as etching and thin-film deposition, and the uniformity greatly impacts the process quality, so the design for the plasma uniformity control is very important but difficult. It is hard to finely and flexibly regulate the spatial distribution of the plasma in the chamber via controlling the discharge parameters or modifying the structure in zero-dimensional space, and it just can adjust the overall level of the process factors. In the view of this problem, a segmented non-uniform dielectric module design solution is proposed for the regulation of the plasma profile in a CCP chamber. The solution achieves refined and flexible regulation of the plasma profile in the radial direction via configuring the relative permittivity and the width of each segment. In order to solve this design problem, a novel simulation-based auto-design approach is proposed, which can automatically design the positional sequence with multi independent variables to make the output target profile in the parameterized simulation model approximate the one that users preset. This approach employs an idea of quasi-closed-loop control system, and works in an iterative mode. It starts from initial values of the design variable sequences, and predicts better sequences via the feedback of the profile error between the output target profile and the expected one. It never stops until the profile error is narrowed in the preset tolerance

  11. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  12. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  13. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  14. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  15. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Customer Driven Uniform Manufacture (CDUM) Program. Customer Driven Uniform Management Apparel Research

    Science.gov (United States)

    2008-11-13

    ABSTRACT (Maximum 200 Words) The DLA and DSCP sponsored Customer Driven Uniform Manufacturing (CDUM) program’s primary goals are to reduce total...functions that make decisions or consume apparel items. PDIT’s CDUM assignments were to create the web accessible database, create decision support tools...Manufacturing Monitoring Processes ....................................................40  Figure 32 – Assign Contract to Buyer

  17. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  18. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  19. System for monitoring the position, intensity, uniformity, and directivity of a beam of ionizing radiation

    International Nuclear Information System (INIS)

    Boux, R.

    1976-01-01

    A monitoring device transparent to ionizing radiation, designed to measure the orientation, intensity and uniformity of an incident beam, comprises a cylindrical housing forming at least one ionization chamber with one or more ion-collecting electrodes transverse to the housing axis, each electrode being subdivided into a plurality of mutually insulated conductive elements connected to respective amplifiers. The elements of at least one electrode include one or more outer elements surrounding or bracketing one or more inner elements to measure the radiation in a central zone and a peripheral zone. The outputs of the respective amplifiers are additively and subtractively combined in an evaluation circuit

  20. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  1. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  2. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  3. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  4. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  5. Uniform, dense arrays of vertically aligned, large-diameter single-walled carbon nanotubes.

    Science.gov (United States)

    Han, Zhao Jun; Ostrikov, Kostya

    2012-04-04

    Precisely controlled reactive chemical vapor synthesis of highly uniform, dense arrays of vertically aligned single-walled carbon nanotubes (SWCNTs) using tailored trilayered Fe/Al(2)O(3)/SiO(2) catalyst is demonstrated. More than 90% population of thick nanotubes (>3 nm in diameter) can be produced by tailoring the thickness and microstructure of the secondary catalyst supporting SiO(2) layer, which is commonly overlooked. The proposed model based on the atomic force microanalysis suggests that this tailoring leads to uniform and dense arrays of relatively large Fe catalyst nanoparticles on which the thick SWCNTs nucleate, while small nanotubes and amorphous carbon are effectively etched away. Our results resolve a persistent issue of selective (while avoiding multiwalled nanotubes and other carbon nanostructures) synthesis of thick vertically aligned SWCNTs whose easily switchable thickness-dependent electronic properties enable advanced applications in nanoelectronic, energy, drug delivery, and membrane technologies.

  6. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  7. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  8. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  10. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  11. Cantilever arrays with self-aligned nanotips of uniform height

    International Nuclear Information System (INIS)

    Koelmans, W W; Peters, T; Berenschot, E; De Boer, M J; Siekman, M H; Abelmann, L

    2012-01-01

    Cantilever arrays are employed to increase the throughput of imaging and manipulation at the nanoscale. We present a fabrication process to construct cantilever arrays with nanotips that show a uniform tip–sample distance. Such uniformity is crucial, because in many applications the cantilevers do not feature individual tip–sample spacing control. Uniform cantilever arrays lead to very similar tip–sample interaction within an array, enable non-contact modes for arrays and give better control over the load force in contact modes. The developed process flow uses a single mask to define both tips and cantilevers. An additional mask is required for the back side etch. The tips are self-aligned in the convex corner at the free end of each cantilever. Although we use standard optical contact lithography, we show that the convex corner can be sharpened to a nanometre scale radius by an isotropic underetch step. The process is robust and wafer-scale. The resonance frequencies of the cantilevers within an array are shown to be highly uniform with a relative standard error of 0.26% or lower. The tip–sample distance within an array of up to ten cantilevers is measured to have a standard error around 10 nm. An imaging demonstration using the AFM shows that all cantilevers in the array have a sharp tip with a radius below 10 nm. The process flow for the cantilever arrays finds application in probe-based nanolithography, probe-based data storage, nanomanufacturing and parallel scanning probe microscopy. (paper)

  12. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  13. Electron energy distribution control by fiat: breaking from the conventional flux ratio scaling rules in etch

    Science.gov (United States)

    Ranjan, Alok; Wang, Mingmei; Sherpa, Sonam; Ventzek, Peter

    2015-03-01

    With shrinking critical dimensions, minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer is met by trading off one requirement against another. The problem of trade-offs is especially critical. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Managing process by managing flux ratios and ion energy alone with conventional sources is not adequate because surface chemistry is uncontrollable. At the root of lack of control is that the electron energy distribution function (eedf) has not been controlled. Fortunately the high density surface wave sources control the eedf by fiat. High density surface wave sources are characterized by distinct plasma regions: an active plasma generation region with high electron temperature (Te) and an ionization free but chemistry rich diffusive region (low Te region). Pressure aids is segregating the regions by proving a means for momentum relaxation between the source and downstream region. "Spatial pulsing" allows access to plasma chemistry with reasonably high ion flux, from the active plasma generation region, just above the wafer. Low plasma potential enables precise passivation of surfaces which is critical for atomic layer etch (ALE) or high precision etch where the roles of plasma species can be limited to their purposed roles. High precision etch need not be at the cost of speed and manufacturability. Large ion flux at precisely controlled ion energy with RLSATM realizes fast desorption steps for ALE without compromising process throughput and precision.

  14. Probabilistic uniformities of uniform spaces

    Energy Technology Data Exchange (ETDEWEB)

    Rodriguez Lopez, J.; Romaguera, S.; Sanchis, M.

    2017-07-01

    The theory of metric spaces in the fuzzy context has shown to be an interesting area of study not only from a theoretical point of view but also for its applications. Nevertheless, it is usual to consider these spaces as classical topological or uniform spaces and there are not too many results about constructing fuzzy topological structures starting from a fuzzy metric. Maybe, H/{sup o}hle was the first to show how to construct a probabilistic uniformity and a Lowen uniformity from a probabilistic pseudometric /cite{Hohle78,Hohle82a}. His method can be directly translated to the context of fuzzy metrics and allows to characterize the categories of probabilistic uniform spaces or Lowen uniform spaces by means of certain families of fuzzy pseudometrics /cite{RL}. On the other hand, other different fuzzy uniformities can be constructed in a fuzzy metric space: a Hutton $[0,1]$-quasi-uniformity /cite{GGPV06}; a fuzzifiying uniformity /cite{YueShi10}, etc. The paper /cite{GGRLRo} gives a study of several methods of endowing a fuzzy pseudometric space with a probabilistic uniformity and a Hutton $[0,1]$-quasi-uniformity. In 2010, J. Guti/'errez Garc/'{/i}a, S. Romaguera and M. Sanchis /cite{GGRoSanchis10} proved that the category of uniform spaces is isomorphic to a category formed by sets endowed with a fuzzy uniform structure, i. e. a family of fuzzy pseudometrics satisfying certain conditions. We will show here that, by means of this isomorphism, we can obtain several methods to endow a uniform space with a probabilistic uniformity. Furthermore, these constructions allow to obtain a factorization of some functors introduced in /cite{GGRoSanchis10}. (Author)

  15. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  16. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  17. Nonpolar III-nitride vertical-cavity surface-emitting laser with a photoelectrochemically etched air-gap aperture

    Energy Technology Data Exchange (ETDEWEB)

    Leonard, J. T., E-mail: jtleona01@gmail.com; Yonkee, B. P.; Cohen, D. A.; Megalini, L.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Lee, S. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2016-01-18

    We demonstrate a III-nitride nonpolar vertical-cavity surface-emitting laser (VCSEL) with a photoelectrochemically (PEC) etched aperture. The PEC lateral undercut etch is used to selectively remove the multi-quantum well (MQW) region outside the aperture area, defined by an opaque metal mask. This PEC aperture (PECA) creates an air-gap in the passive area of the device, allowing one to achieve efficient electrical confinement within the aperture, while simultaneously achieving a large index contrast between core of the device (the MQW within the aperture) and the lateral cladding of the device (the air-gap formed by the PEC etch), leading to strong lateral confinement. Scanning electron microscopy and focused ion-beam analysis is used to investigate the precision of the PEC etch technique in defining the aperture. The fabricated single mode PECA VCSEL shows a threshold current density of ∼22 kA/cm{sup 2} (25 mA), with a peak output power of ∼180 μW, at an emission wavelength of 417 nm. The near-field emission profile shows a clearly defined single linearly polarized (LP) mode profile (LP{sub 12,1}), which is in contrast to the filamentary lasing that is often observed in III-nitride VCSELs. 2D mode profile simulations, carried out using COMSOL, give insight into the different mode profiles that one would expect to be displayed in such a device. The experimentally observed single mode operation is proposed to be predominantly a result of poor current spreading in the device. This non-uniform current spreading results in a higher injected current at the periphery of the aperture, which favors LP modes with high intensities near the edge of the aperture.

  18. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  19. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  20. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  1. Apodized grating coupler using fully-etched nanostructures

    Science.gov (United States)

    Wu, Hua; Li, Chong; Li, Zhi-Yong; Guo, Xia

    2016-08-01

    A two-dimensional apodized grating coupler for interfacing between single-mode fiber and photonic circuit is demonstrated in order to bridge the mode gap between the grating coupler and optical fiber. The grating grooves of the grating couplers are realized by columns of fully etched nanostructures, which are utilized to digitally tailor the effective refractive index of each groove in order to obtain the Gaussian-like output diffractive mode and then enhance the coupling efficiency. Compared with that of the uniform grating coupler, the coupling efficiency of the apodized grating coupler is increased by 4.3% and 5.7%, respectively, for the nanoholes and nanorectangles as refractive index tunes layer. Project supported by the National Natural Science Foundation of China (Grant Nos. 61222501, 61335004, and 61505003), the Specialized Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20111103110019), the Postdoctoral Science Foundation of Beijing Funded Project, China (Grant No. Q6002012201502), and the Science and Technology Research Project of Jiangxi Provincial Education Department, China (Grant No. GJJ150998).

  2. Vacuum pump age effects by the exposure to the corrosive gases on the Cr etch rate as observed using optical emission spectroscopy in an Ar/O{sub 2}/Cl{sub 2} mixed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seolhye; Roh, Hyun-Joon; Jang, Yunchang; Jeong, Sangmin; Ryu, Sangwon; Choe, Jae-Myung; Kim, Gon-Ho, E-mail: ghkim@snu.ac.kr

    2016-03-31

    Vacuum pumps of different ages were used to prepare Cl{sub 2} based plasmas for use in Cr etching. The effects of the vacuum pump age on the etching results were investigated using optical emission spectroscopy analysis. The composition of gas at the base pressure was mainly nitrogen and oxygen, although the ratio depended on the vacuum pump age and therefore, modulated the etch rate in a manner that was difficult to monitor. The effects of the pump age on the etch rate were clearly observed in the Cl{sub 2} plasma-assisted chromium film etching process, in which oxygen and chlorine radicals were responsible for the etching process. The electron energy distribution function (EEDF), which provided a proxy for the thermal equilibrium properties of the etching plasmas, was monitored. The shape of EEDF was derived from an analysis of the optical emission spectral data using an analysis model described previously. Because molecular nitrogen has a higher threshold energy and a larger cross-section of inelastic collisional processes than oxygen, the tail of the EEDF depends on the mixing ratio between nitrogen and oxygen. The various mechanisms that contribute to the chromium etch rate varied with subtle differences in the vacuum conditions, which were determined by age of the turbo molecular pump. The rates at which oxygen and chlorine radicals were generated were estimated using the measured EEDF, and the estimated oxygen radical and etching product contents were verified by comparing the residual gas analyzer data. The results revealed that the residual nitrogen partial pressures in two etchers equipped with either a new or an aged pump differed by 0.18%, and the EEDF tail areas differed by 10{sup −4}. Importantly, the chromium etch rates in these two instruments differed by 30%. These results suggest that the chamber-to-chamber mismatch should be monitored during plasma-assisted device fabrication processes. - Highlights: • We observed the vacuum pump age effect

  3. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  4. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  5. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  6. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  7. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  8. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  9. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  10. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  11. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  12. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  13. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  14. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  15. ZnO:Al thin films deposited by RF-magnetron sputtering with tunable and uniform properties.

    Science.gov (United States)

    Miorin, E; Montagner, F; Battiston, S; Fiameni, S; Fabrizio, M

    2011-03-01

    Nanostructured, high quality and large area Al-doped ZnO (ZnO:Al) thin films were obtained by radiofrequency (RF) magnetron sputtering. The sample rotation during deposition has resulted in excellent spatial distribution of thickness and electro-optical properties compared to that obtained under static conditions. ZnO:Al thin films are employed in a large number of devices, including thin film solar cells, where the uniformity of the properties is a key factor for a possible up-scaling of the research results to industrially relevant substrate sizes. A chemical post etching treatment was employed achieving tunable surface nanotextures to generate light scattering at the desired wavelength for improved cell efficiency. Since the film resistivity is only slightly increased by the etching, this post-deposition step allows separating the optimization of electro-optical properties from light scattering behavior. The thin films were characterized by FE-SEM, XRD, UV-VIS spectroscopy, four probe and van der Paw techniques.

  16. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  17. Monitoring of degradation of porous silicon photonic crystals using digital photography

    Science.gov (United States)

    2014-01-01

    We report the monitoring of porous silicon (pSi) degradation in aqueous solutions using a consumer-grade digital camera. To facilitate optical monitoring, the pSi samples were prepared as one-dimensional photonic crystals (rugate filters) by electrochemical etching of highly doped p-type Si wafers using a periodic etch waveform. Two pSi formulations, representing chemistries relevant for self-reporting drug delivery applications, were tested: freshly etched pSi (fpSi) and fpSi coated with the biodegradable polymer chitosan (pSi-ch). Accelerated degradation of the samples in an ethanol-containing pH 10 aqueous basic buffer was monitored in situ by digital imaging with a consumer-grade digital camera with simultaneous optical reflectance spectrophotometric point measurements. As the nanostructured porous silicon matrix dissolved, a hypsochromic shift in the wavelength of the rugate reflectance peak resulted in visible color changes from red to green. While the H coordinate in the hue, saturation, and value (HSV) color space calculated using the as-acquired photographs was a good monitor of degradation at short times (t  pSi-ch. PMID:25242902

  18. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  19. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  20. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  1. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  2. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  3. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  4. Long-term measurements of equilibrium factor with electrochemically etched CR-39 SSNTD

    International Nuclear Information System (INIS)

    Ng, F.M.F.; Nikezic, D.; Yu, K.N.

    2007-01-01

    Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222 Rn, 218 Po and 214 Po were the same, which made possible measurements of a proxy equilibrium factor F p that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 o C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50 deg., the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply

  5. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  6. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  7. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  8. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  9. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  10. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  11. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  12. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  13. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  14. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  15. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  16. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  17. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  18. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  20. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  1. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  2. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  3. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  4. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  5. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  6. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  7. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  8. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  9. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  10. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  11. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  12. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  13. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  14. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  15. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  16. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  17. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  18. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  19. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  20. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  1. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  2. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  3. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  4. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  5. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  6. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  7. Comparison of neutron dose measured by Albedo TLD and etched tracks detector at PNC plutonium fuel facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Momose, T.; Shinohara, K.; Ishiguro, H.

    1996-01-01

    Power Reactor and Nuclear Fuel Development Corporation (PNC) has fabricated Plutonium and Uranium Mixed OXide (MOX) fuel for FBR MONJU at Tokai works. In this site, PNC/Panasonic albedo TLDs/1/ are used for personnel neutron monitoring. And a part of workers wore Etched Tracks Detector (ETD) combined with TLD in order to check the accuracy of the neutron dose estimated by albedo TLD. In this paper, the neutron dose measured by TLD and ETD in the routine monitoring is compared at PNC plutonium fuel facilities. (author)

  8. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  9. Gamma-ray monitor for plutonium uniformity in fuel tubes

    International Nuclear Information System (INIS)

    Winn, W.G.

    1981-01-01

    Plutonium fuel tubes must be examined for abnormal PuO 2 densities. A fuel-gamma densitometer (FGD) was developed to measure the PuO 2 content within 3.2-mm-diameter areas. The FGD measurement of hot spot densities is an extension of the usual gamma-scanner application of verifying fuel uniformity within a few percent

  10. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  11. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  12. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    Science.gov (United States)

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  13. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  14. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  15. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  16. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  17. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  18. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  19. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  20. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  1. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  2. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  3. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  4. Imaging the interphase of carbon fiber composites using transmission electron microscopy: Preparations by focused ion beam, ion beam etching, and ultramicrotomy

    Directory of Open Access Journals (Sweden)

    Wu Qing

    2015-10-01

    Full Text Available Three sample preparation techniques, focused ion beam (FIB, ion beam (IB etching, and ultramicrotomy (UM were used in comparison to analyze the interphase of carbon fiber/epoxy composites using transmission electron microscopy. An intact interphase with a relatively uniform thickness was obtained by FIB, and detailed chemical analysis of the interphase was investigated by electron energy loss spectroscopy. It shows that the interphase region is 200 nm wide with an increasing oxygen-to-carbon ratio from 10% to 19% and an almost constant nitrogen-to-carbon ratio of about 3%. However, gallium implantation of FIB tends to hinder fine structure analysis of the interphase. For IB etching, the interphase region is observed with transition morphology from amorphous resin to nano-crystalline carbon fiber, but the uneven sample thickness brings difficulty for quantitative chemical analysis. Moreover, UM tends to cause damage and/or deformation on the interphase. These results are meaningful for in-depth understanding on the interphase characteristic of carbon fiber composites.

  5. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  6. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  7. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  8. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  9. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  10. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  11. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  12. Bio-inspired nanobowl/nanoball structures fabricated via solvent etching/swelling on nanosphere assembly patterns

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wan-Yi; Liu, Pang-Hsin; Wu, You [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Chung, Yi-Chang, E-mail: ycchung@nuk.edu.tw [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    Ordered self-assembled nanopatterns have attracted much attention for their ability to mimic moth-eye structures and display unique optical properties. In the study, emulsifier-free emulsion polymerization was performed to prepare polystyrene nanospheres with uniform size distribution. Various hydrophilic monomers were added to copolymerize with styrene, including 2-hydroxyethyl methacrylate, acrylic acid, and methyl acrylic acid, respectively, to enhance the self-assembling ability of nanospheres. The nanosphere suspension was injected into an air–water interface to self-assemble a nanosphere array, and then the resulting photonic crystal film was deposited on a substrate using a scooping transfer technique. The layer-by-layer scooping transfer technique can be applied to produce 2D and 3D assembled nanosphere layers on an area as large as a 4-inch wafer. The pattern of the 2D nanosphere array was attached to a UV-curable precursor surface and then encapsulated and transferred to the crosslinked resin after UV irradiation. The sample was then immersed into some solvents which could partially swell the resin surface to produce nanoball structures or etch the surface to generate nanobowl structures. The size of the as-prepared polystyrene spheres was about 360 nm, while the feature size of the nanoballs was about 230 nm after undergoing acetonitrile swelling. The facile and inexpensive technique can be applied to produce ordered nanoball patterns for various applications, such as optical coatings, superhydrophobic coatings, biophotosensors, antireflection films, dry adhesives, and so on. - Highlights: • We prepared core-shell PS nanosphere suspensions with narrow-size-distribution. • We employed a scooping technique to fabricate large-area nanosphere monolayers. • Swelling by acetonitrile formed nanoballs on a UV resin/nanosphere laminated layer. • Etching by toluene produced nanobowl on the UV resin/nanosphere laminated layer. • The parted nanoball

  13. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  14. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  15. Chemical etching of stainless steel 301 for improving performance of electrochemical capacitors in aqueous electrolyte

    Science.gov (United States)

    Jeżowski, P.; Nowicki, M.; Grzeszkowiak, M.; Czajka, R.; Béguin, F.

    2015-04-01

    The main purpose of the study was to increase the surface roughness of stainless steel 301 current collectors by etching, in order to improve the electrochemical performance of electrical double-layer capacitors (EDLC) in 1 mol L-1 lithium sulphate electrolyte. Etching was realized in 1:3:30 (HNO3:HCl:H2O) solution with times varying up to 10 min. For the considered 15 μm thick foil and a mass loss around 0.4 wt.%, pitting was uniform, with diameter of pits ranging from 100 to 300 nm. Atomic force microscopy (AFM) showed an increase of average surface roughness (Ra) from 5 nm for the as-received stainless steel foil to 24 nm for the pitted material. Electrochemical impedance spectroscopy realized on EDLCs with coated electrodes either on as-received or pitted foil in 1 mol L-1 Li2SO4 gave equivalent distributed resistance (EDR) of 8 Ω and 2 Ω, respectively, demonstrating a substantial improvement of collector/electrode interface after pitting. Correlatively, the EDLCs with pitted collector displayed a better charge propagation and low ohmic losses even at relatively high current of 20 A g-1. Hence, chemical pitting of stainless steel current collectors is an appropriate method for optimising the performance of EDLCs in neutral aqueous electrolyte.

  16. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  17. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  18. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  19. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  20. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  1. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  2. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  3. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  4. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  5. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  6. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  7. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  8. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  9. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  10. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  11. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  12. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  13. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  14. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  15. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  16. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  17. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  18. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  19. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  20. Compact Elliptically Tapered Slot Antenna with Non-uniform Corrugations for Ultra-wideband Applications

    Directory of Open Access Journals (Sweden)

    F. G. Zhu

    2013-04-01

    Full Text Available A small size elliptically tapered slot antenna (ETSA fed by coplanar waveguide (CPW for ultra-wideband (UWB applications is proposed. It is printed on an FR4 substrate and occupies a size of 37×34×0.8 mm^3. A pair of quarter circular shapes is etched on the radiator to reduce the size. To overcome the limitation of uniform corrugation, non-uniform corrugation is utilized to reduce the cross-polarization level. A parametric study is carried out to investigate the effects of circular cut and corrugations. In order to validate the design, a prototype is fabricated and measured. Both simulated and measured results confirm that the proposed antenna achieves a good performance of a reflection coefficient below -10 dB from 3.1 GHz to 10.6 GHz, including a maximum antenna gain of 8.1dBi, directional patterns in the end-fire direction, low cross-polarization level below -20 dB and linear phase response. The antenna is promising for applications in UWB impulse radar imaging.

  1. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  2. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  3. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  4. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  5. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  6. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  7. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  8. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  9. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  10. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  11. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  12. Radiation contamination monitors

    International Nuclear Information System (INIS)

    Kato, Tsutomu; Iba, Hiroshi; Sato, Hiroshi

    1999-01-01

    To make sure of no contamination on people, used articles and working uniforms coming out of the radiation controlled area, nuclear power plants are equipped with radioactive contamination monitors. This paper outlines the basic specifications and advantages of our personnel surface contamination monitors to inspect whole-body surface contamination of people coming out, article surface contamination monitors to inspect the surface and inside contamination of used articles brought out, laundry monitors to inspect surface contamination of working uniforms used in the area before and after a wash, and whole-body counters to inspect and measure the internal contamination of a person out of the area. (author)

  13. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  14. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  15. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  17. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  18. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  19. A Highly Sensitive Two-Dimensional Inclinometer Based on Two Etched Chirped-Fiber-Grating Arrays †

    Science.gov (United States)

    Chang, Hung-Ying; Chang, Yu-Chung; Liu, Wen-Fung

    2017-01-01

    We present a novel two-dimensional fiber-optic inclinometer with high sensitivity by crisscrossing two etched chirped fiber Bragg gratings (CFBG) arrays. Each array is composed of two symmetrically-arranged CFBGs. By etching away most of the claddings of the CFBGs to expose the evanescent wave, the reflection spectra are highly sensitive to the surrounding index change. When we immerse only part of the CFBG in liquid, the effective index difference induces a superposition peak in the refection spectrum. By interrogating the peak wavelengths of the CFBGs, we can deduce the tilt angle and direction simultaneously. The inclinometer has a resolution of 0.003° in tilt angle measurement and 0.00187 rad in tilt direction measurement. Due to the unique sensing mechanism, the sensor is temperature insensitive. This sensor can be useful in long term continuous monitoring of inclination or in real-time feedback control of tilt angles, especially in harsh environments with violent temperature variation. PMID:29244770

  20. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  1. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  2. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  3. Application of process analytical technology in tablet process development using NIR spectroscopy : Blend uniformity, content uniformity and coating thickness measurements

    NARCIS (Netherlands)

    Moes, Johannes J; Ruijken, Marco M; Gout, Erik; Frijlink, Henderik W; Ugwoke, Michael I

    2008-01-01

    Near-infrared (NIR)spectroscopy was employed as a process analytical technique in three steps of tabletting process: to monitor the blend homogeneity, evaluate the content uniformity of tablets and determine the tablets coating thickness. A diode-array spectrometer mounted on a lab blender (SP15 NIR

  4. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  5. Microdroplet-etched highly birefringent low-loss fiber tapers.

    Science.gov (United States)

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  6. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  7. Uranium trace and alpha activity characterization of coal and fly ash using particle track etch technique

    International Nuclear Information System (INIS)

    Chakravarti, S.K.

    1991-01-01

    Uranium is extensively found in carbonaceous components of sedimentary rocks and is considered to be accumulated in coals during the coalification process through the geological times. Burning of coal is mainly responsible for a manifold increase in the concentration of radioactive nuclides in atmosphere precipitates. Fly ash being an incombustible residue and formed from 90% of the inorganic material in coal, escapes into the atmosphere and constitutes a potential hazard. Also its use as one of the pozzolanic materials in the products of concrete, bricks etc and filling of ground cavities is even more hazardous because of the wall radioactivity, besides emission and diffusion of radon. This paper reports a simple method called Particle Track Etch (PTE) technique, for trace determination of uranium content in coal and fly ash samples by making use of low cost and versatile plastic detectors known as Solid State Nuclear Track Detectors (SSNTDs). Total alpha activity has also been estimated using these SSNTDs. The values of uranium concentration in coal samples are found to range from 1.1 to 3.6 ppm (uniform component) and 33 to 46 ppm (non-uniform part) whereas in fly ash, it varies from 8 to 11 ppm (uniform) and 55 to 71 ppm in non-uniform range. It is also observed that the alpha activity is a function of uranium concentration for most of the natural samples of coal studied except for mixtures of fly ash samples where relationship is found to be on higher side. (author). 13 refs., 2 tabs., 1 fig

  8. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  9. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  10. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  11. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  12. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  13. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  14. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  15. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  16. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  17. DURIP 99 - Instrumentation for Deposition and Etching of Ferromagnetic Nanoparticles

    National Research Council Canada - National Science Library

    Kummel, Andrew

    2000-01-01

    .... Since silver is much more difficult to etch than iron due to the lack of volatile silver halides, this spontaneous coating of Fe by Ag explains the difficulty in etching Fe particles deposited on Ag substrates. (b...

  18. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    The effect of deposition and thermal annealing temperatures on the dry etch rate of a-C:H films was investigated to increase our fundamental understanding of the relationship between thermal annealing and dry etch rate and to obtain a low dry etch rate hard mask. The hydrocarbon contents and hydrogen concentration were decreased with increasing deposition and annealing temperatures. The I(D)/I(G) intensity ratio and extinction coefficient of the a-C:H films were increased with increasing deposition and annealing temperatures because of the increase of sp 2 bonds in the a-C:H films. There was no relationship between the density of the unpaired electrons and the deposition temperature, or between the density of the unpaired electrons and the annealing temperature. However, the thermally annealed a-C:H films had fewer unpaired electrons compared with the as-deposited ones. Transmission electron microscopy analysis showed the absence of any crystallographic change after thermal annealing. The density of the as-deposited films was increased with increasing deposition temperature. The density of the 600 °C annealed a-C:H films deposited under 450 °C was decreased but at 550 °C was increased, and the density of all 800 °C annealed films was increased. The dry etch rate of the as-deposited a-C:H films was negatively correlated with the deposition temperature. The dry etch rate of the 600 °C annealed a-C:H films deposited at 350 °C and 450 °C was faster than that of the as-deposited film and that of the 800 °C annealed a-C:H films deposited at 350 °C and 450 °C was 17% faster than that of the as-deposited film. However, the dry etch rate of the 550 °C deposited a-C:H film was decreased after annealing at 600 °C and 800 °C. The dry etch rate of the as-deposited films was decreased with increasing density but that of the annealed a-C:H films was not. These results indicated that the dry etch rate of a-C:H films for dry etch hard masks can be further decreased by

  19. Regrowth of InP by MOVPE on dry-etched heterostructures of InP-GaInAsP

    Energy Technology Data Exchange (ETDEWEB)

    Catana, A. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Broom, R.F. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Germann, R. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Roentgen, P. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland))

    1993-04-01

    The MOVPE growth behavior of InP on masked and dry-etched ridges in InP/InGaAsP heterostructures grown on (001)-oriented InP substrates has been studied by scanning electron and transmission electron microscopy. It is found that the orientation of the ridges is critical for obtaining good planarization. For ridges oriented along the 100 direction, the growth is uniform and defect-free, leasing to a plane surface. In the orthogonal 110 direction 60 twins are nucleated adjacent to the walls of the ridge. The resultant high density of (111)/(001) facets enhances the growth rate in these regions, leading to projecting walls at the sides of the ridge. (orig.)

  20. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  1. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  2. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  3. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  4. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  5. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  6. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  7. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  9. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  10. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  11. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  12. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  13. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  14. Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation

    International Nuclear Information System (INIS)

    Kubota, Tomohiro; Ohtake, Hiroto; Araki, Ryosuke; Yanagisawa, Yuuki; Samukawa, Seiji; Iwasaki, Takuya; Ono, Kohei; Miwa, Kazuhiro

    2013-01-01

    A system for predicting distortion of a profile during plasma etching was developed. The system consists of a combination of measurement and simulation. An ‘on-wafer sheath-shape sensor’ for measuring the plasma-sheath parameters (sheath potential and thickness) on the stage of the plasma etcher was developed. The sensor has numerous small electrodes for measuring sheath potential and saturation ion-current density, from which sheath thickness can be calculated. The results of the measurement show reasonable dependence on source power, bias power and pressure. Based on self-consistent calculation of potential distribution and ion- and electron-density distributions, simulation of the sheath potential distribution around an arbitrary 3D structure and the trajectory of incident ions from the plasma to the structure was developed. To confirm the validity of the distortion prediction by comparing it with experimentally measured distortion, silicon trench etching under chlorine inductively coupled plasma (ICP) was performed using a sample with a vertical step. It was found that the etched trench was distorted when the distance from the step was several millimetres or less. The distortion angle was about 20° at maximum. Measurement was performed using the on-wafer sheath-shape sensor in the same plasma condition as the etching. The ion incident angle, calculated as a function of distance from the step, successfully reproduced the experimentally measured angle, indicating that the combination of measurement by the on-wafer sheath-shape sensor and simulation can predict distortion of an etched structure. This prediction system will be useful for designing devices with large-scale 3D structures (such as those in MEMS) and determining the optimum etching conditions to obtain the desired profiles. (paper)

  15. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  16. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  17. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  18. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  19. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  20. Skin carcinogenesis following uniform and non-uniform β irradiation

    International Nuclear Information System (INIS)

    Charles, M.W.; Williams, J.P.; Coggle, J.E.

    1989-01-01

    Where workers or the general public may be exposed to ionising radiation, the irradiation is rarely uniform. The risk figures and dose limits recommended by the International Commission on Radiological Protection (ICRP) are based largely on clinical and epidemiological studies of reasonably uniform irradiated organs. The paucity of clinical or experimental data for highly non-uniform exposures has prevented the ICRP from providing adequate recommendations. This weakness has led on a number of occasions to the postulate that highly non-uniform exposures of organs could be 100,000 times more carcinogenic than ICRP risk figures would predict. This so-called ''hot-particle hypothesis'' found little support among reputable radiobiologists, but could not be clearly and definitively refuted on the basis of experiment. An experiment, based on skin tumour induction in mouse skin, is described which was developed to test the hypothesis. The skin of 1200 SAS/4 male mice has been exposed to a range of uniform and non-uniform sources of the β emitter 170 Tm (E max ∼ 1 MeV). Non-uniform exposures were produced using arrays of 32 or 8 2-mm diameter sources distributed over the same 8-cm 2 area as a uniform control source. Average skin doses varied from 2-100 Gy. The results for the non-uniform sources show a 30% reduction in tumour incidence by the 32-point array at the lower mean doses compared with the response from uniform sources. The eight-point array showed an order-of-magnitude reduction in tumour incidence compared to uniform irradiation at low doses. These results, in direct contradiction to the ''hot particle hypothesis'', indicate that non-uniform exposures produce significantly fewer tumours than uniform exposures. (author)

  1. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  2. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  3. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  4. Synchrotron radiation induced direct photo-etching and surface modification of PTFE

    International Nuclear Information System (INIS)

    Oshima, Akihiro; Washio, Masakazu

    2003-01-01

    In the first part of this article, we have described and discussed the measurement results of etching rates by direct photo-etching using Synchrotron Radiation (SR) for various kind of crosslinked PTFEs, which were prepared by different crosslinking doses, comparing with the non-crosslinked PTFE. It has been found that the etching rates obtained for crosslinked PTFE were much larger than that of non-crosslinked one. These results are not described by simple consideration such as the G values of main chain scission. We propose that the etching rates should be discussed by the complex mechanism through at least two different steps such as polymer decomposition and fragment desorption. In the second part of the article, we have described and discussed the abnormal reaction induced at the surface region after the SR etching for non-crosslinked PTFE. Through the measurements using DSC and solid state 19 F-NMR, we have confirmed the crosslinking reaction of PTFE even in solid state PTFE. This should be induced by the very high density radical formation in very thin area of PTFE films by SR radiation. (author)

  5. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  6. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  7. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  8. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  9. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  10. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  11. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  12. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  13. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    Science.gov (United States)

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  14. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  15. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  16. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  17. Two-year water degradation of self-etching adhesives bonded to bur ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were

  18. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.

  19. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons

    NARCIS (Netherlands)

    Nanda, G.; Hlawacek, Gregor; Goswami, S.; Watanabe, Kenji; Taniguchi, Takashi; Alkemade, P.F.A.

    2017-01-01

    We report the etching of and electronic transport in nanoribbons of graphene sandwiched between atomically flat hexagonal boron nitride (h-BN). The etching of ribbons of varying width was achieved with a focused beam of 30 keV He+ ions. Using in-situ electrical measurements, we

  20. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  1. Effect of surface etching on condensing heat transfer

    Energy Technology Data Exchange (ETDEWEB)

    Seok, Sung Chul; Park, Jae Won; Jung, Jiyeon; Choi, Chonggun; Choi, Gyu Hong; Hwang, Seung Sik; Chung, Tae Yong; Shin, Donghoon [Kookmin University, Seoul (Korea, Republic of); Kim, Jin Jun [Hoseo University, Asan (Korea, Republic of)

    2016-02-15

    This study conducted experiments on humid air condensation during heat transfer in an air preheating exchanger attached to a home condensing boiler to improve thermal efficiency. An etchant composed of sulfuric acid and sodium nitrate was used to create roughness on the heat exchanger surface made from STS430J1L. A counter flow heat exchanger was fabricated to test the performance of heat transfer. Results showed that the overall heat transfer coefficients of all specimens treated with etchant improved with respect to the original specimens (not treated with etchant), and the overall heat transfer coefficient of the 60 s etching specimen increased by up to 15%. However, the increasing rate of the heat transfer coefficient was disproportional to the etching time. When the etching time specifically increased above 60 s, the heat transfer coefficient decreased. This effect was assumed to be caused by surface characteristics such as contact angle. Furthermore, a smaller contact angle or higher hydrophilicity leads to higher heat transfer coefficient.

  2. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  3. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  4. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    Science.gov (United States)

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different from those of Clearfil SE Bond and ABF. One-Up Bond F did not bond to unprepared enamel. Commercial self-etching adhesives performed better on prepared enamel than on

  5. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  6. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  7. Studies on the characteristics of nuclear track spark counting for neutron monitoring

    International Nuclear Information System (INIS)

    Kawai, H.; Koga, T.; Morishima, H.; Niwa, T.; Nishiwaki, Y.

    1979-01-01

    The fission-track counting method using polycarbonate foil is known to be very effective for neutron monitoring. The detection system consists of a track detector foil placed in contact with a thin layer of fissile material. When the unit is irradiated with neutrons, fission fragments from the fissile material produce tracks in the foil. The foil is then etched chemically to enlarge the tracks to etch-pits, which are counted by an automatic spark counting method suggested by Cross and Tommasino. Spark counting characteristics of the above system using polycarbonate detector foils of different thicknesses and aluminized polyester sheets having different thicknesses of the aluminium which serves as an electrode, and etch-pit sizes in relation to spark counting have been studied. The results obtained are summarized as follows: 1. A growth in etch-pit sizes was observed for etching times of 10 to 50 minutes with Makrofol KG (10 μm thick) and Panlite foils (18 μm thick). As the etching time increased, the number of etch-pits which appeared as round pits increased and the number which appeared rod-like decreased; 2. Round etch-pits seemed to be easily spark-punched; 3. Major and minor diameters of etch-pits produced by fission fragments from the uranium fissile target were larger than those from the thorium target; 4. As the thickness of the Makrofol KG foil increased, the minor diameters of the etch-pits appeared to become smaller and major diameters larger; 5. The foils that appeared to be best suited for routine use were 10 μm thick Makrofol KG or 6 μm thick Lumirror foils. (author)

  8. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  9. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  10. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  11. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  12. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  13. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  14. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  15. Methods of etching a substrate

    International Nuclear Information System (INIS)

    Cosmo, J.J.; Gambino, R.J.; Harper, J.M.E.

    1979-01-01

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail. (U.K.)

  16. Methods of etching a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cosmo, J J; Gambino, R J; Harper, J M.E.

    1979-05-16

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail.

  17. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  18. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  19. Qualification of a new defect revealing etch for CdTe using cathodoluminescence microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Watson, C.C.R.; Durose, K. (Dept. of Physics, Univ. of Durham (United Kingdom)); Banister, A.J. (Dept. of Chemistry, Univ. of Durham (United Kingdom)); O' Keefe, E.; Bains, S.K. (Philips Infrared Defence Components, Southampton (United Kingdom))

    1993-01-30

    The action of a new defect revealing etch comprising a saturated FeCl[sub 3] solution has been investigated. The etch was found suitable for use on (111)A, (anti 1anti 1anti 1)B and other surface orientations of CdTe, and (111)A and (anti 1anti 1anti 1)B surfaces of Cd[sub 0.96]Zn[sub 0.04] Te. Direct correlations with cathodoluminescence and infra-red microscopy have shown the etch to successfully reveal twin boundaries, precipitates and dislocations. A background etch rate of approximately 2 [mu]m min[sup -1] has been measured. (orig.).

  20. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  1. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  2. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  3. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    Science.gov (United States)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  4. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  5. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  6. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  7. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  8. Gamma dosimetry with CR-39 etch track detector

    International Nuclear Information System (INIS)

    Matiullah; Dogar, A.H.; Ahmad, N.; Amin, M.; Kudo, Katsuhisa

    1999-01-01

    To preserve and improve the safety of food for commercial purposes, it is exposed to high gamma-ray doses. The gamma-ray doses used for this purpose range from 0.15 kGy to 50 kGy. At such high doses, the etching characteristics of CR-39 are severely affected. This property, therefore, can be used to develop a CR-39-based gamma dosimeter. In this context, systematic studies were carried out and the bulk etching rate was determined as a function of gamma-ray dose using different methods. (author)

  9. Etching behaviour of alpha-recoil tracks in natural dark mica studied via artificial ion tracks

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ARTs) created by the α-decay of U, Th, and their daughter nuclei, are used by a new dating method to determine the formation age of dark mica bearing Quaternary and Neogene volcanic rocks and the cooling age of plutonic and metamorphic rocks [Chem. Geol. 166 (2000) 127, Science 155 (1967) 1103]. The age equation combines the volumetric density of ARTs with the U and Th contents. Etching latent ARTs (diameter 30-100 nm) in the mica mineral phlogopite by HF and measuring the areal density of triangular etch pits by optical and scanning force microscopy (SFM) leads to a linear growth of ART areal density versus etching time. The ART volume density is a function of the slope of the areal density and the etching rate (v eff ). Therefore, the determination of v eff is essential for the calculation of an age value. To determine the etching parameters such as etching efficiency and v eff , phlogopite samples were irradiated with 80 keV Au ions. Irradiated surfaces were etched with 4% HF at 23±2 deg. C during successive time intervals and after each interval studied with SFM. The etching rate v eff was determined by different techniques. To evaluate the threshold of etchability, the energy losses of the Au ions and α-recoil nuclei in phlogopite were calculated with the SRIM00 code. The etching efficiency of the Au ion tracks was then used to predict the corresponding etching efficiency of the natural radioactive nuclei

  10. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  11. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 mum/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ˜23 Pa, then decreased with further increases in pressure. A computer simulation, CHEMKIN, was applied to predict the NF3 plasma species in the experiments. The code was validated first by comparing its predictions of the NF3 plasma species with mass spectroscopy etching experiments of silicon. The code predictions were within +/-5% of the measured species concentrations. The F atom radicals were identified as the primary etchant species, diffusing from the bulk plasma to the UO2 surface and reacting to form a volatile UF6, which desorbed into the gas phase to be pumped away. Ions created in the plasma were too low in concentration to have a major effect on etching, but can enhance the etch rate by removing non-volatile reaction products blocking the reaction of F with UO2. The composition of these non-volatile products were determined based on thermodynamic analysis and the electronic structure of uranium. Analysis identified possible non-volatile products as the uranium fluorides, UF2-5, and certain uranium oxyfluorides UO2F, UO2F2, UOF3, and UOF 4 which form over the

  12. Etching Properties of Poly ethyleneterephthalate (PET) Melinex-E Nuclear Track Detectors (NTDs)

    International Nuclear Information System (INIS)

    Ghanim, E.H.; Hussein, A.; El-samman, H.M.; Tretyakova, S.P.

    2009-01-01

    One of the main parameters that control track formation is the bulk etch rate, VB. The dependence of VB on etchant concentrations and temperatures was extensively carried out. It is found that, VB of the PET Melinex-E (C 10 H 8 O 4 ) depends upon the etchant temperature T through an Arrhenius equation. While, the dependence of VB on the etchant concentration; C followed the relation VB = A C n. The activation energy of etching, Eb, for the studied Melinex-E detector was calculated. An average value of Eb = 0.83 ± 0.03 eV was extracted. The variation of, VB, of PET with etching duration was studied and compared with that of CR-39 plastic at certain etching temperature; T e =60 degree C and at different etchant concentrations. The irradiation facilities were performed with the 252 Cf fission fragments and 129 Xe +8 (θi =π/2). Results of these studies were discussed in the frame work of nuclear track formation and etching theories

  13. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  14. Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon

    Science.gov (United States)

    2015-11-01

    Platinum Electrodes for Metal Assisted Etching of Porous Silicon by Matthew H Ervin and Brian Isaacson Sensors and Electron Devices Directorate...SUBTITLE Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT

  15. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  16. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  17. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  18. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  20. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  1. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    Science.gov (United States)

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (Pcomposite resins to dentin, no single etching protocol is better than another. Depending on which bonding agent is being used, one etching mode may perform better. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  2. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  3. Effects of fixture rotation on coating uniformity for high-performance optical filter fabrication

    Science.gov (United States)

    Rubin, Binyamin; George, Jason; Singhal, Riju

    2018-04-01

    Coating uniformity is critical in fabricating high-performance optical filters by various vacuum deposition methods. Simple and planetary rotation systems with shadow masks are used to achieve the required uniformity [J. B. Oliver and D. Talbot, Appl. Optics 45, 13, 3097 (2006); O. Lyngnes, K. Kraus, A. Ode and T. Erguder, in `Method for Designing Coating Thickness Uniformity Shadow Masks for Deposition Systems with a Planetary Fixture', 2014 Technical Conference Proceedings, Optical Coatings, August 13, 2014, DOI: 10.14332/svc14.proc.1817.]. In this work, we discuss the effect of rotation pattern and speed on thickness uniformity in an ion beam sputter deposition system. Numerical modeling is used to determine statistical distribution of random thickness errors in coating layers. The relationship between thickness tolerance and production yield are simulated theoretically and demonstrated experimentally. Production yields for different optical filters produced in an ion beam deposition system with planetary rotation are presented. Single-wavelength and broadband optical monitoring systems were used for endpoint monitoring during filter deposition. Limitations of thickness tolerances that can be achieved in systems with planetary rotation are shown. Paths for improving production yield in an ion beam deposition system are described.

  4. Application of a cellular automaton for the evolution of etched nuclear tracks

    International Nuclear Information System (INIS)

    Cruz-Trujillo, Leonardo de la; Hernández-Hernández, C.; Vázquez-López, C.; Zendejas-Leal, B.E.; Golzarri, I.; Espinosa, G.

    2013-01-01

    In the present work, it is demonstrated the first application of cellular automata to the growing of etched nuclear tracks. The simplest case in which conical etched tracks are gradually formed is presented, as well as a general case of time varying etching rate V t . It is demonstrated that the cellular automata elements consist in an image pattern of the latent nuclear track input cells, 16 rules for updating states, the Moore neighborhood and an algorithm of four states. - Highlights: ► We model the evolution of an etched nuclear track using cellular automata (ca). ► A cellular automaton of a conical track has 4 states and 16 transition rules. ► The ca of general tracks require a not regular mesh and the L(t) and V b parameters

  5. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    Science.gov (United States)

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  6. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  7. Improving emission uniformity and linearizing band dispersion in nanowire arrays using quasi-aperiodicity

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, P. Duke [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States); Univ. of Southern California, Los Angeles, CA (United States). Ming Hsieh Dept. of Electrical Engineering; Koleske, Daniel D. [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States); Povinelli, Michelle L. [Univ. of Southern California, Los Angeles, CA (United States). Ming Hsieh Dept. of Electrical Engineering; Subramania, Ganapathi [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States)

    2017-10-01

    For this study, we experimentally investigate a new class of quasi-aperiodic structures for improving the emission pattern in nanowire arrays. Efficient normal emission, as well as lasing, can be obtained from III-nitride photonic crystal (PhC) nanowire arrays that utilize slow group velocity modes near the Γ-point in reciprocal space. However, due to symmetry considerations, the emitted far-field pattern of such modes are often ‘donut’-like. Many applications, including lighting for displays or lasers, require a more uniform beam profile in the far-field. Previous work has improved far-field beam uniformity of uncoupled modes by changing the shape of the emitting structure. However, in nanowire systems, the shape of nanowires cannot always be arbitrarily changed due to growth or etch considerations. Here, we investigate breaking symmetry by instead changing the position of emitters. Using a quasi-aperiodic geometry, which changes the emitter position within a photonic crystal supercell (2x2), we are able to linearize the photonic bandstructure near the Γ-point and greatly improve emitted far-field uniformity. We realize the III-nitride nanowires structures using a top-down fabrication procedure that produces nanowires with smooth, vertical sidewalls. Comparison of room-temperature micro-photoluminescence (µ-PL) measurements between periodic and quasi-aperiodic nanowire arrays reveal resonances in each structure, with the simple periodic structure producing a donut beam in the emitted far-field and the quasi-aperiodic structure producing a uniform Gaussian-like beam. We investigate the input pump power vs. output intensity in both systems and observe the simple periodic array exhibiting a non-linear relationship, indicative of lasing. We believe that the quasi-aperiodic approach studied here provides an alternate and promising strategy for shaping the emission pattern of nanoemitter systems.

  8. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  9. Low-voltage electroosmotic pumping using polyethylene terephthalate track-etched membrane

    Energy Technology Data Exchange (ETDEWEB)

    Wang Ceming; Wang Lin [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Xue Jianming, E-mail: jmxue@pku.edu.cn [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Center for Applied Physics and Technology, Peking University, Beijing 100871 (China)

    2012-09-01

    We present experimental investigations of electroosmotic (EO) pumping using polyethylene terephthalate (PET) track-etched membrane at a low applied voltage. An EO pump based on PET track-etched membrane has been designed and fabricated. Pumping performance of the device is experimentally studied in terms of flow rate as a function of applied voltage and KCl aqueous concentration. The PET track-etched membrane EO pump can generate flow rates on the order of 10 {mu}l min{sup -1} cm{sup -2} at several applied volts. The measured flow rate tends to decrease with increasing KCl aqueous concentration. In addition, we study the EO flow in cylindrical nanopore with use of a continuum model, composed of Nernst Planck equations, Poisson equation and Navier Stokes equations.

  10. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  11. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  12. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  13. Energy dependence of fast neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Morgan, K.Z.

    1978-01-01

    Registration of fast-neutron induced recoil tracks by the electrochemical etching technique as applied to sensitive Lexan polycarbonate foils provides a simple and inexpensive means of fast neutron personnel dosimetry. The sensitivity (tracks/neutron) of recoil particle registration is given as a function of neutron energy. Neutrons of 7 Li (p,n) 7 Be, 3 T (d,n) 4 He and 9 B, respectively. Results are compared with other studies using other neutron sources and conventional etching method

  14. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  15. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  16. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  17. Femtosecond laser etching of dental enamel for bracket bonding.

    Science.gov (United States)

    Kabas, Ayse Sena; Ersoy, Tansu; Gülsoy, Murat; Akturk, Selcuk

    2013-09-01

    The aim is to investigate femtosecond laser ablation as an alternative method for enamel etching used before bonding orthodontic brackets. A focused laser beam is scanned over enamel within the area of bonding in a saw tooth pattern with a varying number of lines. After patterning, ceramic brackets are bonded and bonding quality of the proposed technique is measured by a universal testing machine. The results are compared to the conventional acid etching method. Results show that bonding strength is a function of laser average power and the density of the ablated lines. Intrapulpal temperature changes are also recorded and observed minimal effects are observed. Enamel surface of the samples is investigated microscopically and no signs of damage or cracking are observed. In conclusion, femtosecond laser exposure on enamel surface yields controllable patterns that provide efficient bonding strength with less removal of dental tissue than conventional acid-etching technique.

  18. Optical and structural properties of porous zinc oxide fabricated via electrochemical etching method

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ooi, P.K.; Ng, S.S.; Hassan, Z.; Hassan, H. Abu; Abdullah, M.J.

    2013-01-01

    Highlights: • Hillock like porous structure zinc oxide was obtained via electrochemical etching. • Anisotropic dominance etching process by KOH etchant. • Reststrahlen features are sensitive to multilayer porous structure. • Determination of porosity from IR reflectance spectrum. -- Abstract: We investigated the optical and structural properties of porous zinc oxide (ZnO) thin film fabricated by ultraviolet light-assisted electrochemical etching. This fabrication process used 10 wt% potassium hydroxide solution as an electrolyte. Hillock-like porous ZnO films were successfully fabricated according to the field emission scanning electron microscopy results. The cross-sectional study of the sample indicated that anisotropic-dominated etching process occurred. However, the atomic force microscopic results showed an increase in surface roughness of the sample after electrochemical etching. A resonance hump induced by the porous structure was observed in the infrared reflectance spectrum. Using theoretical modeling technique, ZnO porosification was verified, and the porosity of the sample was determined

  19. Differentiation of grain orientation with corrosive and colour etching on a granular bainitic steel.

    Science.gov (United States)

    Reisinger, S; Ressel, G; Eck, S; Marsoner, S

    2017-08-01

    This study presents a detailed verification of the etching methods with Nital and Klemm on a granular bainitic steel. It is shown that both methods allow the identification of the crystal orientation, whereas Klemm etching enables also a quantification of the apparent phases, as also retained austenite can be distinguished from the other bainitic microstructures. A combination of atom probe tomography with electron-back-scattered-diffraction showed that both etching methods emphasize the bainitic {100} crystal orientation. However, a cross-section produced by focused ion beam evidenced that Klemm etching leads to the formation of a topography of the different oriented bainitic crystals that directly affects the thickness and therefore the apparent colour of the deposited layer formed during etching. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  1. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  2. Assessment indices for uniform and non-uniform thermal environments

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Different assessment indices for thermal environments were compared and selected for proper assessment of indoor thermal environments.30 subjects reported their overall thermal sensation,thermal comfort,and thermal acceptability in uniform and non-uniform conditions.The results show that these three assessment indices provide equivalent evaluations in uniform environments.However,overall thermal sensation differs from the other two indices and cannot be used as a proper index for the evaluation of non-uniform environments.The relationship between the percentage and the mean vote for each index is established.

  3. Continuous Process for the Etching, Rinsing and Drying of MEMS Using Supercritical Carbon Dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Min, Seon Ki; Han, Gap Su; You, Seong-sik [Korea University of Technology and Education, Cheonan (Korea, Republic of)

    2015-10-15

    The previous etching, rinsing and drying processes of wafers for MEMS (microelectromechanical system) using SC-CO{sub 2} (supercritical-CO{sub 2}) consists of two steps. Firstly, MEMS-wafers are etched by organic solvent in a separate etching equipment from the high pressure dryer and then moved to the high pressure dryer to rinse and dry them using SC-CO{sub 2}. We found that the previous two step process could be applied to etch and dry wafers for MEMS but could not confirm the reproducibility through several experiments. We thought the cause of that was the stiction of structures occurring due to vaporization of the etching solvent during moving MEMS wafer to high pressure dryer after etching it outside. In order to improve the structure stiction problem, we designed a continuous process for etching, rinsing and drying MEMS-wafers using SC-CO{sub 2} without moving them. And we also wanted to know relations of states of carbon dioxide (gas, liquid, supercritical fluid) to the structure stiction problem. In the case of using gas carbon dioxide (3 MPa, 25 .deg. C) as an etching solvent, we could obtain well-treated MEMS-wafers without stiction and confirm the reproducibility of experimental results. The quantity of rinsing solvent used could be also reduced compared with the previous technology. In the case of using liquid carbon dioxide (3 MPa, 5 .deg. C), we could not obtain well-treated MEMS-wafers without stiction due to the phase separation of between liquid carbon dioxide and etching co-solvent(acetone). In the case of using SC-CO{sub 2} (7.5 Mpa, 40 .deg. C), we had as good results as those of the case using gas-CO{sub 2}. Besides the processing time was shortened compared with that of the case of using gas-CO{sub 2}.

  4. Bond strength and morphology of enamel using self-etching adhesive systems with different acidities

    Directory of Open Access Journals (Sweden)

    Sandra Kiss Moura

    2009-08-01

    Full Text Available OBJECTIVES: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. MATERIAL AND METHODS: Composite resin (Filtek Z250 buildups were bonded to untreated (prophylaxis and treated (bur-cut or SiC-paper enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition: Clearfil SE Bond (CSE; OptiBond Solo Plus Self-Etch (OP; AdheSe (AD; Tyrian Self Priming Etching (TY, Adper Scotchbond Multi-Purpose Plus (SBMP and Adper Single Bond (SB. After storage in water (24 h/37°C, the bonded specimens were sectioned into sticks with 0.8 mm² cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa were subjected to two-way ANOVA and Tukey's test (α=0.05. The etching patterns of the adhesive systems were also observed with a scanning electron microscope. RESULTS: The main factor adhesive system was statistically significant (p<0.05. The mean bond strength values (MPa and standard deviations were: CSE (20.5±3.5, OP (11.3±2.3, AD (11.2±2.8, TY (11.1±3.0, SBMP (21.9±4.0 and SB (24.9±3.0. Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. CONCLUSION: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed.

  5. Enlarging the fission fragment tracks in glass detectors by etching in weak solutions of HF - a safe etchant

    International Nuclear Information System (INIS)

    Singh, V.P.; Sharma, A.P.

    1982-01-01

    The effect of etchant concentration and temperature on track revelation properties of soda glass detectors has been studied. Etch rate ratio, maximum observable diameter and the energy resolution of the fission fragment tracks of 252 Cf in glasses are increased when the samples are etched in 1.25 vol% HF as compared to higher concentrations of HF and other etching solutions. The critical angle of etching is found to decrease with decrease in etchant concentration. The activation energies for bulk etching and track etching have also been estimated. Better results were obtained by using lower etching temperatures. (author)

  6. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  7. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    Science.gov (United States)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  8. Effects of potassium oxalate on knoop hardness of etch-and-rinse adhesives.

    Science.gov (United States)

    Silva, S M A; Malacarne-Zanon, J; Carvalho, R M; Alves, M C; De Goes, M F; Anido-Anido, A; Carrilho, M R

    2012-01-01

    The objective of this study was to determine whether the hardness of etch-and-rinse adhesives may be affected by the pretreatment of acid-etched dentin with potassium oxalate desensitizer. Unerupted human third molars were cut into crown segments by removing the occlusal enamel and roots. The pulp chamber of these crown segments was connected to a syringe barrel filled with phosphate-buffered saline so that the moisture of dentin was maintained during the bonding procedures. Three etch-and-rinse adhesives-two two-step systems (Adper Single Bond 2 [SB], One-Step [OS]) and one three-step system (Adper Scotchbond Multi-Purpose [MP])-were applied to acid-etched dentin that had been treated (experimental groups) or not (control groups) with potassium oxalate (BisBlock). The Knoop hardness (KHN) of adhesives was taken at different sites of the outer surface of the adhesive-bonded dentin. The KHN of the three tested adhesives applied to acid-etched dentin treated with potassium oxalate was significantly lower than that exhibited by the respective controls (not treated with oxalate; padhesive, the treatment with potassium oxalate reduced the adhesives' KHN (psystem exhibiting the lowest KHN compared with the MP and SB systems.

  9. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    International Nuclear Information System (INIS)

    Cheong, Youjin; Choi, Samjin; Kim, So Jung; Park, Hun-Kuk

    2012-01-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: ► APF pre-treatment led to decreased surface roughness in the enamel. ► After APF treatment, the more roughness increased with increasing time elapsed. ► Acid-etching should be performed two weeks after fluoride application.

  10. Nanostructural effect of acid-etching and fluoride application on human primary and permanent tooth enamels

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Youjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Choi, Samjin [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Department of Orthodontics, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Kim, So Jung [Department of Pediatric Dentistry, College of Dental Medicine, Kyung Hee University, Seoul (Korea, Republic of); Park, Hun-Kuk, E-mail: sigmoidus@khu.ac.kr [Department of Biomedical Engineering and Healthcare Industry Research Institute, College of Medicine, Kyung Hee University, Seoul (Korea, Republic of); Program of Medical Engineering, Kyung Hee University, Seoul (Korea, Republic of)

    2012-07-01

    This study examined the nanostructural effects of fluoride application and the acid-etching time with respect to the time elapsed after fluoride application on the primary and permanent tooth enamel layers using atomic force microscopy (AFM) and scanning electron microscopy (SEM). 192 non-carious teeth were assigned to sixteen experimental groups (n = 12) including primary (1 to 8) and permanent (9 to 16) teeth, based on the timing of acid-etching with 37% phosphoric acid after an acidulated phosphate fluoride (APF) pre-treatment. The APF pre-treatment led to a decrease in surface roughness in both the primary and permanent teeth. After the APF treatment, the roughness in both primary and permanent teeth increased with the time elapsed. An acid-etching time of 40 s led to increased nanostructural changes in the enamel surfaces compared to the conventional acid-etching time of 20 s. This acid-etching process led to a higher roughness changes in the primary teeth than in the permanent teeth. To obtain proper enamel adhesion of a sealant after APF pre-treatment, it is important to apply acid-etching two weeks after pre-treatment. In addition, the acid-etching time should be prolonged to apply etching more quickly than two weeks, regardless of the primary and permanent teeth. Highlights: Black-Right-Pointing-Pointer APF pre-treatment led to decreased surface roughness in the enamel. Black-Right-Pointing-Pointer After APF treatment, the more roughness increased with increasing time elapsed. Black-Right-Pointing-Pointer Acid-etching should be performed two weeks after fluoride application.

  11. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    Science.gov (United States)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  12. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  13. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  14. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  15. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  16. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  17. Optimization of HNA etching parameters to produce high aspect ratio solid silicon microneedles

    International Nuclear Information System (INIS)

    Hamzah, A A; Yeop Majlis, B; Yunas, J; Dee, C F; Abd Aziz, N; Bais, B

    2012-01-01

    High aspect ratio solid silicon microneedles with a concave conic shape were fabricated. Hydrofluoric acid–nitric acid–acetic acid (HNA) etching parameters were characterized and optimized to produce microneedles that have long and narrow bodies with smooth surfaces, suitable for transdermal drug delivery applications. The etching parameters were characterized by varying the HNA composition, the optical mask's window size, the etching temperature and bath agitation. An L9 orthogonal Taguchi experiment with three factors, each having three levels, was utilized to determine the optimal fabrication parameters. Isoetch contours for HNA composition with 0% and 10% acetic acid concentrations were presented and a high nitric acid region was identified to produce microneedles with smooth surfaces. It is observed that an increase in window size indiscriminately increases the etch rate in both the vertical and lateral directions, while an increase in etching temperature beyond 35 °C causes the etching to become rapid and uncontrollable. Bath agitation and sample placement could be manipulated to achieve a higher vertical etch rate compared to its lateral counterpart in order to construct high aspect ratio microneedles. The Taguchi experiment performed suggests that a HNA composition of 2:7:1 (HF:HNO 3 :CH 3 COOH), window size of 500 µm and agitation rate of 450 RPM are optimal. Solid silicon microneedles with an average height of 159.4 µm, an average base width of 110.9 µm, an aspect ratio of 1.44, and a tip angle and diameter of 19.2° and 0.38 µm respectively were successfully fabricated. (paper)

  18. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  19. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  20. Preparation of Chemically Etched Tips for Ambient Instructional Scanning Tunneling Microscopy

    Science.gov (United States)

    Zaccardi, Margot J.; Winkelmann, Kurt; Olson, Joel A.

    2010-01-01

    A first-year laboratory experiment that utilizes concepts of electrochemical tip etching for scanning tunneling microscopy (STM) is described. This experiment can be used in conjunction with any STM experiment. Students electrochemically etch gold STM tips using a time-efficient method, which can then be used in an instructional grade STM that…