WorldWideScience

Sample records for metrology research institute

  1. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  2. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  3. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  4. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  5. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  6. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  7. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    CSIR Research Space (South Africa)

    Becerra, LO

    2006-09-01

    Full Text Available ), 1, Rue Gaston Boissier, 75015 Paris, France 13 Centro Espa?nol de Metrologia (CEM), c/ del Alfar, 2 ? Apartado 37, 28760 Tres Cantos (Madrid), Spain 14 National Metrology Institute of Japan, National Institute of Advanced Industrial Science...

  8. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  9. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  10. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  11. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  12. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  13. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  14. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  15. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  16. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  17. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  18. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  19. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  20. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  1. Traceable size determination of nanoparticles, a comparison among European metrology institutes

    International Nuclear Information System (INIS)

    Meli, Felix; Klein, Tobias; Buhr, Egbert; Frase, Carl Georg; Gleber, Gudrun; Krumrey, Michael; Duta, Alexandru; Duta, Steluta; Korpelainen, Virpi; Bellotti, Roberto; Picotto, Gian Bartolo; Boyd, Robert D; Cuenat, Alexandre

    2012-01-01

    Within the European iMERA-Plus project ‘Traceable Characterisation of Nanoparticles’ various particle measurement procedures were developed and finally a measurement comparison for particle size was carried out among seven laboratories across six national metrology institutes. Seven high quality particle samples made from three different materials and having nominal sizes in the range from 10 to 200 nm were used. The participants applied five fundamentally different measurement methods, atomic force microscopy, dynamic light scattering (DLS), small-angle x-ray scattering, scanning electron microscopy and scanning electron microscopy in transmission mode, and provided a total of 48 independent, traceable results. The comparison reference values were determined as weighted means based on the estimated measurement uncertainties of the participants. The comparison reference values have combined standard uncertainties smaller than 1.4 nm for particles with sizes up to 100 nm. All methods, except DLS, provided consistent results. (paper)

  2. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  3. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  4. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  5. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    calibration of the various instruments and standards used but also the reliable application of an accepted measurement procedure. Nowhere is this more evident than in the use of hardness scales, which are not directly traceable to the SI. This special issue of Metrologia includes a summary of the findings and conclusions of the Working Group and a further 14 papers covering the full range of properties of interest in science, engineering and standards making. It includes papers by authors at eight national measurement institutes and four other research centres. In addition to mechanical properties, there are papers addressing issues associated with the measurement of electromagnetic, acoustic and optical properties as well as those arising from the specific structural features of many new materials. As guest editors, we are extremely grateful to all the authors who have contributed to this special issue on the measurement of the properties of materials. We hope it will contribute to a wider appreciation of many of the associated issues and foster a growing understanding of the importance of ensuring that all such measurements are performed in accordance with accepted standards and procedures, with proper attention to the need to establish the traceability of the results. Only in this way can the performance, safety and fitness for purpose of products be guaranteed.

  6. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  7. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  8. Establishment of a computer-controlled retroreflection measurement system at the National Metrology Institute of Turkey (UME)

    International Nuclear Information System (INIS)

    Samedov, Farhad; Celikel, Oguz; Bazkir, Ozcan

    2005-01-01

    In order to characterize photometric properties of retroreflectors, a fully automated retroreflector measurement system is designed in National Metrology Institute of Turkey (UME). The system is composed of a lighting projector, a goniometer, filter radiometers, 100 dB transimpedance amplifiers, and 24-bit resolution analog-digital converter card with a special software. The established system provides a new calibration capability to determine the luminous intensity and retroreflection coefficients of the retroreflective materials with the expanded uncertainties of 1.07% and 1.13% (k=2), respectively. The traceability in retroreflection measurements was linked to the detector-based photometric scale of UME

  9. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  10. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  11. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  12. REFERENCE MATERIALS SYSTEM OF SCIENTIFIC METHODICAL CENTRE OF STATE SERVICE OF REFERENCE MATERIALS FOR COMPOSITION AND PROPERTIES OF SUBSTANCES AND MATERIALS URAL RESEARCH INSTITUTE FOR METROLOGY

    Directory of Open Access Journals (Sweden)

    E. V. Osinseva

    2015-01-01

    Full Text Available Since 1960s UNIIM performs research in the field of needs in reference materials of composition and properties of substances and materials (RM as well as develops it. During the research UNIIM has developed 757 types of RMs for metrological measurement assurance of factors of composition and properties of substance and materials for test laboratories of chemical, pharmaceutical, fuel, food industry, agriculture, metallurgy and ecological monitoring laboratories. List ofRMs enlarges thanks to development of UNIIM standards and transmission measurement facility from State standards of units. Taking into account the actual requirements in the field of measurements, the UNIIM's key destination is to assure the accuracy and the metrological traceability of measurements. The present-day system of RMs to be developed in UNIIM includes RMs of composition of inorganic and organic compounds and their solutions, fuels, stable isotopic materials, water, grounds, food products, biomaterials, nanomaterials, metals, alloys and other materials offerrous and non-ferrous industry, RMs of properties (thermodynamic, magnetic, physical-chemical, technical of substances and materials. The present article considers history of RMs list development which were created by UNIIM and the strategy of this direction.

  13. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    Science.gov (United States)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  14. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  15. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  16. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  17. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  18. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  19. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  20. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  1. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  2. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  3. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  4. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    Science.gov (United States)

    Fisher, William P., Jr.; Stenner, A. Jackson

    2013-09-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice.

  5. Overcoming the Invisibility of Metrology: A Reading Measurement Network for Education and the Social Sciences

    International Nuclear Information System (INIS)

    Fisher, William P Jr; Stenner, A Jackson

    2013-01-01

    The public and researchers in psychology and the social sciences are largely unaware of the huge resources invested in metrology and standards in science and commerce, for understandable reasons, but with unfortunate consequences. Measurement quality varies widely in fields lacking uniform standards, making it impossible to coordinate local behaviours and decisions in tune with individually observed instrument readings. However, recent developments in reading measurement have effectively instituted metrological traceability methods within elementary and secondary English and Spanish language reading education in the U.S., Canada, Mexico, and Australia. Given established patterns in the history of science, it may be reasonable to expect that widespread routine reproduction of controlled effects expressed in uniform units in the social sciences may lead to significant developments in theory and practice

  6. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  7. Comparison of the dimensions of electrical resistance units, supported on the basis of the Hall quantum effect, in the all-Russia research institute of metrological service and the Czech metrological institute

    Czech Academy of Sciences Publication Activity Database

    Semenchinsky, S. G.; Chrobok, P.; Svoboda, Pavel

    2008-01-01

    Roč. 51, č. 12 (2008), s. 1351-1356 ISSN 0543-1972 Institutional research plan: CEZ:AV0Z10100521 Keywords : resistance unit * quantum Hall effect * comparison * standards Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.151, year: 2008

  8. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  9. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  10. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  11. [Metrology research on biomedical engineering publications from China in recent years].

    Science.gov (United States)

    Yu, Lu; Su, Juan; Wang, Ying; Sha, Xianzheng

    2014-12-01

    The present paper is to evaluate the scientific research level and development trends of biomedical engineering in China using metrology analysis on Chinese biomedical engineering scientific literatures. Pubmed is used to search the biomedical engineering publications in recent 5 years which are indexed by Science Citation Index, and the number and cited times of these publications and the impact factor of the journals are analyzed. The results show that comparing with the world, although the number of the publication in China has increased in recent 5 years, there is still much room for improvement. Among Chinese mainland, Hongkong and Taiwan, Chinese mainland maintains the obvious advantage in this subject, but Hongkong has the highest average cited number. Shanghai and Beijing have better research ability than other areas in Chinese mainland.

  12. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  13. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  14. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  15. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  16. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  17. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  18. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  19. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  20. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  1. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  2. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  3. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  4. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  5. Lithuanian Energy Institute: Annual Report '98

    International Nuclear Information System (INIS)

    Mishkinis, V.; Ushpuras, E.; Poshkas, P.

    1999-01-01

    Among main achievements of 1998 the huge and important set of research works concerning the second Ignalina NPP safety improvement programme and its preparation-ration for obtaining a licence should be mentioned. The total value of works carried out for this purpose reached about 2 million Lt. Intense preparations were carried out and new works were initiated linked to handling spent nuclear fuel and radioactive wastes and to the future shutdown and dismantling of the NPP. This is a very important and long term objective and its success will depend greatly on our level of preparations and readiness for this complex process. The Institute will make its decisive and substantial contribution to it. Much time and many efforts were dedicated to improvement of the Lithuanian Energy Strategy Project and its adjustments in consultations with representatives of various institutions. Discussions on this important political expanded widely over Lithuanian borders while preparation and analysis of information for it was the main concern of our energy economists. In 1998 institutes' metrological base was expanded and improved still further. As a result, Heat - Equipment Research and Testing Laboratory was acknowledged attestation confirming it being the main Lithuanian metrological laboratory in the field of measurement fluid and gas flow rate and heat amount (author)

  6. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    Science.gov (United States)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  7. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  8. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  9. Metrology of the radon in air volume activity at the italian radon reference chamber

    Energy Technology Data Exchange (ETDEWEB)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M. [Istituto Nazionale di Metrologia delle Radiazioni Ionizzanti, ENEA Centro Ricerche Casaccia Roma (Italy)

    2006-07-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of {sup 222}Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m{sup 3}. The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  10. Metrology of the radon in air volume activity at the italian radon reference chamber

    International Nuclear Information System (INIS)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M.

    2006-01-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of 222 Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m 3 . The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  11. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  12. Metrology as part and parcel of training programmes for science and engineering

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2007-01-01

    At many universities and training institutes education in metrology or measurement science is in strong competition with upcoming disciplines. Its importance for science and engineering remains, however, evident. Advanced instruments make measuring almost a routine activity, but it is shown that a

  13. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  14. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  15. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    such as those relating to the International Year of Light. The events are meetings on Electricity (XI International Seminar on Electrical Metrology - SEMETRO), Ionizing Radiation (II Brazilian Congress on Ionizing Radiation - CBMRI) and the Meeting of the Brazilian Chemical Metrology Network (REMEQ). As in previous events, we hosted the Expo Metrology 2015 trade show and an exhibition of measuring equipment. During the conference, short courses in various areas of interest in Metrology and Conformity Assessment were given, organized by the Basic Industrial Technology National School - ENTIB, an initiative from the Brazilian Society of Metrology (SBM). The 8"t"h Brazilian Congress on Metrology consisted of Keynote Speeches (6) and regular papers (292). Among the regular papers, the 100 most outstanding ones, comprising a high quality content on Metrology, were selected to be published in this issue of the Journal of Physics: Conference Series. With tremendous satisfaction and gratefulness, the organizers of METROLOGIA 2015 would like to present this volume of IOP Journal of Physics: Conference Series (JPCS) to the scientific community to promote further research in Metrology. We believe that (paper)

  16. A blueprint for radioanalytical metrology CRMs, intercomparisons, and PE

    International Nuclear Information System (INIS)

    Inn, Kenneth G.W.; Kurosaki, Hiromu; Frechou, Carole; Gilligan, Chris; Jones, Robert; LaMont, Stephen; Leggitt, Jeff; Li Chunsheng; McCroan, Keith; Swatski, Ronald

    2008-01-01

    A workshop was held from 28 February to 2 March 2006 at the National Institute of Standards and Technology (NIST) to evaluate the needs for new directions for complex matrix reference materials certified for radionuclide content, interlaboratory comparisons and performance evaluation (PE) programs. The workshop identified new radioanalytical metrology thrust areas needed for environmental, radiobioassay, emergency consequence management, and nuclear forensics, attribution, nonproliferation, and safeguards

  17. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  18. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  19. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  20. Radionuclide metrology research for nuclear site decommissioning

    Science.gov (United States)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  1. Calibration of radioprotection equipment gamma radiation at the Laboratory of Ionizing Radiation Metrology - DEN/UFPE

    International Nuclear Information System (INIS)

    Nazario, Macilene; Khoury, Helen; Hazin, Clovis

    2003-01-01

    This work presents aspects of the radioprotection equipment calibration service of the Laboratory for Metrology of Ionizing Radiations (LMRI) of the DEN/UFPE related to the calibration procedures, characteristics of the radiation beam and the evaluation of equipment calibrated in the period of 2001-2002. The LMRI-DEN/UFPE is one of the four laboratories in Brazil licensed by the Brazilian Nuclear Energy Commission for the execution of calibration services on area, surface contamination and personal monitors used by industries, hospitals, universities and research institutes using radioactive sources

  2. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  3. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  4. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  5. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  6. Testing of Anesthesia Machines and Defibrillators in Healthcare Institutions.

    Science.gov (United States)

    Gurbeta, Lejla; Dzemic, Zijad; Bego, Tamer; Sejdic, Ervin; Badnjevic, Almir

    2017-09-01

    To improve the quality of patient treatment by improving the functionality of medical devices in healthcare institutions. To present the results of the safety and performance inspection of patient-relevant output parameters of anesthesia machines and defibrillators defined by legal metrology. This study covered 130 anesthesia machines and 161 defibrillators used in public and private healthcare institutions, during a period of two years. Testing procedures were carried out according to international standards and legal metrology legislative procedures in Bosnia and Herzegovina. The results show that in 13.84% of tested anesthesia machine and 14.91% of defibrillators device performance is not in accordance with requirements and should either have its results be verified, or the device removed from use or scheduled for corrective maintenance. Research emphasizes importance of independent safety and performance inspections, and gives recommendations for the frequency of inspection based on measurements. Results offer implications for adequacy of preventive and corrective maintenance performed in healthcare institutions. Based on collected data, the first digital electronical database of anesthesia machines and defibrillators used in healthcare institutions in Bosnia and Herzegovina is created. This database is a useful tool for tracking each device's performance over time.

  7. Importance of the metrological network for calibration of neutron logging methods

    International Nuclear Information System (INIS)

    Rysavy, F.

    1995-01-01

    The calibration characteristics of neutron logging instrumentation are discussed. The principles of the rock, plastics, and water models are briefly outlined. Indian limestone of 9% porosity is the primary standard in the neutron logging metrology network, from which secondary standards employed during certification measurements are derived. It is recommended that rock blocks should be used as national standards, and each secondary institution should possess a set of polyethylene cylinders, one of which would serve as the main standard for the institution in question. (J.B.)

  8. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  9. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  10. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  11. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  12. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  13. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Sophie M [Florida State Univ., Tallahassee, FL (United States); Tran, Hy D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-07-01

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  14. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  15. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  16. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  17. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  18. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  19. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  20. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  1. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  2. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  3. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  4. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  5. Research on rapid agile metrology for manufacturing based on real-time multitask operating system

    Science.gov (United States)

    Chen, Jihong; Song, Zhen; Yang, Daoshan; Zhou, Ji; Buckley, Shawn

    1996-10-01

    Rapid agile metrology for manufacturing (RAMM) using multiple non-contact sensors is likely to remain a growing trend in manufacturing. High speed inspecting systems for manufacturing is characterized by multitasks implemented in parallel and real-time events which occur simultaneously. In this paper, we introduce a real-time operating system into RAMM research. A general task model of a class-based object- oriented technology is proposed. A general multitask frame of a typical RAMM system using OPNet is discussed. Finally, an application example of a machine which inspects parts held on a carrier strip is described. With RTOS and OPNet, this machine can measure two dimensions of the contacts at 300 parts/second.

  6. Radionuclide Metrology in Europe: Joint Research Projects in the Framework of EMRP; La Metrologia de Radionucleidos en el entorno europeo: proyectos conjuntos de investigacion en el marco de EMRP

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Torano, E.

    2013-02-01

    During recent years, the tendency to integrate national research groups into Europe-wide projects has increased significantly. In the field of Metrology, the launch of specific programmes such as EMRP, co-funded by the European Commission and the participating countries, has driven this trend. This article describes the work of the CIEMAT Radionuclide Metrology laboratory and the main European projects in which it is currently taking part. (Author) 8 refs.

  7. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  8. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  9. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  10. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  11. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  12. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  13. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  14. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  15. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  16. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  17. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  18. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Czech Academy of Sciences Publication Activity Database

    Řeřucha, Šimon; Yacoot, A.; Pham, Minh Tuan; Čížek, Martin; Hucl, Václav; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 28, č. 4 (2017), s. 1-11, č. článku 045204. ISSN 0957-0233 R&D Projects: GA ČR GB14-36681G; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01; GA TA ČR TE01020233 Institutional support: RVO:68081731 Keywords : optical metrology * DBR laser diode * frequency stabilization * laser interferometry * dimensional metrology * iodine stabilization * displacement measurement Subject RIV: BH - Optics, Masers, Lasers OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.585, year: 2016

  19. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  20. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  1. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  2. System for automatic gauge block length measurement optimized for secondary length metrology

    Czech Academy of Sciences Publication Activity Database

    Buchta, Zdeněk; Šarbort, Martin; Čížek, Martin; Hucl, Václav; Řeřucha, Šimon; Pikálek, Tomáš; Dvořáčková, Š.; Dvořáček, F.; Kůr, J.; Konečný, P.; Weigl, M.; Lazar, Josef; Číp, Ondřej

    2017-01-01

    Roč. 49, JULY (2017), s. 322-331 ISSN 0141-6359 R&D Projects: GA TA ČR(CZ) TA03010663; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : low-coherence interferometry * laser interferometry * Gauge block * metrology Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 2.237, year: 2016

  3. Harish-Chandra Research Institute, Allahabad

    Indian Academy of Sciences (India)

    The Harish-Chandra Research Institute (known as the Mehta Research Institute of Math- ematics and Mathematical Physics until October 2000) came into existence in 1975, with a donation of some land and Rs. 40 lakhs from the B S Mehta Trust in Calcutta. With the aim of converting it into a top-class research Institute in ...

  4. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  5. Forum metrology 2009: control of optics, targets and optical analyzers; Forum metrologie 2009: controle des optiques, cibles et analyseurs optiques

    Energy Technology Data Exchange (ETDEWEB)

    Desenne, D.; Andre, R.

    2010-07-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  6. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  7. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  8. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  9. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  10. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  11. [German research institute/Max-Planck Institute for psychiatry].

    Science.gov (United States)

    Ploog, D

    1999-12-01

    The Deutsche Forschungsanstalt für Psychiatrie (DFA, German Institute for Psychiatric Research) in Munich was founded in 1917 bel Emil Kraepelin. For a long time it was the only institution in Germany entirely devoted to psychiatric research. Because of its strictly science-oriented and multidisciplinary approach it also became a model for institutions elsewhere. Kraepelin's ideas have certainly had a strong influence on psychiatry in the twentieth century. The fascinating and instructive history of the DFA reflects the central issues and determinants of psychiatric research. First, talented individuals are needed to conduct such research, and there was no lack in this regard. Second, the various topics chosen are dependent on the available methods and resources. And finally, the issues addressed and the ethical standards of the researchers are heavily dependent on the zeitgeist, as is evident in the three epochs of research at the DFA, from 1917 to 1933, from 1933 to 1945, and from the postwar period to the present. With the introduction of molecular biology and neuroimaging techniques into psychiatric research a change in paradigm took place and a new phase of the current epoch began.

  12. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  13. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  14. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  15. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  16. Institutional Researchers' Use of Qualitative Research Methods for Institutional Accountability at Two Year Colleges in Texas

    Science.gov (United States)

    Sethna, Bishar M.

    2011-01-01

    This study examined institutional researchers' use of qualitative methods to document institutional accountability and effectiveness at two-year colleges in Texas. Participants were Institutional Research and Effectiveness personnel. Data were collected through a survey consisting of closed and open ended questions which was administered…

  17. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  18. III International Conference on Laser and Plasma Researches and Technologies

    Science.gov (United States)

    2017-12-01

    A.P. Kuznetsov and S.V. Genisaretskaya III Conference on Plasma and Laser Research and Technologies took place on January 24th until January 27th, 2017 at the National Research Nuclear University "MEPhI" (NRNU MEPhI). The Conference was organized by the Institute for Laser and Plasma Technologies and was supported by the Competitiveness Program of NRNU MEPhI. The conference program consisted of nine sections: • Laser physics and its application • Plasma physics and its application • Laser, plasma and radiation technologies in industry • Physics of extreme light fields • Controlled thermonuclear fusion • Modern problems of theoretical physics • Challenges in physics of solid state, functional materials and nanosystems • Particle accelerators and radiation technologies • Modern trends of quantum metrology. The conference is based on scientific fields as follows: • Laser, plasma and radiation technologies in industry, energetic, medicine; • Photonics, quantum metrology, optical information processing; • New functional materials, metamaterials, “smart” alloys and quantum systems; • Ultrahigh optical fields, high-power lasers, Mega Science facilities; • High-temperature plasma physics, environmentally-friendly energetic based on controlled thermonuclear fusion; • Spectroscopic synchrotron, neutron, laser research methods, quantum mechanical calculation and computer modelling of condensed media and nanostructures. More than 250 specialists took part in the Conference. They represented leading Russian scientific research centers and universities (National Research Centre "Kurchatov Institute", A.M. Prokhorov General Physics Institute, P.N. Lebedev Physical Institute, Troitsk Institute for Innovation and Fusion Research, Joint Institute for Nuclear Research, Moscow Institute of Physics and Tecnology and others) and leading scientific centers and universities from Germany, France, USA, Canada, Japan. We would like to thank heartily all of

  19. Institutional Support : Kenya Institute for Public Policy Research and ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In 2006 the Government of Kenya passed an Act of Parliament making the Kenya Institute for Public Policy Research and Analysis (KIPPRA) the government's lead socioeconomic research institute. The Act exerts enormous demands on KIPPRA at a time when it is trying to recover from the senior staff turnover suffered in ...

  20. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  1. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  2. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  3. Using institutional theory in enterprise systems research

    DEFF Research Database (Denmark)

    Svejvig, Per

    2013-01-01

    This paper sets out to examine the use of institutional theory as a conceptually rich lens to study social issues of enterprise systems (ES) research. More precisely, the purpose is to categorize current ES research using institutional theory to develop a conceptual model that advances ES research...... model that advocates multi-level and multi-theory approaches and applies newer institutional aspects such as institutional logics. The findings show that institutional theory in ES research is in its infancy and adopts mainly traditional institutional aspects like isomorphism, with the organization....... Key institutional features are presented such as isomorphism, rationalized myths, and bridging macro and micro structures, and institutional logics and their implications for ES research are discussed. Through a literature review of 181 articles, of which 18 papers are selected, we build a conceptual...

  4. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  5. The Knowledge Management Research of Agricultural Scientific Research Institution

    Institute of Scientific and Technical Information of China (English)

    2010-01-01

    Based on the perception of knowledge management from experts specializing in different fields,and experts at home and abroad,the knowledge management of agricultural scientific research institution can build new platform,offer new approach for realization of explicit or tacit knowledge,and promote resilience and innovative ability of scientific research institution.The thesis has introduced functions of knowledge management research of agricultural science.First,it can transform the tacit knowledge into explicit knowledge.Second,it can make all the scientific personnel share knowledge.Third,it is beneficial to the development of prototype system of knowledge management.Fourth,it mainly researches the realization of knowledge management system.Fifth,it can manage the external knowledge via competitive intelligence.Sixth,it can foster talents of knowledge management for agricultural scientific research institution.Seventh,it offers the decision-making service for leaders to manage scientific program.The thesis also discusses the content of knowledge management of agricultural scientific research institution as follows:production and innovation of knowledge;attainment and organizing of knowledge;dissemination and share of knowledge;management of human resources and the construction and management of infrastructure.We have put forward corresponding countermeasures to further reinforce the knowledge management research of agricultural scientific research institution.

  6. Implementation of a metrology national network for radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, J.A. dos; Lopes, R.T.; Iwahara, A.; Tauhata, L.; Nicoli, I.G.; Dias, C.M.

    2003-01-01

    The Brazilian Laboratory for Metrology of Ionizing Radiation (LNMRI), of the Radioprotection and Dosimetry Institute, owned by the National Commission of Nuclear Energy (IRD/CNEN-RJ) has conducting since 1998, a comparison program for measurements of radiopharmaceuticals activities applied to patients at Nuclear Medicine sector aiming to the assessment the quality of those measurements. In the Rio de Janeiro state this program is successfully performed existing however the necessity to implement such program all over the country. This problem is being solved through the implementation of a reference laboratories network at several points in the brazilian territory. For the establishment and good working of the network the following factors must be observed: the radionuclide calibrators at the reference laboratories must be connected to the LNMRI; the operators must be trained by the staff or the LNMRI, and the quality guarantee must be assured through a comparison program. Presently, the network point placed in Brasilia is running and covering all the center-west region. The results obtained at this region show that the implementation of the metrology network is viable, important and achievable

  7. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  8. Do Research Participants Trust Researchers or Their Institution?

    Science.gov (United States)

    Guillemin, Marilys; Barnard, Emma; Allen, Anton; Stewart, Paul; Walker, Hannah; Rosenthal, Doreen; Gillam, Lynn

    2018-07-01

    Relationships of trust between research participants and researchers are often considered paramount to successful research; however, we know little about participants' perspectives. We examined whom research participants trusted when taking part in research. Using a qualitative approach, we interviewed 36 research participants, including eight Indigenous participants. Thematic analysis was used to analyze the data. This article focuses on findings related to non-Indigenous participants. In contrast to Indigenous participants, non-Indigenous participants placed their trust in research institutions because of their systems of research ethics, their reputation and prestige. Researchers working in non-Indigenous contexts need to be cognizant that the trust that participants place in them is closely connected with the trust that participants have in the institution.

  9. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  10. Low Vision Research at the Schepens Eye Research Institute

    National Research Council Canada - National Science Library

    D'Amore, Patricia

    2003-01-01

    This research proposal, Low Vision at the Schepens Eye Research Institute, is a collaborative effort on the part of four Investigators at the Institute whose goal is to advance the studies on low vision...

  11. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  12. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  13. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  14. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  15. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  16. Institutional Support : Ethiopian Development Research Institute ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    The Ethiopian Development Research Institute (EDRI) was established in 1999 and became operational in 2003 as a semi-autonomous organization accountable to ... International Water Resources Association, in close collaboration with IDRC, is holding a webinar titled “Climate change and adaptive water management: ...

  17. Research misconduct definitions adopted by U.S. research institutions.

    Science.gov (United States)

    Resnik, David B; Neal, Talicia; Raymond, Austin; Kissling, Grace E

    2015-01-01

    In 2000, the U.S. federal government adopted a uniform definition of research misconduct as fabrication, falsification, or plagiarism (FFP), which became effective in 2001. Institutions must apply this definition of misconduct to federally-funded research to receive funding. While institutions are free to adopt definitions of misconduct that go beyond the federal standard, it is not known how many do. We analyzed misconduct policies from 183 U.S. research institutions and coded them according to thirteen different types of behavior mentioned in the misconduct definition. We also obtained data on the institution's total research funding and public vs. private status, and the year it adopted the definition. We found that more than half (59%) of the institutions in our sample had misconduct policies that went beyond the federal standard. Other than FFP, the most common behaviors included in definitions were "other serious deviations" (45.4%), "significant or material violations of regulations" (23.0%), "misuse of confidential information" (15.8%), "misconduct related to misconduct" (14.8%), "unethical authorship other than plagiarism" (14.2%), "other deception involving data manipulation" (13.1%), and "misappropriation of property/theft" (10.4%). Significantly more definitions adopted in 2001 or later went beyond the federal standard than those adopted before 2001 (73.2% vs. 26.8%), and significantly more definitions adopted by institutions in the lower quartile of total research funding went beyond the federal standard than those adopted by institutions in the upper quartiles. Public vs. private status was not significantly associated with going beyond the federal standard.

  18. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2010-2011

    International Nuclear Information System (INIS)

    2012-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2010-2011 years: 1 - Forewords; 2 - Research activities: Quarks and Leptons, Astro-particles, Hadronic and nuclear matter, Theoretical physics, trans-disciplinary activities, publications, communications, partnerships; 3 - Teaching and research training; 4 - Technical services: electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service; 5 - Laboratory administration; 6 - Communication and scientific mediation (seminars, conferences, exhibitions..)

  19. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  20. Research at the Paul Scherrer Institut

    International Nuclear Information System (INIS)

    Walter, H.K.

    1996-01-01

    The Paul Scherrer Institut (PSI) is a multidisciplinary research institute for natural sciences and technology. In national and international collaboration with universities, other research institutes and industry, PSI is active in elementary particle physics, life sciences, solid-state physics, material sciences, nuclear and non-nuclear energy research, and energy-related ecology. PSI's priorities lie in research fields which are relevant to sustainable development, serve educational needs and are beyond the possibilities of a single university department. PSI develops and operates complex research installations open of the world's most powerful cyclotron, allowing to operate high intensity secondary pion and muon beams, a neutron spallation source and various applications in medicine and materials research. A short review on research at PSI is presented, with special concentration on particle physics experiments. (author)

  1. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  2. Applications of surface metrology in firearm identification

    International Nuclear Information System (INIS)

    Zheng, X; Soons, J; Vorburger, T V; Song, J; Renegar, T; Thompson, R

    2014-01-01

    Surface metrology is commonly used to characterize functional engineering surfaces. The technologies developed offer opportunities to improve forensic toolmark identification. Toolmarks are created when a hard surface, the tool, comes into contact with a softer surface and causes plastic deformation. Toolmarks are commonly found on fired bullets and cartridge cases. Trained firearms examiners use these toolmarks to link an evidence bullet or cartridge case to a specific firearm, which can lead to a criminal conviction. Currently, identification is typically based on qualitative visual comparison by a trained examiner using a comparison microscope. In 2009, a report by the National Academies called this method into question. Amongst other issues, they questioned the objectivity of visual toolmark identification by firearms examiners. The National Academies recommended the development of objective toolmark identification criteria and confidence limits. The National Institute of Standards and Technology (NIST) have applied its experience in surface metrology to develop objective identification criteria, measurement methods, and reference artefacts for toolmark identification. NIST developed the Standard Reference Material SRM 2460 standard bullet and SRM 2461 standard cartridge case to facilitate quality control and traceability of identifications performed in crime laboratories. Objectivity is improved through measurement of surface topography and application of unambiguous surface similarity metrics, such as the maximum value (ACCF MAX ) of the areal cross correlation function. Case studies were performed on consecutively manufactured tools, such as gun barrels and breech faces, to demonstrate that, even in this worst case scenario, all the tested tools imparted unique surface topographies that were identifiable. These studies provide scientific support for toolmark evidence admissibility in criminal court cases. (paper)

  3. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  4. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  5. Teaching and Research at Undergraduate Institutions

    Science.gov (United States)

    Garg, Shila

    2006-03-01

    My own career path has been non-traditional and I ended up at a primarily undergraduate institution by pure accident. However, teaching at a small college has been extremely rewarding to me, since I get to know and interact with my students, have an opportunity to work with them one-on-one and promote their intellectual growth and sense of social responsibility. One of the growing trends at undergraduate institutions in the past decade has been the crucial role of undergraduate research as part of the teaching process and the training of future scientists. There are several liberal arts institutions that expect research-active Faculty who can mentor undergraduate research activities. Often faculty members at these institutions consider their roles as teacher-scholars with no boundary between these two primary activities. A researcher who is in touch with the developments in his/her own field and contributes to new knowledge in the field is likely to be a more exciting teacher in the classroom and share the excitement of discovery with the students. At undergraduate institutions, there is generally very good support available for faculty development projects in both teaching and research. Often, there is a generous research leave program as well. For those who like advising and mentoring undergraduates and a teaching and learning centered paradigm, I will recommend a career at an undergraduate institution. In my presentation, I will talk about how one can prepare for such a career.

  6. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  7. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  8. Institutional Repositories in Indian Universities and Research Institutes: A Study

    Science.gov (United States)

    Krishnamurthy, M.; Kemparaju, T. D.

    2011-01-01

    Purpose: The purpose of this paper is to report on a study of the institutional repositories (IRs) in use in Indian universities and research institutes. Design/methodology/approach: Repositories in various institutions in India were accessed and described in a standardised way. Findings: The 20 repositories studied covered collections of diverse…

  9. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  10. Financial Support for Institutional Research, 1969-70.

    Science.gov (United States)

    Pieper, W. C., Jr.

    The Association for Institutional Research conducted a survey of all institutions of higher education in the U.S. and Canada in order to assess the number, size, and financial support of institutional research offices. Data were requested for the 1969-70 academic year. This report is based on the responses of 1,444 institutions that returned the…

  11. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  12. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  13. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  14. A Proposed Framework of Institutional Research Development Phases

    Science.gov (United States)

    Bosch, Anita; Taylor, John

    2011-01-01

    Globally, research has become a key driver for the achievement of status and the procurement of funding for higher education institutions. Although there is mounting pressure on institutions to become research active, many institutions are rooted in a strong tradition of teaching. These institutions find it challenging to develop research capacity…

  15. Homogeneous group, research, institution

    Directory of Open Access Journals (Sweden)

    Francesca Natascia Vasta

    2014-09-01

    Full Text Available The work outlines the complex connection among empiric research, therapeutic programs and host institution. It is considered the current research state in Italy. Italian research field is analyzed and critic data are outlined: lack of results regarding both the therapeutic processes and the effectiveness of eating disorders group analytic treatment. The work investigates on an eating disorders homogeneous group, led into an eating disorder outpatient service. First we present the methodological steps the research is based on including the strong connection among theory and clinical tools. Secondly clinical tools are described and the results commented. Finally, our results suggest the necessity of validating some more specifical hypothesis: verifying the relationship between clinical improvement (sense of exclusion and painful emotions reduction and specific group therapeutic processes; verifying the relationship between depressive feelings, relapses and transition trough a more differentiated groupal field.Keywords: Homogeneous group; Eating disorders; Institutional field; Therapeutic outcome

  16. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  17. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  18. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  19. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  20. Institutional failures and transaction costs of Bulgarian private research institutes

    OpenAIRE

    Nozharov, Shteryo

    2016-01-01

    The paper analyses the reasons for poor performance of private research institutes in Bulgaria. In this regard the Institutional Economics methods are used. A connection between smart growth policy goals and Bulgarian membership in EU is made. The gaps in the institutional environment are identified as well as measures for their elimination are proposed. The main accent of the study is put on the identification of transaction costs, arisen as a result of the failures of the institutional envi...

  1. Ensuring Food Integrity by Metrology and FAIR Data Principles

    Directory of Open Access Journals (Sweden)

    Michael Rychlik

    2018-05-01

    Full Text Available Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  2. Perspectives from the Aldo Leopold Wilderness Research Institute: The Wildland Research institute

    Science.gov (United States)

    J. M. Bowker; H. Ken Cordell; Neelam C. Poudyal

    2014-01-01

    The Wildland Research Institute (WRi) at the University of Leeds (UK) came into being in October 2009. Its origins go back to a United Kingdom research councilfunded seminar series called Wilderness Britain? which ran between 1998 and 2000 and was coordinated from the University of Leeds. This opened up the wider debate on wilderness and rewilding in the UK and later...

  3. 25 years TNO Road-Vehicles Research Institute

    NARCIS (Netherlands)

    1995-01-01

    Since the founding of the TNO Road-Vehicles Research Institute 25years ago, the institute has managed to develop a leading position in automotive research in several disciplines. A steady growth of the institute during the first 20 years has turned into a strong growth during the last 5 years. A

  4. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  5. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2006-2007

    International Nuclear Information System (INIS)

    2008-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2006-2007 years: 1 - Research topics: Quarks, Leptons and FUNDAMENTAL INTERACTIONS; Astro-particles; Hadronic and nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service); 3 - Research support (administration, documentation, partnership and valorisation, quality assurance, permanent training, open university); 4 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..)

  6. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  7. Joint Global Change Research Institute (JGCRI)

    Data.gov (United States)

    Federal Laboratory Consortium — The Joint Global Change Research Institute (JGCRI) is dedicated to understanding the problems of global climate change and their potential solutions. The Institute...

  8. Multi-Institution Research Centers: Planning and Management Challenges

    Science.gov (United States)

    Spooner, Catherine; Lavey, Lisa; Mukuka, Chilandu; Eames-Brown, Rosslyn

    2016-01-01

    Funding multi-institution centers of research excellence (CREs) has become a common means of supporting collaborative partnerships to address specific research topics. However, there is little guidance for those planning or managing a multi-institution CRE, which faces specific challenges not faced by single-institution research centers. We…

  9. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  10. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  11. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  12. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  13. The OpenAIRE Guide for Research Institutions

    Directory of Open Access Journals (Sweden)

    Gültekin Gürdal

    2013-11-01

    Full Text Available This text is transcript of OpenAIRE Guide which is prepared in order to help research institutions was released on 13.04.2011and translated with the cooperation of ANKOS Open Access and Institutional Repositories Grup members and OpenAIREplus project team of Turkey which is coordinated from Izmir Institute of Technology Library. OpenAIRE Project aims to support researchers in complying with the European Commission Seventh Framework Programme Open Access Pilot through a European Helpdesk System; support researchers in depositing their research publications in an institutional or disciplinary repository; build up an OpenAIRE portal and e-infrastructure for repository networks. The project will work in tadem with OpeanAIREplus Project which has the principal goal of creating a robust, participatory service for the cross-linking of peer-reviewed scientific publications and associated datasets.

  14. Auditing as Institutional Research: A Qualitative Focus.

    Science.gov (United States)

    Fetterman, David M.

    1991-01-01

    Internal institutional auditing can improve effectiveness and efficiency and protect an institution's assets. Many of the concepts and techniques used to analyze higher education institutions are qualitative in nature and suited to institutional research, including fiscal, operational, data-processing, investigative, management consulting,…

  15. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  16. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  17. Characterization of an extrapolation chamber and radiochromic films for verifying the metrological coherence among beta radiation fields

    International Nuclear Information System (INIS)

    Castillo, Jhonny Antonio Benavente

    2011-01-01

    The metrological coherence among standard systems is a requirement for assuring the reliability of dosimetric quantities measurements in ionizing radiation field. Scientific and technologic improvements happened in beta radiation metrology with the installment of the new beta secondary standard BSS2 in Brazil and with the adoption of the internationally recommended beta reference radiations. The Dosimeter Calibration Laboratory of the Development Center for Nuclear Technology (LCD/CDTN), in Belo Horizonte, implemented the BSS2 and methodologies are investigated for characterizing the beta radiation fields by determining the field homogeneity, the accuracy and uncertainties in the absorbed dose in air measurements. In this work, a methodology to be used for verifying the metrological coherence among beta radiation fields in standard systems was investigated; an extrapolation chamber and radiochromic films were used and measurements were done in terms of absorbed dose in air. The reliability of both the extrapolation chamber and the radiochromic film was confirmed and their calibrations were done in the LCD/CDTN in 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields. The angular coefficients of the extrapolation curves were determined with the chamber; the field mapping and homogeneity were obtained from dose profiles and isodose with the radiochromic films. A preliminary comparison between the LCD/CDTN and the Instrument Calibration Laboratory of the Nuclear and Energy Research Institute / Sao Paulo (LCI/IPEN) was carried out. Results with the extrapolation chamber measurements showed in terms of absorbed dose in air rates showed differences between both laboratories up to de -I % e 3%, for 90 Sr/ 90 Y, 85 Kr and 147 Pm beta radiation fields, respectively. Results with the EBT radiochromic films for 0.1, 0.3 and 0.15 Gy absorbed dose in air, for the same beta radiation fields, showed differences up to 3%, -9% and -53%. The beta radiation field mappings with

  18. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  19. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  20. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  1. National Nuclear Research Institute Annual Report 2013

    International Nuclear Information System (INIS)

    2014-01-01

    The report highlights the activities of the National Nuclear Research Institute (NNRI) of the Ghana Atomic Energy Commission for the year 2013, grouped under the following headings: Centres under the institute namely Nuclear Reactors Research Centre (NRRC); Accelerator Research Centre (ARC); Engineering Services Centre (ESC); National Radioactive Waste Management Centre (NRWMC); Nuclear Chemistry and Environmental Research Centre (NCERC); Nuclear Applications Centre (NAC) and National Data Centre (NDC). (A. B.)

  2. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  3. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  4. [Managing a health research institute: towards research excellence through continuous improvement].

    Science.gov (United States)

    Olmedo, Carmen; Buño, Ismael; Plá, Rosa; Lomba, Irene; Bardinet, Thierry; Bañares, Rafael

    2015-01-01

    Health research institutes are a strategic commitment considered the ideal environment to develop excellence in translational research. Achieving quality research requires not only a powerful scientific and research structure but also the quality and integrity of management systems that support it. The essential instruments in our institution were solid strategic planning integrated into and consistent with the system of quality management, systematic evaluation through periodic indicators, measurement of key user satisfaction and internal audits, and implementation of an innovative information management tool. The implemented management tools have provided a strategic thrust to our institute while ensuring a level of quality and efficiency in the development and management of research that allows progress towards excellence in biomedical research. Copyright © 2015 SESPAS. Published by Elsevier Espana. All rights reserved.

  5. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2008-2009

    International Nuclear Information System (INIS)

    2010-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2008-2009 years: 1 - Forewords; 2 - Quarks and Leptons; 3 - Astro-particles; 4 - Hadronic and nuclear matter; 5 - Theoretical physics; 6 - Radiation sciences; 7 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Accelerators, LABRADOR metrology service); 8 - Laboratory administration; 9 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..)

  6. Final Report: Performance Engineering Research Institute

    Energy Technology Data Exchange (ETDEWEB)

    Mellor-Crummey, John [Rice Univ., Houston, TX (United States)

    2014-10-27

    This document is a final report about the work performed for cooperative agreement DE-FC02-06ER25764, the Rice University effort of Performance Engineering Research Institute (PERI). PERI was an Enabling Technologies Institute of the Scientific Discovery through Advanced Computing (SciDAC-2) program supported by the Department of Energy's Office of Science Advanced Scientific Computing Research (ASCR) program. The PERI effort at Rice University focused on (1) research and development of tools for measurement and analysis of application program performance, and (2) engagement with SciDAC-2 application teams.

  7. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  8. Evaluation of the state water-resources research institutes

    Science.gov (United States)

    Ertel, M.O.

    1988-01-01

    Water resources research institutes, as authorized by the Water Resources Research Act of 1984 (Public Law 98-242), are located in each state and in the District of Columbia, Guam, Puerto Rico , and the Virgin Islands. Public Law 98-242 mandated an onsite evaluation of each of these institutes to determine whether ' . . .the quality and relevance of its water resources research and its effectiveness as an institution for planning, conducting, and arranging for research warrant its continued support in the national interest. ' The results of these evaluations, which were conducted between September 1985 and June 1987, are summarized. The evaluation teams found that all 54 institutes are meeting the basic objectives of the authorizing legislation in that they: (1) use the grant funds to support research that addresses water problems of state and regional concern; (2) provide opportunities for training of water scientists through student involvement on research projects; and (3) promote the application of research results through preparation of technical reports and contributions to the technical literature. The differences among institutes relate primarily to degrees of effectiveness, and most often are determined by the financial, political, and geographical contexts in which the institutes function and by the quality of their leadership. (Lantz-PTT)

  9. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2002-2003

    International Nuclear Information System (INIS)

    2004-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2002-2003 years: 1 - Research topics: Quarks and Leptons; Astro-particles; Hadronic matter; Nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Accelerators, Instrumentation, Radiation protection, LABRADOR metrology service, Administration); 3 - Transverse activities (Training, Science and society, Communication, Documentation); 4 - Scientific life (Scientific production, participation to scientific bodies); 5 - Manpower (Permanent training, Staff)

  10. Institute of Nuclear physics of Lyon - IPNL, Activity Report 2004-2005

    International Nuclear Information System (INIS)

    2006-01-01

    The Institute of Nuclear physics of Lyon (IPNL) is under the joint supervision of the Claude Bernard University of Lyon (UCBL) and the National Institute of Nuclear and particle physics (IN2P3) of the CNRS (National Centre for Scientific Research). The laboratory studies the properties and interactions of sub-atomic particles. Its activities are largely experimental, with groups involved in a wide range of national and international collaborations concerning particle and astro-particle physics, nuclear matter and the interactions of ions and cluster with matter. In addition, the Institute has important interdisciplinary and applied research activities related to: detectors R and D, confinement of radioactive waste, bio-medical imaging, measurement of environmental levels of radioactive elements. This document presents the activity of the Centre during the 2004-2005 years: 1 - Research topics: Quarks and Leptons; Astro-particles; Hadronic and nuclear matter; Theoretical physics; trans-disciplinary activities; 2 - Technical support to experiments (electronics, Computers, Mechanics, Instrumentation, Radiation protection, Accelerators, LABRADOR metrology service, Administration); 3 - Transverse activities (Training, Science and society, Communication, Documentation); 4 - Scientific life (publications, seminars, conferences, exhibitions, PhDs..); 5 - Manpower (Permanent training, Staff)

  11. Sensors for Metering Heat Flux Area Density and Metrological Equipment for the Heat Flux Density Measurement

    Science.gov (United States)

    Doronin, D. O.

    2018-04-01

    The demand in measuring and studies of heat conduction of various media is very urgent now. This article considers the problem of heat conduction monitoring and measurement in various media and materials in any industries and branches of science as well as metrological support of the heat flux measurement equipment. The main study objects are both the sensors manufactured and facilities onto which these sensors will be installed: different cladding structures of the buildings, awnings, rocket fairings, boiler units, internal combustion engines. The Company develops and manufactures different types of heat flux sensors: thermocouple, thin-film, heterogeneous gradient as well as metrological equipment for the gauging calibration of the heat flux density measurement. The calibration shall be performed using both referencing method in the unit and by fixed setting of the heat flux in the unit. To manufacture heterogeneous heat flux gradient sensors (HHFGS) the Company developed and designed a number of units: diffusion welding unit, HHFGS cutting unit. Rather good quality HHFGS prototypes were obtained. At this stage the factory tests on the equipment for the heat flux density measurement equipment are planned. A high-sensitivity heat flux sensor was produced, now it is tested at the Construction Physics Research Institute (Moscow). It became possible to create thin-film heat flux sensors with the sensitivity not worse than that of the sensors manufactured by Captec Company (France). The Company has sufficient premises to supply the market with a wide range of sensors, to master new sensor manufacture technologies which will enable their application range.

  12. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  13. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    OpenAIRE

    Berthold, J.; Imkamp, D.

    2013-01-01

    "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma). The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer...

  14. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  15. Radiant Research. Institute for Energy Technology 1948-98

    International Nuclear Information System (INIS)

    Njoelstad, Olav

    1999-01-01

    Institutt for Atomenergi (IFA), or Institute for Atomic Energy, at Kjeller, Norway, was founded in 1948. The history of the institute as given in this book was published in 1999 on the occasion of the institute's 50th anniversary. The scope of the institute was to do research and development as a foundation for peaceful application of nuclear energy and radioactive substances in Norway. The book tells the story of how Norway in 1951 became the first country after the four superpowers and Canada to have its own research reactor. After the completion of the reactor, the institute experienced a long and successful period and became the biggest scientific and technological research institute in Norway. Three more reactors were built, one in Halden and two at Kjeller. Plans were developed to build nuclear powered ships and nuclear power stations. It became clear, however, in the 1970s, that there was no longer political support for nuclear power in Norway, and it was necessary for the institute to change its research profile. In 1980, the institute changed its name to Institutt for energiteknikk (IFE), or Institute for energy technology, to signal the broadened scope. The book describes this painful but successful readjustment and shows how IFE in the 1980s and 1990s succeeded in using its special competence from the nuclear field to establish special competence in new research fields with great commercial potential

  16. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  17. 76 FR 11765 - Education Research and Special Education Research Grant Programs; Institute of Education Sciences...

    Science.gov (United States)

    2011-03-03

    ... DEPARTMENT OF EDUCATION Education Research and Special Education Research Grant Programs; Institute of Education Sciences; Overview Information; Education Research and Special Education Research.... SUMMARY: The Director of the Institute of Education Sciences (Institute) announces the Institute's FY 2012...

  18. Institutional radioactive waste management in the Nuclear Research Institute Rez plc

    International Nuclear Information System (INIS)

    Kovarik, P.; Svoboda, K.; Podlaha, J.

    2008-01-01

    Nuclear research institute Rez, plc. (mentioned below as NRI) has had a dominant position in the area of the nuclear research and development in the Czech Republic, the Central and the Eastern Europe. Naturally, the radioactive waste management is an integral part of the nuclear industry, research and development. For that reason, there is Centre of the radioactive waste management (mentioned below as Centre) in the NRI. This Centre is engaged in the radioactive waste treatment, decontamination, characterisation, decommissioning and other relevant activities. This paper describes the system of technology and other information about institutional radioactive waste management in the NRI. (authors)

  19. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  20. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  1. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  2. Application of Vision Metrology to In-Orbit Measurement of Large Reflector Onboard Communication Satellite for Next Generation Mobile Satellite Communication

    Science.gov (United States)

    Akioka, M.; Orikasa, T.; Satoh, M.; Miura, A.; Tsuji, H.; Toyoshima, M.; Fujino, Y.

    2016-06-01

    Satellite for next generation mobile satellite communication service with small personal terminal requires onboard antenna with very large aperture reflector larger than twenty meters diameter because small personal terminal with lower power consumption in ground base requires the large onboard reflector with high antenna gain. But, large deployable antenna will deform in orbit because the antenna is not a solid dish but the flexible structure with fine cable and mesh supported by truss. Deformation of reflector shape deteriorate the antenna performance and quality and stability of communication service. However, in case of digital beam forming antenna with phased array can modify the antenna beam performance due to adjustment of excitation amplitude and excitation phase. If we can measure the reflector shape precisely in orbit, beam pattern and antenna performance can be compensated with the updated excitation amplitude and excitation phase parameters optimized for the reflector shape measured every moment. Softbank Corporation and National Institute of Information and Communications Technology has started the project "R&D on dynamic beam control technique for next generation mobile communication satellite" as a contracted research project sponsored by Ministry of Internal Affairs and Communication of Japan. In this topic, one of the problem in vision metrology application is a strong constraints on geometry for camera arrangement on satellite bus with very limited space. On satellite in orbit, we cannot take many images from many different directions as ordinary vision metrology measurement and the available area for camera positioning is quite limited. Feasibility of vision metrology application and general methodology to apply to future mobile satellite communication satellite is to be found. Our approach is as follows: 1) Development of prototyping simulator to evaluate the expected precision for network design in zero order and first order 2) Trial

  3. Danish Space Research Institute

    International Nuclear Information System (INIS)

    1991-01-01

    The present report presents a description of the activities and finances of the Danish Space Reserach Institute during 1989 and 1990. The research deals with infrared astronomy (ISOPHOT), X-ray astronomy (EXPECT/SODART), hard X-ray astronomy (WATCH), satellite projects and sounding rocket experiments. (CLS)

  4. Overview of research potential of Institute for Nuclear Research

    International Nuclear Information System (INIS)

    Ciocanescu, Marin

    2007-01-01

    The main organizations involved in nuclear power production in Romania, under supervision of Presidency, Prime Minister and Parliament are: CNCAN (National Commission for Nuclear Activities Control), Nuclear Agency, Ministry of Economy and Commerce, ANDRAD (Waste Management Agency), SNN (Nuclearelectrica National Society), RAAN (Romanian Authority for Nuclear Activities), ICN (Institute for Nuclear Research - Pitesti), SITON (Center of Design and Engineering for Nuclear Projects- Bucharest); ROMAG-PROD (Heavy Water Plant), CNE-PROD (Cernavoda Nuclear Power Plant - Production Unit), CNE-INVEST (Cernavoda Nuclear Power Plant -Investments Unit), FCN (Nuclear Fuel Factory). The Institute for Nuclear Research, Pitesti INR, Institute for Nuclear Research, Pitesti is endowed with a TRIGA Reactor, Hot Cells, Materials Laboratories, Nuclear Fuel, Nuclear Safety Laboratories, Nuclear Fuel, Nuclear Safety. Waste management. Other research centers and laboratories implied in nuclear activities are: ICIT, National Institute for cryogenics and isotope technologies at Rm Valcea Valcea. with R and D activity devoted to heavy water technologies, IFIN, Institute for nuclear physics and engineering, Bucharest, as well as the educational institutions involved in atomic energy applications and University research, Politechnical University Bucharest, University of Bucharest, University of Pitesti, etc. The INR activity outlined, i.e. the nuclear power research as a scientific and technical support for the Romanian nuclear power programme, mainly dedicated to the existing NPP in the country (CANDU). Focused with priority are: - Nuclear Safety (behavior of plant materials, components, installations during accident conditions and integrity investigations); - Radioactive Waste Management Radioactive; - Radioprotection; Product and services supply for NPP. INR Staff numbers 320 R and D qualified and experienced staff, 240 personnel in devices and prototype workshops and site support

  5. Booklet of the Research Institute of Clinical Medicine

    International Nuclear Information System (INIS)

    Todua, F.; Jgamadze, N.; Todua, N.; Beriashvili, Z.; Chelishvili, M.; Todua, I.; Chovelidze, Sh. et al.

    2012-01-01

    Research Institute of Clinical Medicine is one of the biggest university diagnostic and treatment centre in Georgia with unique modern diagnostic and treatment apparatus. The institute is acknowledged as a leader in various trends of radiology and surgery. The Research Institute of Clinical Medicine was founded in 1991. It is the leading scientific establishment in the field of medicine. The scientific-research work of the Institute is coordinated by the National Academy of Sciences of Georgia. The main scientific trend of the Institute is the Early Complex Diagnostics and Treatment. The scientific activity of the Institute is led by the Scientific Council. Institute achieved remarkable success since its foundation: It has been defended 56 theses for Candidate of Medical Sciences and 16 for Doctor of Medical Sciences; About 30 post-graduate students and more than 200 radiologists have taken training courses in radiology. Nowadays they work in different regions of Georgia, 21 inventions took out patents. It has been published 2000 scientific works and 9 monographs. (authors)

  6. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    International Nuclear Information System (INIS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility. (paper)

  7. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  8. Institutional shared resources and translational cancer research

    Directory of Open Access Journals (Sweden)

    De Paoli Paolo

    2009-06-01

    Full Text Available Abstract The development and maintenance of adequate shared infrastructures is considered a major goal for academic centers promoting translational research programs. Among infrastructures favoring translational research, centralized facilities characterized by shared, multidisciplinary use of expensive laboratory instrumentation, or by complex computer hardware and software and/or by high professional skills are necessary to maintain or improve institutional scientific competitiveness. The success or failure of a shared resource program also depends on the choice of appropriate institutional policies and requires an effective institutional governance regarding decisions on staffing, existence and composition of advisory committees, policies and of defined mechanisms of reporting, budgeting and financial support of each resource. Shared Resources represent a widely diffused model to sustain cancer research; in fact, web sites from an impressive number of research Institutes and Universities in the U.S. contain pages dedicated to the SR that have been established in each Center, making a complete view of the situation impossible. However, a nation-wide overview of how Cancer Centers develop SR programs is available on the web site for NCI-designated Cancer Centers in the U.S., while in Europe, information is available for individual Cancer centers. This article will briefly summarize the institutional policies, the organizational needs, the characteristics, scientific aims, and future developments of SRs necessary to develop effective translational research programs in oncology. In fact, the physical build-up of SRs per se is not sufficient for the successful translation of biomedical research. Appropriate policies to improve the academic culture in collaboration, the availability of educational programs for translational investigators, the existence of administrative facilitations for translational research and an efficient organization

  9. Institutional shared resources and translational cancer research.

    Science.gov (United States)

    De Paoli, Paolo

    2009-06-29

    The development and maintenance of adequate shared infrastructures is considered a major goal for academic centers promoting translational research programs. Among infrastructures favoring translational research, centralized facilities characterized by shared, multidisciplinary use of expensive laboratory instrumentation, or by complex computer hardware and software and/or by high professional skills are necessary to maintain or improve institutional scientific competitiveness. The success or failure of a shared resource program also depends on the choice of appropriate institutional policies and requires an effective institutional governance regarding decisions on staffing, existence and composition of advisory committees, policies and of defined mechanisms of reporting, budgeting and financial support of each resource. Shared Resources represent a widely diffused model to sustain cancer research; in fact, web sites from an impressive number of research Institutes and Universities in the U.S. contain pages dedicated to the SR that have been established in each Center, making a complete view of the situation impossible. However, a nation-wide overview of how Cancer Centers develop SR programs is available on the web site for NCI-designated Cancer Centers in the U.S., while in Europe, information is available for individual Cancer centers. This article will briefly summarize the institutional policies, the organizational needs, the characteristics, scientific aims, and future developments of SRs necessary to develop effective translational research programs in oncology.In fact, the physical build-up of SRs per se is not sufficient for the successful translation of biomedical research. Appropriate policies to improve the academic culture in collaboration, the availability of educational programs for translational investigators, the existence of administrative facilitations for translational research and an efficient organization supporting clinical trial recruitment

  10. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  11. Central Institute for Nuclear Research (1956 - 1979)

    International Nuclear Information System (INIS)

    Flach, G.; Bonitz, M.

    1979-12-01

    The Central Institute for Nuclear Research (ZfK) of the Academy of Sciences of the GDR is presented. This first overall survey covers the development of the ZfK since 1956, the main research activities and results, a description of the departments responsible for the complex implementation of nuclear research, the social services for staff and the activities of different organizations in the largest central institute of the Academy of Sciences of the GDR. (author)

  12. Institutional Research's Role in Strategic Planning

    Science.gov (United States)

    Voorhees, Richard A.

    2008-01-01

    Institutions that have organized and centralized their data enjoy an obvious advantage in grappling with strategic planning and other issues. As the drumbeat for accountability, planning, and demonstrating effectiveness to internal and external stakeholders intensifies, the stature and importance of institutional research offices on most campuses…

  13. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  14. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  15. Retooling Institutional Support Infrastructure for Clinical Research

    Science.gov (United States)

    Snyder, Denise C.; Brouwer, Rebecca N.; Ennis, Cory L.; Spangler, Lindsey L.; Ainsworth, Terry L.; Budinger, Susan; Mullen, Catherine; Hawley, Jeffrey; Uhlenbrauck, Gina; Stacy, Mark

    2016-01-01

    Clinical research activities at academic medical centers are challenging to oversee. Without effective research administration, a continually evolving set of regulatory and institutional requirements can detract investigator and study team attention away from a focus on scientific gain, study conduct, and patient safety. However, even when the need for research administration is recognized, there can be struggles over what form it should take. Central research administration may be viewed negatively, with individual groups preferring to maintain autonomy over processes. Conversely, a proliferation of individualized approaches across an institution can create inefficiencies or invite risk. This article describes experiences establishing a unified research support office at the Duke University School of Medicine based on a framework of customer support. The Duke Office of Clinical Research was formed in 2012 with a vision that research administration at academic medical centers should help clinical investigators navigate the complex research environment and operationalize research ideas. The office provides an array of services that have received high satisfaction ratings. The authors describe the ongoing culture change necessary for success of the unified research support office. Lessons learned from implementation of the Duke Office of Clinical Research may serve as a model for other institutions undergoing a transition to unified research support. PMID:27125563

  16. Ionising radiation metrology for the metallurgical industry

    Directory of Open Access Journals (Sweden)

    García-Toraño E.

    2014-01-01

    Full Text Available Every year millions tons of steel are produced worldwide from recycled scrap loads. Although the detection systems in the steelworks prevent most orphan radioactive sources from entering the furnace, there is still the possibility of accidentally melting a radioactive source. The MetroMetal project, carried out in the frame of the European Metrology Research Programme (EMRP, addresses this problem by studying the existing measurement systems, developing sets of reference sources in various matrices (cast steel, slag, fume dust and proposing new detection instruments. This paper presents the key lines of the project and describes the preparation of radioactive sources as well as the intercomparison exercises used to test the calibration and correction methods proposed within the project.

  17. Alligator Rivers Region Research Institute: annual research summary 1989-1990

    International Nuclear Information System (INIS)

    1991-01-01

    The Alligator Rivers Region Research Institute (ARRRI) research activities are associated with an assessment of environmental effect of mining in the region. While emphasis on baseline research is now much reduced, some projects are still necessary because of significant changes in the Magela Creek system, because new areas of proposed mining have been identified (e.g. Coronation Hill) and because the emphasis now being placed on rehabilitation research requires a sound knowledge of the Region's flora. The ARRRI rehabilitation research program has concentrated on the Ranger mine site, principally because it is at a critical planning stage where detailed research information is required. With regard to the development of techniques, research at the Institute has led to the development of specific analytical methods or protocols that can be used in assessing environmental impact. 39 tabs., 42 figs

  18. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    Directory of Open Access Journals (Sweden)

    J. Berthold

    2013-02-01

    Full Text Available "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma. The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer, more accurately and more flexibly'' with the aid of examples.

  19. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  20. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  1. Critical evaluation of the Laboratory of Radionuclide Metrology results of the Institute of Radiation Protection and Dosimetry - IRD in the international key comparisons of activity measurement of radioactive solutions

    International Nuclear Information System (INIS)

    Iwahara, A.; Tauhata, L.; Silva, C.J. da

    2014-01-01

    The Radionuclide Metrology Laboratory (LMR) of LNMRI/IRD has been participating since 1984, in international key-comparisons of activity measurement of radioactive sources organized by BIPM and the Regional Metrology Organizations as EURAMET and APMP. The measured quantity is the activity of a radioactive solution, in becquerel (Bq), containing the radionuclide involved and the of measurement methods used are 4αβ-γ coincidence/anticoincidence, coincidence sum-peak and liquid scintillation. In this paper a summary of the methods used and a performance analysis of the results obtained are presented. (author)

  2. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  3. [Hospital biomedical research through the satisfaction of a Health Research Institute professionals].

    Science.gov (United States)

    Olmedo, C; Plá, R; Bellón, J M; Bardinet, T; Buño, I; Bañares, R

    2015-01-01

    A Health Research Institute is a powerful strategic commitment to promote biomedical research in hospitals. To assess user satisfaction is an essential quality requirement. The aim of this study is to evaluate the professional satisfaction in a Health Research Institute, a hospital biomedical research centre par excellence. Observational study was conducted using a satisfaction questionnaire on Health Research Institute researchers. The explored dimensions were derived from the services offered by the Institute to researchers, and are structured around 4 axes of a five-year Strategic Plan. A descriptive and analytical study was performed depending on adjustment variables. Internal consistency was also calculated. The questionnaire was completed by 108 researchers (15% response). The most valued strategic aspect was the structuring Areas and Research Groups and political communication and dissemination. The overall rating was 7.25 out of 10. Suggestions for improvement refer to the need for help in recruitment, and research infrastructures. High internal consistency was found in the questionnaire (Cronbach alpha of 0.9). So far research policies in health and biomedical environment have not been sufficiently evaluated by professionals in our field. Systematic evaluations of satisfaction and expectations of key stakeholders is an essential tool for analysis, participation in continuous improvement and advancing excellence in health research. Copyright © 2015 SECA. Published by Elsevier Espana. All rights reserved.

  4. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  5. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  6. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  7. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  8. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  9. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  10. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  11. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  12. Academic Libraries’ Role in Improving Institutions Research Impact

    KAUST Repository

    Tamarkin, Molly

    2015-11-11

    In the changing landscape of scientific research and scholarly communication, importance of “quality in research”, “reviewed research” and “reviewed publications” in qualifying for the ratings and rankings are widely discussed. While publishing the research pieces in peer-reviewed and highly ranked journals are increasingly important, there are different methods and tools to be in place at Institutional level to increase researchers’ profile and the ranking of the institutions. As a young research based university created in 2009, King Abdullah University of Science and Technology (KAUST) focuses on the bibliometrics and altemetrics tools, author affiliations, author naming and plug-ins to different search engines, research evaluation systems as well as to research repositories. The University has launched an institutional repository in September 2012 as a home for the intellectual outputs of KAUST researchers, and then adopted the first institutional open access mandate in the Arab region effective June 31, 2014. Integration with ORCID became a key element in this process and the best way to ensure data quality for researcher’s scientific contributions systematically. We will present the inclusion and creation of ORCID identifiers in the existing systems as an institutional member to ORCID, and the creation of dedicated integration tools with Current Research Information System (CRIS) as a standardized common resource to monitor KAUST research outputs. We will also present our experiences in awareness programs, trainings, outreach, implementation of systems and tools like PlumX, as well as our approach in improving the research impact and profiling our Institution’s research to the world.

  13. Academic Libraries’ Role in Improving Institutions Research Impact

    KAUST Repository

    Tamarkin, Molly; Vijayakumar, J.K.; Baessa, Mohamed A.; Grenz, Daryl M.

    2015-01-01

    In the changing landscape of scientific research and scholarly communication, importance of “quality in research”, “reviewed research” and “reviewed publications” in qualifying for the ratings and rankings are widely discussed. While publishing the research pieces in peer-reviewed and highly ranked journals are increasingly important, there are different methods and tools to be in place at Institutional level to increase researchers’ profile and the ranking of the institutions. As a young research based university created in 2009, King Abdullah University of Science and Technology (KAUST) focuses on the bibliometrics and altemetrics tools, author affiliations, author naming and plug-ins to different search engines, research evaluation systems as well as to research repositories. The University has launched an institutional repository in September 2012 as a home for the intellectual outputs of KAUST researchers, and then adopted the first institutional open access mandate in the Arab region effective June 31, 2014. Integration with ORCID became a key element in this process and the best way to ensure data quality for researcher’s scientific contributions systematically. We will present the inclusion and creation of ORCID identifiers in the existing systems as an institutional member to ORCID, and the creation of dedicated integration tools with Current Research Information System (CRIS) as a standardized common resource to monitor KAUST research outputs. We will also present our experiences in awareness programs, trainings, outreach, implementation of systems and tools like PlumX, as well as our approach in improving the research impact and profiling our Institution’s research to the world.

  14. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  15. Institutional research and development, FY 1987

    International Nuclear Information System (INIS)

    Struble, G.L.; Lawler, G.M.; Crawford, R.B.; Kirvel, R.D.; Peck, T.M.; Prono, J.K.; Strack, B.S.

    1987-01-01

    The Institutional Research and Development program at Lawrence Livermore National Laboratory fosters exploratory work to advance science and technology, disciplinary research to develop innovative solutions to problems in various scientific fields, and long-term interdisciplinary research in support of defense and energy missions. This annual report describes research funded under this program for FY87

  16. Information Science Research Institute. Quarterly progress report

    Energy Technology Data Exchange (ETDEWEB)

    Nartker, T.A.

    1994-06-30

    This is a second quarter 1194 progress report on the UNLV Information Science Research Institute. Included is symposium activity; staff activity; document analysis program; text retrieval program; institute activity; and goals.

  17. Research on volume metrology method of large vertical energy storage tank based on internal electro-optical distance-ranging method

    Science.gov (United States)

    Hao, Huadong; Shi, Haolei; Yi, Pengju; Liu, Ying; Li, Cunjun; Li, Shuguang

    2018-01-01

    A Volume Metrology method based on Internal Electro-optical Distance-ranging method is established for large vertical energy storage tank. After analyzing the vertical tank volume calculation mathematical model, the key processing algorithms, such as gross error elimination, filtering, streamline, and radius calculation are studied for the point cloud data. The corresponding volume values are automatically calculated in the different liquids by calculating the cross-sectional area along the horizontal direction and integrating from vertical direction. To design the comparison system, a vertical tank which the nominal capacity is 20,000 m3 is selected as the research object, and there are shown that the method has good repeatability and reproducibility. Through using the conventional capacity measurement method as reference, the relative deviation of calculated volume is less than 0.1%, meeting the measurement requirements. And the feasibility and effectiveness are demonstrated.

  18. Institute for Radiation Research and Nuclear Physics. Progress report 1990

    International Nuclear Information System (INIS)

    Strohmaier, B.

    1990-01-01

    In this progress report all of the abstracts - except two - are of INIS interest. The topics of the branch sessions are (1) theoretical particle physics (2) nuclear reactions (3) evaluation of nuclear data (4) radionuclide metrology (5) applications of nuclear methods and (6) nuclear information processing. (botek)

  19. Institute for Radiation Research and Nuclear Physics. Progress report 1990

    Energy Technology Data Exchange (ETDEWEB)

    Strohmaier, B [comp.

    1991-12-31

    In this progress report all of the abstracts - except two - are of INIS interest. The topics of the branch sessions are (1) theoretical particle physics (2) nuclear reactions (3) evaluation of nuclear data (4) radionuclide metrology (5) applications of nuclear methods and (6) nuclear information processing. (botek).

  20. Institutional research and development, FY 1987

    Energy Technology Data Exchange (ETDEWEB)

    Struble, G.L.; Lawler, G.M.; Crawford, R.B.; Kirvel, R.D.; Peck, T.M.; Prono, J.K.; Strack, B.S. (eds.)

    1987-01-01

    The Institutional Research and Development program at Lawrence Livermore National Laboratory fosters exploratory work to advance science and technology, disciplinary research to develop innovative solutions to problems in various scientific fields, and long-term interdisciplinary research in support of defense and energy missions. This annual report describes research funded under this program for FY87. (DWL)

  1. Forschungszentrum Rossendorf, Institute of Safety Research. Annual report 2004

    International Nuclear Information System (INIS)

    Weiss, F.P.; Rindelhardt, U.

    2005-01-01

    The Institute of Safety Research (ISR) is one of the six Research Institutes of Forschungszentrum Rossendorf e.V. (FZR e.V.) which is a member institution of the Wissenschaftsgemeinschaft Gottfried Wilhelm Leibniz (Leibniz Association). Together with the Institute of Radiochemistry, ISR constitutes the research programme ''Safety and Environment'' which is one from three scientific programmes of FZR. In the framework of this research programme, the institute is responsible for the two subprogrammes ''Plant and Reactor Safety'' and ''Thermal Fluid Dynamics'', respectively. We also provide minor contributions to the sub-programme ''Radio-Ecology''. Moreover, with the development of a pulsed photo-neutron source at the radiation source ELBE (Electron linear accelerator for beams of high brilliance and low emittance), we are involved in a networking project carried out by the FZR Institute of Nuclear and Hadron Physics, the Physics Department of TU Dresden, and ISR. (orig.)

  2. Marketing based on knowledge as a basis for strategy of research institution – on the example of the Packaging Research Institute

    Directory of Open Access Journals (Sweden)

    Stanislaw Tkaczyk

    2013-09-01

    Full Text Available Basis for marketing activities of COBRO – Packaging Research Institute are two main issues. First of all, as a small research and development centre, COBRO has no funds to carry out specialized marketing department. On the other hand, due to huge growth of packaging market, all needs of stakeholders – companies but also other research institutions seeking consortium members – cannot be entirely identified or forecasted, and practical solutions are created in the course of cooperation. For all that reasons Institute has developed its own concept of the knowledge-based marketing, which means more flexible use of the potential of academics and research employees.

  3. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  4. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  5. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  6. THE CONTRIBUTION OF RESEARCH INSTITUTES IN EUREKA PROJECTS

    NARCIS (Netherlands)

    VANROSSUM, W; CABO, PG

    1995-01-01

    Technological cooperation between industrial firms and research institutes is studied at the project level. The various forms of cooperation, and the instances in which they are advantageous, are discussed. The authors then focus on situations in which the research institute acts as 'knowledge

  7. Research Networking Systems: The State of Adoption at Institutions Aiming to Augment Translational Research Infrastructure.

    Science.gov (United States)

    Obeid, Jihad S; Johnson, Layne M; Stallings, Sarah; Eichmann, David

    Fostering collaborations across multiple disciplines within and across institutional boundaries is becoming increasingly important with the growing emphasis on translational research. As a result, Research Networking Systems that facilitate discovery of potential collaborators have received significant attention by institutions aiming to augment their research infrastructure. We have conducted a survey to assess the state of adoption of these new tools at the Clinical and Translational Science Award (CTSA) funded institutions. Survey results demonstrate that most CTSA funded institutions have either already adopted or were planning to adopt one of several available research networking systems. Moreover a good number of these institutions have exposed or plan to expose the data on research expertise using linked open data, an established approach to semantic web services. Preliminary exploration of these publically-available data shows promising utility in assessing cross-institutional collaborations. Further adoption of these technologies and analysis of the data are needed, however, before their impact on cross-institutional collaboration in research can be appreciated and measured.

  8. Alligator Rivers Regions Research Institute research report 1983-84

    International Nuclear Information System (INIS)

    1984-01-01

    The Institute undertakes and coordinates research required to ensure the protection of the environment in the Alligator Rivers Region from any consequences resulting from the mining and processing of uranium ore. Research projects outlined are in aquatic biology, terrestrial ecology, analytical chemistry, environmental radioactivity and geomorphology

  9. Forschungszentrum Rossendorf, Institute of Safety Research. Annual report 2004

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, F.P.; Rindelhardt, U. (eds.)

    2005-07-01

    The Institute of Safety Research (ISR) is one of the six Research Institutes of Forschungszentrum Rossendorf e.V. (FZR e.V.) which is a member institution of the Wissenschaftsgemeinschaft Gottfried Wilhelm Leibniz (Leibniz Association). Together with the Institute of Radiochemistry, ISR constitutes the research programme ''Safety and Environment'' which is one from three scientific programmes of FZR. In the framework of this research programme, the institute is responsible for the two subprogrammes ''Plant and Reactor Safety'' and ''Thermal Fluid Dynamics'', respectively. We also provide minor contributions to the sub-programme ''Radio-Ecology''. Moreover, with the development of a pulsed photo-neutron source at the radiation source ELBE (Electron linear accelerator for beams of high brilliance and low emittance), we are involved in a networking project carried out by the FZR Institute of Nuclear and Hadron Physics, the Physics Department of TU Dresden, and ISR. (orig.)

  10. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  11. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  12. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    Science.gov (United States)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  13. A decade of innovation with laser speckle metrology

    Science.gov (United States)

    Ettemeyer, Andreas

    2003-05-01

    Speckle Pattern Interferometry has emerged from the experimental substitution of holographic interferometry to become a powerful problem solving tool in research and industry. The rapid development of computer and digital imaging techniques in combination with minaturization of the optical equipment led to new applications which had not been anticipated before. While classical holographic interferometry had always required careful consideration of the environmental conditions such as vibration, noise, light, etc. and could generally only be performed in the optical laboratory, it is now state of the art, to handle portable speckle measuring equipment at almost any place. During the last decade, the change in design and technique has dramatically influenced the range of applications of speckle metrology and opened new markets. The integration of recent research results into speckle measuring equipment has led to handy equipment, simplified the operation and created high quality data output.

  14. Institute of Nuclear Physics, mission and scientific research activities

    International Nuclear Information System (INIS)

    Zoto, J.; Zaganjori, S.

    2004-01-01

    The Institute of Nuclear Physics (INP) was established in 1971 as a scientific research institution with main goal basic scientific knowledge transmission and transfer the new methods and technologies of nuclear physics to the different economy fields. The organizational structure and main research areas of the Institute are described. The effects of the long transition period of the Albanian society and economy on the Institution activity are also presented

  15. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  16. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  17. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine; Metodologia para a implementacao de uma rede de referencia para metrologia de radiofarmacos no Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Santos, Joyra Amaral dos

    2004-01-15

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides {sup 67}Ga, {sup 123}I, {sup 131}I,{sup 99m}Tc and {sup 210}Tl were evaluated and {sup 201}TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  18. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  19. Dissemination research: the University of Wisconsin Population Health Institute.

    Science.gov (United States)

    Remington, Patrick L; Moberg, D Paul; Booske, Bridget C; Ceraso, Marion; Friedsam, Donna; Kindig, David A

    2009-08-01

    Despite significant accomplishments in basic, clinical, and population health research, a wide gap persists between research discoveries (ie, what we know) and actual practice (ie, what we do). The University of Wisconsin Population Health Institute (Institute) researchers study the process and outcomes of disseminating evidence-based public health programs and policies into practice. This paper briefly describes the approach and experience of the Institute's programs in population health assessment, health policy, program evaluation, and education and training. An essential component of this dissemination research program is the active engagement of the practitioners and policymakers. Each of the Institute's programs conducts data collection, analysis, education, and dialogue with practitioners that is closely tied to the planning, implementation, and evaluation of programs and policies. Our approach involves a reciprocal exchange of knowledge with non-academic partners, such that research informs practice and practice informs research. Dissemination research serves an important role along the continuum of research and is increasingly recognized as an important way to improve population health by accelerating the translation of research into practice.

  20. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  1. Open Access Publishing in Indian Premier Research Institutions

    Science.gov (United States)

    Bhat, Mohammad Hanief

    2009-01-01

    Introduction: Publishing research findings in open access journals is a means of enhancing visibility and consequently increasing the impact of publications. This study provides an overview of open access publishing in premier research institutes of India. Method: The publication output of each institution from 2003 to 2007 was ascertained through…

  2. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    Science.gov (United States)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  3. Water and Environmental Research Institute of the Western Pacific

    Science.gov (United States)

    Water and Environmental Research Institute of the Western Pacific - University of Guam Skip to main entered the website of the Water and Environmental Research Institute of the Western Pacific (WERI) at the CNMI and the FSM. Research Programs Weather and Climate Surface Water & Watersheds Groundwater &

  4. Developing institutional repository at National Institute for Materials Science : Researchers directory service “SAMURAI” and Research Collection Library

    Science.gov (United States)

    Takaku, Masao; Tanifuji, Mikiko

    National Institute for Materials Science (NIMS) has developed an institutional repository “NIMS eSciDoc” since 2008. eSciDoc is an open source repository software made in Germany, and provides E-Science infrastructures through its flexible data model and rich Web APIs. NIMS eScidoc makes use of eSciDoc functions to benefit for NIMS situations. This article also focuses on researchers directory service “SAMURAI” in addition to NIMS eSciDoc. Successfully launched in October 2010, SAMURAI provides approximately 500 researchers' profile and publication information.

  5. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  6. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  7. Institutional Support : Institute for Research on Political Economy in ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    The Institut de recherche empirique en économie politique (IREEP) is an independent nonprofit organization established in 2004 with a view to contributing to the education of the next generation of teachers and researchers in political economy in Bénin and West Africa. IREEP has successfully integrated academic training ...

  8. TTI Phase 2 Institutional Support: Economic Policy Research Centre ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    TTI Phase 2 Institutional Support: Economic Policy Research Centre ... the Economic Policy Research Centre's (EPRC) role as a credible public policy institution in ... IWRA/IDRC webinar on climate change and adaptive water management.

  9. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  10. Gas Research Institute research program summary: Goals and accomplishments

    International Nuclear Information System (INIS)

    1991-07-01

    Gas Research Institute's research and development programs pursue technologies that maximize the value of gas energy services while minimizing the cost of supplying and delivering gaseous fuels. Four program areas, Supply Options, End Use, Gas Operations, and Crosscutting Research, are described in the report, together with related project titles and numbers. Also included are summaries of 1990 research results, research collaboration and supported work, and patents and licensing agreements. Glossaries of budget and program terms and of acronyms and abbreviations often used in the GRI literature are added

  11. Research Review of the Institute of African Studies

    African Journals Online (AJOL)

    Please note: As of 2013 the Research Review of the Institute of African Studies is now publishing under the title Contemporary Journal of African Studies. You can view the CJAS pages on AJOL here: http://www.ajol.info/index.php/contjas/index. The Research Review of the Institute of African Studies at the University of ...

  12. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  13. Decommissioning of the research reactors at the Russian Research Centre Kurchatov Institute

    International Nuclear Information System (INIS)

    Ponomarev-Stepnoy, N.N.; Ryantsev, E.P.; Kolyadin, V.I.; Kucharkin, N.E.; Melkov, E.S.; Gorlinsky, Yu.E.; Kyznetsova, T.I.; Bulkin, B.K.

    2002-01-01

    The Kurchatov Institute is the largest research center of Russia in the field of nuclear science and engineering. It comprises more than 10 research institutes and scientific-technological complexes carrying out research work in the field of safe development of atomic engineering, controlled thermonuclear fusion, and plasma physics, nuclear physics and elementary particle physics, research reactors, radiation materials technology, solid state physics and superconductivity, molecular and chemical physics, and also perspective know-how's, information science and ecology. This report is basically devoted to the decommissioning of the research reactor installations, in particular to the reactor MR because of the volume and complexity of actions involved. (author)

  14. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  15. Adhesive Bonding for Optical Metrology Systems in Space Applications

    International Nuclear Information System (INIS)

    Gohlke, Martin; Schuldt, Thilo; Braxmaier, Claus; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis

    2015-01-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10 -15 range for longer integration times. The EM setup was thermally cycled and vibration tested. (paper)

  16. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  17. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  18. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  19. Japan Atomic Energy Research Institute in the 21st century

    International Nuclear Information System (INIS)

    Sato, Y.

    2001-01-01

    Major nuclear research institutes in Japan are the Japan Atomic Energy Research Institute (JAERI), Nuclear Cycle Development Institute (JNC), National Research Institute of Radiological Science (NIRS), and the Institute of Physical and Chemical Research (RIKEN). In the 50s and 60s JAERI concentrated on the introduction of nuclear technology from overseas. Energy security issues led to the development of a strong nuclear power programme in the next two decades resulting in Japan having 50 light water cooled nuclear power plants in operation. Japan also worked on other reactor concepts. The current emphasis of JAERI is on advanced reactors and nuclear fusion. Its budget of 270 million US$ supports five research establishments. JAERI has strong collaboration with industry and university system on nuclear and other advanced research topics (neutron science, photon science). In many areas Japan has strong international links. JAERI has also been transferring know-how on radioisotope and radiation applications to the developing countries particularly through IAEA-RCA mechanisms. (author)

  20. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  1. National Nuclear Research Institute (NNRI) - Annual Report 2015

    International Nuclear Information System (INIS)

    2015-01-01

    The 2015 report of the National Nuclear Research Institute (NNRI) of the Ghana Atomic Energy Commission (GAEC) lists various programmes undertaken by the Institute under the following headings: Water resources programme, Energy Research programme, Environmental and Health Safety Programme, Digital Instrumentation programme, Nuclear Applications and Materals programme and Radiation Occupational safety programme. Also, included are abstracts of publications and technical reports.

  2. On in-vivo skin topography metrology and replication techniques

    International Nuclear Information System (INIS)

    Rosen, B-G; Blunt, L; Thomas, T R

    2005-01-01

    Human skin metrology is an area of growing interest for many disciplines both in research and for commercial purposes. Changes in the skin topography are an early stage diagnosis tool not only for diseases but also give indication of the response to medical and cosmetic treatment. This paper focuses on the evaluation of in vivo and in vitro methodologies for accurate measurements of skin and outlines the quantitative characterisation of the skin topography. The study shows the applicability of in-vivo skin topography characterisation and also the advantages and limitations compared to conventional replication techniques. Finally, aspects of stripe projection methodology and 3D characterisation are discussed as a background to the proposed methodology in this paper

  3. PREFACE: Advanced Metrology for Ultrasound in Medicine12-14 May 2010

    Science.gov (United States)

    Shaw, Adam

    2011-02-01

    Conference logo AMUM 2010 is the successor to the first AMUM conference held in 2004, which was the conference featured in the very first issue of the Journal of Physics: Conference Series (http://iopscience.iop.org/1742-6596/1/1). Like its predecessor, AMUM 2010 proved to be very successful and provided a fantastic opportunity for the world's ultrasound experts from medicine, industry and academia to explore the measurement challenges presented by new and emerging clinical ultrasound equipment. 2010 was a very difficult year economically for much of the world, and then air travel over Europe was thrown into chaos by prolonged ash clouds from the Icelandic volcano Eyjafjallajökull in April. So it was something of a relief to see such good attendance; this is a clear indication of the need for this conference with its focus on metrology in medical ultrasound. There were a total of 70 attendees: of these 34 were from the UK, 16 from the rest of Europe, and 20 from outside Europe. National Metrology Institutes from no fewer than nine different countries were represented, some of them very new to the field, some with a recent growth of interest in ultrasound, and others with a well-established reputation. I was particularly pleased to see younger researchers from those NMIs new to ultrasound attend and have the chance to mix with such a wide grouping of their peers and present their work. There was also a strong attendance by industry including the major imaging companies such as GE Healthcare, Siemens, Philips Healthcare, Hitachi Medical, Aloka, Medison and the newer Supersonic Imagine; and more specialist companies such as Imasonic, Onda Corporation, Unisyn Medical Technologies, and Polytec and Precision Acoustics, our partner in organizing the event. The conference was spread over 3 days with plenty of time for discussions over coffee; the afternoon of the 3rd day was dedicated to laboratory visits within NPL. We were fortunate to have some excellent Keynote

  4. An Analysis of Canadian Institute for Health Research Funding for Research on Autism Spectrum Disorder

    Directory of Open Access Journals (Sweden)

    R. Deonandan

    2016-01-01

    Full Text Available We examined patterns of Canadian Institute for Health Research (CIHR funding on autism spectrum disorder (ASD research. From 1999 to 2013, CIHR funded 190 ASD grants worth $48 million. Biomedical research received 43% of grants (46% of dollars, clinical research 27% (41%, health services 10% (7%, and population health research 8% (3%. The greatest number of grants was given in 2009, but 2003 saw the greatest amount. Funding is clustered in a handful of provinces and institutions, favouring biomedical research and disfavouring behavioural interventions, adaptation, and institutional response. Preference for biomedical research may be due to the detriment of clinical research.

  5. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  6. Research Ethics: Institutional Review Board Oversight of Art Therapy Research

    Science.gov (United States)

    Deaver, Sarah P.

    2011-01-01

    By having their research proposals reviewed and approved by Institutional Review Boards (IRBs), art therapists meet important ethical principles regarding responsibility to research participants. This article provides an overview of the history of human subjects protections in the United States; underlying ethical principles and their application…

  7. Research in Institutional Economics in Management Science

    DEFF Research Database (Denmark)

    Foss, Kirsten; Foss, Nicolai Juul

    This report maps research in institutional economics in management science in the European Union for the 1995 to 2002 period. The reports applies Internet search based on a university listing, search on journal databases, key informants and an internet-based survey. 195 researchers are identified....... In (sub-)disciplinary terms, organization, strategy, corporate governance, and international business are the major areas of application of institutional economics ideas. In terms of countries, the EU strongholds are Holland, Denmark, UK, and Germany. There is apparently no or very little relevant...... research in Ireland, Portugal, Luxembourg and Greece. Based on the findings of the report, it seems warranted to characterize the EU research effort in the field as being rather dispersed and uncoordinated. Thus, there are no specialized journals, associations or PhD courses. This state of affairs...

  8. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  9. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  10. Potsdam Institute for Climate Impact Research: Computer simulation -climate impact research. Final report

    International Nuclear Information System (INIS)

    1993-07-01

    Climate impact assessment is a new field of research which, owing to international and national efforts to understand and cope with the impending global climate changes on a global, regional and local level, has rapidly become a central field of research of the Federal Ministry of Research and Technology. In contrast to other countries, Germany had no research facilities and infrastructure that could be used. The Potsdam Institute for Climate Impact Research was to provide the infrastructure basis for climate impact research in Germany. The Institute was founded by the BMFT and the Land of Brandenburg. (orig.) [de

  11. The Aldo Leopold Wilderness Research Institute: a national wilderness research program in support of wilderness management

    Science.gov (United States)

    Vita Wright

    2000-01-01

    The Aldo Leopold Wilderness Research Institute strives to provide scientific leadership in developing and applying the knowledge necessary to sustain wilderness ecosystems and values. Since its 1993 dedication, researchers at this federal, interagency Institute have collaborated with researchers and managers from other federal, academic and private institutions to...

  12. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  13. UNLV Information Science Research Institute. Quarterly progress report

    International Nuclear Information System (INIS)

    Nartker, T.A.

    1994-01-01

    This document summarizes the activities and progress for the 1994 Fall quarter for the UNLV Information Science Research Institute. Areas covered include: Symposium activity, Staff activity, Document analysis program, Text-retrieval program, and Institute activity

  14. UNLV Information Science Research Institute. Quarterly progress report

    Energy Technology Data Exchange (ETDEWEB)

    Nartker, T.A.

    1994-12-31

    This document summarizes the activities and progress for the 1994 Fall quarter for the UNLV Information Science Research Institute. Areas covered include: Symposium activity, Staff activity, Document analysis program, Text-retrieval program, and Institute activity.

  15. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  16. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  17. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  18. Coordinate metrology accuracy of systems and measurements

    CERN Document Server

    Sładek, Jerzy A

    2016-01-01

    This book focuses on effective methods for assessing the accuracy of both coordinate measuring systems and coordinate measurements. It mainly reports on original research work conducted by Sladek’s team at Cracow University of Technology’s Laboratory of Coordinate Metrology. The book describes the implementation of different methods, including artificial neural networks, the Matrix Method, the Monte Carlo method and the virtual CMM (Coordinate Measuring Machine), and demonstrates how these methods can be effectively used in practice to gauge the accuracy of coordinate measurements. Moreover, the book includes an introduction to the theory of measurement uncertainty and to key techniques for assessing measurement accuracy. All methods and tools are presented in detail, using suitable mathematical formulations and illustrated with numerous examples. The book fills an important gap in the literature, providing readers with an advanced text on a topic that has been rapidly developing in recent years. The book...

  19. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  20. Metrology for hydrogen energy applications: a project to address normative requirements

    Science.gov (United States)

    Haloua, Frédérique; Bacquart, Thomas; Arrhenius, Karine; Delobelle, Benoît; Ent, Hugo

    2018-03-01

    Hydrogen represents a clean and storable energy solution that could meet worldwide energy demands and reduce greenhouse gases emission. The joint research project (JRP) ‘Metrology for sustainable hydrogen energy applications’ addresses standardisation needs through pre- and co-normative metrology research in the fast emerging sector of hydrogen fuel that meet the requirements of the European Directive 2014/94/EU by supplementing the revision of two ISO standards that are currently too generic to enable a sustainable implementation of hydrogen. The hydrogen purity dispensed at refueling points should comply with the technical specifications of ISO 14687-2 for fuel cell electric vehicles. The rapid progress of fuel cell technology now requires revising this standard towards less constraining limits for the 13 gaseous impurities. In parallel, optimized validated analytical methods are proposed to reduce the number of analyses. The study aims also at developing and validating traceable methods to assess accurately the hydrogen mass absorbed and stored in metal hydride tanks; this is a research axis for the revision of the ISO 16111 standard to develop this safe storage technique for hydrogen. The probability of hydrogen impurity presence affecting fuel cells and analytical techniques for traceable measurements of hydrogen impurities will be assessed and new data of maximum concentrations of impurities based on degradation studies will be proposed. Novel validated methods for measuring the hydrogen mass absorbed in hydrides tanks AB, AB2 and AB5 types referenced to ISO 16111 will be determined, as the methods currently available do not provide accurate results. The outputs here will have a direct impact on the standardisation works for ISO 16111 and ISO 14687-2 revisions in the relevant working groups of ISO/TC 197 ‘Hydrogen technologies’.

  1. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  2. Welcome to Surface Topography: Metrology and Properties

    Science.gov (United States)

    Leach, Richard

    2013-11-01

    I am delighted to welcome readers to this inaugural issue of Surface Topography: Metrology and Properties (STMP). In these days of citation indexes and academic reviews, it is a tough, and maybe a brave, job to start a new journal. But the subject area has never been more active and we are seeing genuine breakthroughs in the use of surfaces to control functional performance. Most manufactured parts rely on some form of control of their surface characteristics. The surface is usually defined as that feature on a component or device, which interacts with either the environment in which it is housed (or in which the device operates), or with another surface. The surface topography and material characteristics of a part can affect how fluids interact with it, how the part looks and feels and how two bearing parts will slide together. The need to control, and hence measure, surface features is becoming increasingly important as we move into a miniaturized world. Surface features can become the dominant functional features of a part and may become large in comparison to the overall size of an object. Research into surface texture measurement and characterization has been carried out for over a century and is now more active than ever, especially as new areal surface texture specification standards begin to be introduced. The range of disciplines for which the function of a surface relates to its topography is very diverse; from metal sheet manufacturing to art restoration, from plastic electronics to forensics. Until now, there has been no obvious publishing venue to bring together all these applications with the underlying research and theory, or to unite those working in academia with engineering and industry. Hence the creation of Surface Topography: Metrology and Properties . STMP will publish the best work being done across this broad discipline in one journal, helping researchers to share common themes and highlighting and promoting the extraordinary benefits this

  3. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  4. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  5. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  6. Undergraduate Research: Three Institutions' Success Stories. Research Corporation Annual Report, 1999.

    Science.gov (United States)

    Research Corp., Tucson, AZ.

    This annual report describes the 1999 activities of Research Corporation, a foundation that supports research programs at colleges and universities in the United States and Canada. It focuses on three primarily undergraduate institutions, two private and one public, that are active producers of published research and students going into the…

  7. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  8. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  9. Collaborative Oceanographic Research Opportunities with Schmidt Ocean Institute

    Science.gov (United States)

    Zykov, V.

    2014-12-01

    Schmidt Ocean Institute (http://www.schmidtocean.org/) was founded by Dr. Eric Schmidt and Wendy Schmidt in 2009 to support frontier oceanographic research and exploration to expand the understanding of the world's oceans through technological advancement, intelligent, data-rich observation and analysis, and open sharing of information. Schmidt Ocean Institute operates a state-of-the-art globally capable research vessel Falkor (http://www.schmidtocean.org/story/show/47). After two years of scientific operations in the Atlantic Ocean, Gulf of Mexico, Caribbean, Eastern and Central Pacific, R/V Falkor is now preparing to support research in the Western Pacific and Eastern Indian Oceans in 2015 and 2016. As part of the long term research program development for Schmidt Ocean Institute, we aim to identify initiatives and projects that demonstrate strong alignment with our strategic interests. We focus on scientific opportunities that highlight effective use of innovative technologies to better understand the oceans, such as, for example, research enabled with remotely operated and autonomous vehicles, acoustics, in-situ sensing, telepresence, etc. Our technology-first approach to ocean science gave rise to infrastructure development initiatives, such as the development of a new full ocean depth Hybrid Remotely Operated Vehicle, new 6000m scientific Autonomous Underwater Vehicle, live HD video streaming from the ship to YouTube, shipboard high performance supercomputing, etc. We also support projects focusing on oceanographic technology research and development onboard R/V Falkor. We provide our collaborators with access to all of R/V Falkor's facilities and instrumentation in exchange for a commitment to make the resulting scientific data openly available to the international oceanographic community. This presentation aims to expand awareness about the interests and capabilities of Schmidt Ocean Institute and R/V Falkor among our scientific audiences and further

  10. Digital Repository of Research Institutes – RCIN

    Directory of Open Access Journals (Sweden)

    Kamila Kaczyńska

    2014-03-01

    Full Text Available The paper describes the project of Digital Repository of Scientific Institutes RCIN and presents opportunities for promoting science by digitization and sharing them on the Internet. The Repository has been created by the 16 Scientific Institutes in Warsaw, Krakow and Bialowieza to modernize the science-research and IT infrastructure, to increase digital resources of mathematical, technical, natural and medical sciences, and to popularize and promote of Polish science. That dissemination and popularization of science affects its development and competitiveness in the international arena and it allows transfer of research results to the economy. In addition, Institutes of RCIN providing contemporary and archival materials of science, support the intellectual capital of Polish science and raise awareness of professional literature of search on the Internet. Project RCIN is implemented in the years 2010–2014 and financing is provided by the funds of the European Fund of Regional Development.

  11. Guidelines for an environmental code of ethics for research institutions

    International Nuclear Information System (INIS)

    Gardusi, Claudia; Aquino, Afonso Rodrigues de

    2009-01-01

    The purpose of this work is to reflect about actions that may contribute to the creation of mechanisms to protect the environment in the development of research projects at research institutions, specifically the Nuclear and Energy Research Institute - IPEN. A brief review of part of the ethical values applied to the process of scientific development during the old, medieval and modern periods is presented, showing the split of the nature ethical principles. It is also reported an overview of the creation of codes of ethics applied to research institutions. Moreover, criteria are presented to settle guidelines to protect the environment during the development of research projects. (author)

  12. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  13. Introducing NASA's Solar System Exploration Research Virtual Institute

    Science.gov (United States)

    Pendleton, Yvonne

    The Solar System Exploration Research Virtual Institute (SSERVI) is focused on the Moon, near Earth asteroids, and the moons of Mars. Comprised of competitively selected teams across the U.S., a growing number of international partnerships around the world, and a small central office located at NASA Ames Research Center, the institute advances collaborative research to bridge science and exploration goals. As a virtual institute, SSERVI brings unique skills and collaborative technologies for enhancing collaborative research between geographically disparate teams. SSERVI is jointly funded through the NASA Science Mission Directorate and the NASA Human Exploration and Operations Mission Directorate. Current U.S. teams include: Dr. Jennifer L. Heldmann, NASA Ames Research Center, Moffett Field, CA; Dr. William Farrell, NASA Goddard Space Flight Center, Greenbelt, MD; Prof. Carlé Pieters, Brown University, Providence, RI; Prof. Daniel Britt, University of Central Florida, Orlando, FL; Prof. Timothy Glotch, Stony Brook University, Stony Brook, NY; Dr. Mihaly Horanyi, University of Colorado, Boulder, CO; Dr. Ben Bussey, Johns Hopkins Univ. Applied Physics Laboratory, Laurel, MD; Dr. David A. Kring, Lunar and Planetary Institute, Houston, TX; and Dr. William Bottke, Southwest Research Institute, Boulder, CO. Interested in becoming part of SSERVI? SSERVI Cooperative Agreement Notice (CAN) awards are staggered every 2.5-3yrs, with award periods of five-years per team. SSERVI encourages those who wish to join the institute in the future to engage current teams and international partners regarding potential collaboration, and to participate in focus groups or current team activities now. Joining hand in hand with international partners is a winning strategy for raising the tide of Solar System science around the world. Non-U.S. science organizations can propose to become either Associate or Affiliate members on a no-exchange-of-funds basis. Current international partners

  14. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  15. Research Training, Institutional Support, and Self-Efficacy: Their Impact on Research Activity of Social Workers

    Directory of Open Access Journals (Sweden)

    Mark Thomas Lynch

    2009-11-01

    Full Text Available While the expectations for social work practitioners to do research have increased, their involvement is still limited. We know little about what factors influence involvement in research. The present study proposes a theoretical model that hypothesizes research training and institutional support for research as the exogenous variables, research self-efficacy as an intervening variable, and research activity as the endogenous variable. The study tests the model using data collected from a random sample of social workers. To a large degree the data support the model. Research self-efficacy has a significant effect on research activity. It is also an important mediating variable for the effect of institutional support on research activity. Although institutional support for research has no direct effect, it has an indirect effect via self-efficacy on research activity. However, research training has no effect on research activity and self-efficacy in research. The implications of these findings are discussed.

  16. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  17. The place of highly accurate methods by RNAA in metrology

    International Nuclear Information System (INIS)

    Dybczynski, R.; Danko, B.; Polkowska-Motrenko, H.; Samczynski, Z.

    2006-01-01

    With the introduction of physical metrological concepts to chemical analysis which require that the result should be accompanied by uncertainty statement written down in terms of Sl units, several researchers started to consider lD-MS as the only method fulfilling this requirement. However, recent publications revealed that in certain cases also some expert laboratories using lD-MS and analyzing the same material, produced results for which their uncertainty statements did not overlap, what theoretically should not have taken place. This shows that no monopoly is good in science and it would be desirable to widen the set of methods acknowledged as primary in inorganic trace analysis. Moreover, lD-MS cannot be used for monoisotopic elements. The need for searching for other methods having similar metrological quality as the lD-MS seems obvious. In this paper, our long-time experience on devising highly accurate ('definitive') methods by RNAA for the determination of selected trace elements in biological materials is reviewed. The general idea of definitive methods based on combination of neutron activation with the highly selective and quantitative isolation of the indicator radionuclide by column chromatography followed by gamma spectrometric measurement is reminded and illustrated by examples of the performance of such methods when determining Cd, Co, Mo, etc. lt is demonstrated that such methods are able to provide very reliable results with very low levels of uncertainty traceable to Sl units

  18. Forschungszentrum Rossendorf. Institute of Safety Research. Annual report 1998

    International Nuclear Information System (INIS)

    Weiss, F.P.; Rindelhardt, U.

    1999-07-01

    The Institute of Safety Research is one of the five scientific institutes of Forschungszentrum Rossendorf e.V. The Forschungszentrum Rossendorf is a member of the 'Wissenschaftsgemeinschaft Gottfried Wilhelm Leibniz' und is funded by the Federal Ministry of Education and Research and by the Saxon Ministry of Science and Arts with 50% each. The research work of the institute aims at the assessment and increase of the safety and environmental sustainability of technical plants. The emphasis is put on the development and validation of mathematical and physical models for process and plant analysis, and of techniques for process and components monitoring. Subject of investigations are equally nuclear plants and installations of process industries. (orig.)

  19. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  20. 78 FR 13097 - Electric Power Research Institute; Seismic Evaluation Guidance

    Science.gov (United States)

    2013-02-26

    ... NUCLEAR REGULATORY COMMISSION [NRC-2013-0038] Electric Power Research Institute; Seismic... Electric Power Research Institute (EPRI)-1025287, ``Seismic Evaluation Guidance: Screening, Prioritization... guidance and clarification of an acceptable approach to assist nuclear power reactor licensees when...

  1. Rehabilitation Research at the National Institutes of Health:

    Science.gov (United States)

    Bean, Jonathan F.; Damiano, Diane; Ehrlich-Jones, Linda; Fried-Oken, Melanie; Jette, Alan; Jung, Ranu; Lieber, Rick L.; Malec, James F.; Mueller, Michael J.; Ottenbacher, Kenneth J.; Tansey, Keith E.; Thompson, Aiko

    2017-01-01

    Abstract Approximately 53 million Americans live with a disability. For decades, the National Institutes of Health (NIH) has been conducting and supporting research to discover new ways to minimize disability and enhance the quality of life of people with disabilities. After the passage of the American With Disabilities Act, the NIH established the National Center for Medical Rehabilitation Research with the goal of developing and implementing a rehabilitation research agenda. Currently, a total of 17 institutes and centers at NIH invest more than $500 million per year in rehabilitation research. Recently, the director of NIH, Dr Francis Collins, appointed a Blue Ribbon Panel to evaluate the status of rehabilitation research across institutes and centers. As a follow-up to the work of that panel, NIH recently organized a conference under the title “Rehabilitation Research at NIH: Moving the Field Forward.” This report is a summary of the discussions and proposals that will help guide rehabilitation research at NIH in the near future. This article is being published almost simultaneously in the following six journals: American Journal of Occupational Therapy, American Journal of Physical Medicine and Rehabilitation, Archives of Physical Medicine and Rehabilitation, Neurorehabilitation and Neural Repair, Physical Therapy, and Rehabilitation Psychology. Citation information is as follows: Frontera WR, Bean JF, Damiano D, et al. Am J Phys Med Rehabil. 2017;97(4):393–403. PMID:28499004

  2. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  3. The law for the Japan Atomic Energy Research Institute

    International Nuclear Information System (INIS)

    1985-01-01

    The Act for Japan Atomic Energy Research Institute has been promulgated anew. Contents are the following : general rules, officials, advisors and personnel, duties, financial affairs and accounts, supervision, miscellaneous rules, penal provisions, and additional rules. (In the additional rules, the merger into JAERI of Japan Nuclear Ship Research and Development Agency is treated.) Japan Atomic Energy Research Institute conducts research etc. for the development of atomic energy comprehensively and efficiently, thereby contributing to the promotion of atomic energy research, development and utilization, according to the Atomic Energy Fundamental Act. Duties are atomic energy basic and application research, reactor relation, training of the personnel, RIs relation, etc. (Mori, K.)

  4. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  5. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  6. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  7. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  8. Quality Research in Higher Education Institutions in Oman: Some Views of Teacher Researchers

    Directory of Open Access Journals (Sweden)

    Ahmed Ali Saleh Al Ajmi

    2015-06-01

    Full Text Available Academic research remains a prime source of knowledge and innovation for higher education institutions (HEIs that strive to grow, expand and develop their academic reputations and standards. Yet, research informs teaching practices, shapes social changes and it has financial outcomes for HEIs. Therefore, it is imperative to develop institutional policies and strategies for promoting and sustaining quality research in HEIs in Oman. There are a number of international frameworks and models which have been developed to measure research quality in HEIs around the world. For example, bibliometrics were used to assess research quality in HEIs in the UK because bibliometric data can provide a number of component variables. An appropriate bibliometric model may include components such as output volume, diversity of outputs, citation volume, journal impact factor and average citations per publication, etc. Moreover, other popular examples of models for assessing research quality are Multidimensional Research Assessment Matrix (MRAM used in Europe and the UK’s Research Assessment Exercise (RAE. However, neither of the above mentioned models is adopted by HEIs in Oman. Thus, the current study is an attempt to explore some institutional, international, logistic and pragmatic factors that impact academic research and publication quality, review popular international research quality assessment models and explore the possibility of applying them in the Omani context. To achieve the study objectives, 20 teacher researchers were surveyed and the findings and recommendations were presented. Keywords: Quality Research, HEIs in Oman, International Models, Factors, Teacher Researchers' Views

  9. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  10. Biotechnology and Nuclear Agriculture Research Institute (BNARI) at a glance

    International Nuclear Information System (INIS)

    2007-01-01

    Biotechnology and Nuclear Agriculture Research Institute (BNARI) was established in 1993 as one of the research, development and technology transfer institutes of the Ghana Atomic Energy Commission (GAEC). This was to help the GAEC to expand its research and development in the area of biotechnology and nuclear agriculture, which have been found to have a major impact on the agricultural development in countries involved in peaceful application of nuclear energy. The main objective of the Institute is to explore and exploit the application of isotopes, ionizing radiation and biotechnologies for increased agricultural and economic development of Ghana and to help the Country attain self-sufficiency in food and agriculture in order to alleviate malnutrition, hunger and poverty. This brochure describes the organizational structure; research facilities and programmes; services of the various departments of the Institute as well as achievements

  11. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  12. Comparison of ATLAS tilecal module No. 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    International Nuclear Information System (INIS)

    Batusov, V.; Budagov, Yu.; Gayde, J.C.

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within ± 70 μm. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects

  13. Comparison of ATLAS Tilecal MODULE No 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    CERN Document Server

    Batusov, V; Gayde, J C; Khubua, J I; Lasseur, C; Lyablin, M V; Miralles-Verge, L; Nessi, Marzio; Rusakovitch, N A; Sissakian, A N; Topilin, N D

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within +or-70 mu m. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects. (3 refs).

  14. Institutional research and development, FY 1988

    International Nuclear Information System (INIS)

    1988-01-01

    The Laboratory's Institutional Research and Development (IR and D) Program was established in 1984 to foster exploratory work to advance science and technology, disciplinary research to develop innovative solutions to support our national defense and energy missions. In FY 1988, the IR and D Program was funded by a 2% assessment on the Laboratory's operating budget. Our policy is to use these funds for researching innovative ideas in LLNL's areas of expertise and for developing new areas of expertise that we perceive to be in the national interest. The technical and scientific accomplishments of each project and of each institute funded this year are presented in this report. The projects were selected because they are expected to advance research in important areas that are too basic or too time consuming to be funded by the developmental programs or because they are somewhat risky projects that have the promise of high payoff. We are continually reappraising the IR and D Program. In particular, we seek new candidates for the Director's Initiatives, and we constantly reassess the work in progress. Each year, we make adjustments to further the Laboratory's policy of using the IR and D Program to fund innovative ideas with high potential for enhancing programmatic activities of national importance

  15. The Central Institute for Brain Research in Amsterdam and its directors.

    Science.gov (United States)

    Eling, Paul; Hofman, Michel A

    2014-01-01

    The Central Institute for Brain Research was founded in Amsterdam in 1908 as part of an international effort to study the nervous system with multiple institutions and various disciplines. The development of research in the past hundred years at the Brain Institute has hardly been documented. We analyze the history of this institute by means of brief portraits of its directors and their main research topics. It appears that each director introduced his own branch of neuroscience into the institute. Initially, mainly comparative neuroanatomical data were collected. Following the Second World War, the multidisciplinary approach slowly developed with research programs on systems neuroscience, neuroendocrinology, and brain disorders. Every new director introduced new approaches to the study of the brain and thus played an important role in keeping brain research in the Netherlands at the international forefront where it has been ever since its foundation in 1908.

  16. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  17. A review of research activities at the Research Reactor Institute of Kyoto University in view of research publication information

    International Nuclear Information System (INIS)

    Takeuchi, Takayuki; Mizuma, Mitsuo; Kimura, Itsuro.

    1995-01-01

    A database of research publication was constructed for the purpose of grasping all of the research activities at the Research Reactor Institute, Kyoto University. The database named KURRIP collects all of the research publications of the Institute by not only its own staff but also visiting scientists. The publications are in the form of original papers, review papers, papers in proceedings, short notes and letters, synopses over 3 pages presented orally at scientific meeting, books and doctoral theses. At present, the KURRIP database contains the information on 6,210 items which have been published for 30 years since the Institute was established as an interuniversity research institute for joint use of a research reactor and other related large facilities in 1963. By utilizing the KURRIP database, the analyses have been done: (1) affiliation of the authors, (2) kind of publications, (3) classification of publishers, (4) research fields, and (5) experimental facilities. The KURRIP database is now stored in the Data Processing Center of Kyoto University and can be utilized through a computer center at one of the main national universities in Japan. (author)

  18. Funds Utilization and its Impact on Research Institute Libraries in ...

    African Journals Online (AJOL)

    This study was carried out to assess funds utilization and it impact on research institute libraries in Kaduna State. Twelve research institutes in Kaduna State with the exception of one which did not have a library were used for the study. The survey research design was adopted for the study, and questionnaire was used as ...

  19. 75 FR 8374 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-02-24

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Officer, Scientific Review Branch, National Human Genome Research Institute, National Institutes of Health...

  20. 78 FR 21382 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-04-10

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... applications. Place: National Human Genome Research Institute, Suite 4076, 5635 Fisher's Lane, Bethesda, MD..., National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4075...

  1. 77 FR 8268 - National Human Genome Research Institute; Notice of Closed Meetings

    Science.gov (United States)

    2012-02-14

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... applications. Place: National Human Genome Research Institute, 5635 Fisher's Lane, Room 4076, Rockville, MD..., CIDR, National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite...

  2. 77 FR 71604 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-12-03

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special..., Scientific Review Branch, National Human Genome Research Institute, National Institutes of Health, 5635...

  3. 75 FR 53703 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-09-01

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome..., Scientific Review Branch, National Human Genome Research Institute, National Institutes of Health, 5635.... (Catalogue of Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of...

  4. The Central Institute forBrain Research in Amsterdam and its directors

    NARCIS (Netherlands)

    Eling, Paul; Hofman, Michel A

    2014-01-01

    The Central Institute for Brain Research was founded in Amsterdam in 1908 as part of an international effort to study the nervous system with multiple institutions and various disciplines. The development of research in the past hundred years at the Brain Institute has hardly been documented. We

  5. Research Institute for Technical Careers

    Science.gov (United States)

    Glenn, Ronald L.

    1996-01-01

    The NASA research grant to Wilberforce University enabled us to establish the Research Institute for Technical Careers (RITC) in order to improve the teaching of science and engineering at Wilberforce. The major components of the research grant are infrastructure development, establishment of the Wilberforce Intensive Summer Experience (WISE), and Joint Research Collaborations with NASA Scientists. (A) Infrastructure Development. The NASA grant has enabled us to improve the standard of our chemistry laboratory and establish the electronics, design, and robotics laboratories. These laboratories have significantly improved the level of instruction at Wilberforce University. (B) Wilberforce Intensive Summer Experience (WISE). The WISE program is a science and engineering bridge program for prefreshman students. It is an intensive academic experience designed to strengthen students' knowledge in mathematics, science, engineering, computing skills, and writing. (C) Joint Collaboration. Another feature of the grant is research collaborations between NASA Scientists and Wilberforce University Scientists. These collaborations have enabled our faculty and students to conduct research at NASA Lewis during the summer and publish research findings in various journals and scientific proceedings.

  6. Information Security Issues in Higher Education and Institutional Research

    Science.gov (United States)

    Custer, William L.

    2010-01-01

    Information security threats to educational institutions and their data assets have worsened significantly over the past few years. The rich data stores of institutional research are especially vulnerable, and threats from security breaches represent no small risk. New genres of threat require new kinds of controls if the institution is to prevent…

  7. Institutional Support to Latin American Policy Research Organizations

    International Development Research Centre (IDRC) Digital Library (Canada)

    This grant will strengthen the ability of the 12 selected research institutions to provide, disseminate and communicate high-quality research. This will be achieved through measures aimed at enhancing the ability of staff to conduct sound research, improving organizational governance, and communicating with policymakers.

  8. Metrological Array of Cyber-Physical Systems. Part 3. Smart Energy-Efficient House

    Directory of Open Access Journals (Sweden)

    Ihor HNES

    2015-04-01

    Full Text Available Smart energy-efficient houses as the components of Cyber-Physical Systems are developed intensively. The main stream of progress consists in the research of Smart houses’ energy supply. By this option the mentioned objects are advancing from passive houses through net-zero energy houses to active houses that are capable of sharing their own accumulated energy with other components of Cyber-Physical Systems. We consider the problems of studying the metrology models and measuring the heat dissipation in such houses trying to apply network and software achievements as well as the new types of devices with improved characteristics.

  9. The peculiarities of scientific research whithin old institutionalism of the political-institutional paradigm

    Directory of Open Access Journals (Sweden)

    O. V. Bashtannyk

    2016-10-01

    The presence of internal evolution in the analysis’s research strategy of the classical institutional theory is justified. First, the principle of normativity (borrowed from political philosophy was gradually transformed from requirements of accordance to moral and value criteria till declaration the paramount of legal framework for regulation the functioning of the political institutions. Second, understanding of the state as a legally holistic phenomenon of the highest status to the system of government (borrowed from legal positivism and historical school of law was modified to consideration of the state as one among other political institutions of society, though very influential.

  10. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  11. 77 FR 74676 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-12-17

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4075, Bethesda.... 93.172, Human Genome Research, National Institutes of Health, HHS) Dated: December 11, 2012. David...

  12. 76 FR 10909 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-02-28

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome..., National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4076, MSC..., Human Genome Research, National Institutes of Health, HHS). Dated: February 18, 2011. Jennifer S. Spaeth...

  13. 77 FR 35991 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-06-15

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4075, Bethesda.... 93.172, Human Genome Research, National Institutes of Health, HHS) Dated: June 8, 2012. Jennifer S...

  14. 75 FR 8977 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-02-26

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome..., National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4076, MSC..., Human Genome Research, National Institutes of Health, HHS) Dated: February 18, 2010. Jennifer Spaeth...

  15. 75 FR 67380 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-11-02

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Review Branch, National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane.... (Catalogue of Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of...

  16. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  17. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  18. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  19. FINANCIAL MONITORING FOR EDUCATION AND RESEARCH FARMS OF AGRICULTURAL BUDGETARY INSTITUTIONS

    Directory of Open Access Journals (Sweden)

    Larysa Oliynik

    2016-03-01

    Full Text Available The article highlights the core of financial monitoring and the basic indicators of its implementation at education and research farms of agricultural budgetary institution. The case study for its peculiarities defined is Separated Subdivision of NULES of Ukraine “Velykosnytinske Education and Research Farm named after O. Muzychenka”, the financial monitoring of which allowed offering the enterprise certain directions to improve efficiency under modern conditions. While carrying out financial monitoring of  education and research farms,  there should be awareness that such farms are based on self-supporting, being non-profit institutions that function as public institutions. Consequently, they make estimates. The specific features of financial statements and reports are due to the fact that revenues of educational and research farms are derived from the special  fund.  Financial  monitoring  for  education  and  research  farms  of  agricultural  budgetary institution  is  proven  to  be  implemented  by  using  traditional  analysis  given  the  peculiarities  of budgetary institutions. Keywords: financial  monitoring,  education and research farm,  budgetary  institution,  cost accounting, special fund, estimate. JEL: M 20

  20. Literature Review of Enterprise Systems Research Using Institutional Theory: Towards a Conceptual Model

    DEFF Research Database (Denmark)

    Svejvig, Per

    This paper sets out to examine the use of institutional theory as a conceptually rich lens to study social issues of enterprise systems (ES) research. More precisely, the purpose is to categorize current ES research using institutional theory to develop a conceptual model that advances ES research...... model which advocates for multi-level and multi-theory approaches, and applies newer institutional aspects such as institutional logics. The findings show that institutional theory in ES research is in its infancy and adopts mainly traditional institutional aspects like isomorphism....... Key institutional features are presented such as isomorphism, rationalized myths, bridging macro and micro structures, and institutional logics and their implications for ES research are discussed. Through a literature review of 180 articles, of which 18 papers are selected, we build a conceptual...

  1. Un proyecto europeo en metrología de altas temperaturas para aplicaciones industriales

    Directory of Open Access Journals (Sweden)

    del Campo, D.

    2013-08-01

    Full Text Available The measurement of temperatures above 1000 ºC is both difficult and yet vital for the success of a wide range of industrial processes; glass and ceramic manufacturing (1100 ºC to 2000 ºC or refractory metals production (2500+ ºC are clear examples. Many of these industries require improved process efficiency/control, because of growing environmental concerns (emissions/”zero waste” and competition from outside the EU. One of the keys to making advances to these drivers is improving process control by improved high temperature measurement. In the frame of the European Metrology Research Program (EMRP, a project named “High temperature metrology for industrial applications (HiTeMS with the overall objective of developing a suite of methods and techniques for improving the measurement of high temperatures in industry has been running since September 2011. This paper gives an overview of the main objectives of the project and the technical activities that are being performed.La medida de temperatura por encima de 1000 ºC es tanto complicada como vital para el éxito de una gran variedad de procesos industriales; la producción de cerámica y vidrio (de 1100 ºC a 2000 ºC o de materiales refractarios (temperaturas por encima de 2500 ºC, son claros ejemplos. Muchas de estas industrias requieren una mejora de la eficiencia y del control de sus procesos debido a la creciente preocupación por el medio ambiente (“cero emisiones” y la competencia de países fuera de la Unión Europea. Una de las claves para llevar a cabo avances en este sentido es mediante la mejora del control de los procesos mejorando la medida de alta temperatura. Dentro del Programa Europeo de Investigación en Metrología (EMRP en sus siglas en inglés se está desarrollando, desde septiembre de 2011, un proyecto llamado “Metrología de altas temperaturas para aplicaciones industriales” (HiTeMs, con el objetivo fundamental de desarrollar una serie de m

  2. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  3. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  4. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  5. Materials and corrosion programs sponsored by the Gas Research Institute

    International Nuclear Information System (INIS)

    Flowers, A.

    1980-01-01

    The paper deals briefly with the Gas Research Institute and its research in materials and corrosion. As a not-for-profit organization, the Gas Research Institute plans, finances, and manages applied and basic research and technological development programs associated with gaseous fuels. These programs are in the general areas of production, transportation, storage, utilization and conservation of natural and manufactured gases and related products. Research results, whether experimental or analytical, are evaluated and publicly disseminated. Materials and corrosion research is concentrated in the SNG from Coal and Non-fossil Hydrogen subprograms

  6. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  7. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  8. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  9. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  10. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  11. 77 FR 2735 - National Human Genome Research Institute; Notice of Meetings

    Science.gov (United States)

    2012-01-19

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... personal privacy. Name of Committee: National Advisory Council for Human Genome Research. Date: February 13... Extramural Research National Human Genome Research Institute, 5635 Fishers Lane, Suite 4076, MSC 9305...

  12. 75 FR 51828 - National Human Genome Research Institute; Notice of Meetings

    Science.gov (United States)

    2010-08-23

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... personal privacy. Name of Committee: National Advisory Council for Human Genome Research. Date: February 7... Research, National Human Genome Research Institute, 5635 Fishers Lane, Suite 4076, MSC 9305, Bethesda, MD...

  13. Beyond Research Productivity: Matching Productivity Measures to Institutional Mission

    Directory of Open Access Journals (Sweden)

    Patricia Bartholomew

    2016-11-01

    Full Text Available Aim/Purpose: The aim of this paper is to develop a unified methodology inclusive of the three primary areas of faculty responsibility (teaching, research, and service to calculate departmental productivity that fills the gap in methodological bench-marking tools for overall faculty productivity. Background:\tA disproportionate number of departmental and faculty productivity indices in higher education rely solely on research. Productivity in other areas of faculty workload areas, like teaching and institutional and community service, are either measured separately or ignored all together – even when those activities are institutionally mandated. This does a disservice to those who work in those institutions and skews incentives. Methodology: This paper utilizes a unified methodology inclusive of the three primary areas of faculty responsibility (teaching, research, and service to calculate depart-mental productivity in five disparate departments (English, Biology, Mathematics, Sociology, and Computer Science common to two universities with differing missions (teaching and service. Findings: The results reveal the bias inherent in relying solely on research as a proxy for overall productivity in institutions that have differing missions. Recommendations for Practitioners: Utilizing better metrics informs higher education administrators, promotes better decision-making, and allows incentives to re-align with desired outcomes. Recommendation for Researchers: This paper recommends combing all aspects of faculty workload into a single benchmark index to better measure departmental productivity. Future Research: Further research into improving this simple index is warranted and would include how to account for quality and other facets of productivity.

  14. Overview of Gas Research Institute environmental research programs

    International Nuclear Information System (INIS)

    Evans, J.M.

    1991-01-01

    The Gas Research Institute (GRI) is a private not-for-profit membership organization of natural gas pipelines, distribution companies and natural gas producers. GRI's purpose is to plan, to manage and to develop financing for a gas-related research and development (R and D) program on behalf of its members and their customers. GRI does not do any research itself. GRI's R and D program is designed to provide advanced technologies for natural gas supply, transport, storage, distribution and end-use applications in all markets. In addition, basic research is conducted for GRI in these areas to build a foundation for future technology breakthroughs. Work in the Environment and Safety Research Department includes sections interested in: supply related research, air quality research, end use equipment safety research, gas operations safety research, and gas operations environmental research. The Natural Gas Supply Program has research ongoing in such areas as: restoration of pipeline right-of-ways; cleaning up town gas manufacturing sites; the development of methanogenic bacteria for soil and groundwater cleanup; development of biological fluidized carbon units for rapid destruction of carbonaceous compounds; research on liquid redox sulfur recovery for sulfur removal from natural gas; research on produced water and production wastes generated by the natural gas industry; environmental effects of coalbed methane production; and subsurface effects of natural gas operations. The western coalbed methane and ground water programs are described

  15. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  16. Environmental dose in the Nuclear Medicine Department of the National Institute of Cancer

    International Nuclear Information System (INIS)

    Torres U, C. L.; Avila A, O. L.; Medina V, L. A.; Buenfil B, A. E.; Brandan S, M. E.; Trujillo Z, F. E.; Gamboa de Buen, I.

    2009-01-01

    The dosimeters TLD-100 and TLD-900 were used to know the levels of environmental dose in areas of the Nuclear Medicine Department of the National Institute of Cancer. The dosimeters calibration was carried out in the Metrology Department of the National Institute of Nuclear Research. The radioisotopes used in the studied areas are 131 I, 18 F, 67 Ga, 99m Tc, 111 In, 201 Tl and 137 Cs with gamma energies between 93 and 662 KeV. Dosimeters were placed during five months in the diagnostic, injection, waiting and PET rooms as well as hot room, waste room, enclosed corridors to patient rooms treated with 131 I and 137 Cs and witness dosimeters to know the bottom. The values found vary between 0.3 and 70 major times that those of bottom. The maximum doses were measured in the waste room and in the enclosed corridor to the patient rooms with cervical uterine cancer treated with 137 Cs. (Author)

  17. Institutional research and development, FY 1988

    Energy Technology Data Exchange (ETDEWEB)

    1988-01-01

    The Laboratory's Institutional Research and Development (IR and D) Program was established in 1984 to foster exploratory work to advance science and technology, disciplinary research to develop innovative solutions to support our national defense and energy missions. In FY 1988, the IR and D Program was funded by a 2% assessment on the Laboratory's operating budget. Our policy is to use these funds for researching innovative ideas in LLNL's areas of expertise and for developing new areas of expertise that we perceive to be in the national interest. The technical and scientific accomplishments of each project and of each institute funded this year are presented in this report. The projects were selected because they are expected to advance research in important areas that are too basic or too time consuming to be funded by the developmental programs or because they are somewhat risky projects that have the promise of high payoff. We are continually reappraising the IR and D Program. In particular, we seek new candidates for the Director's Initiatives, and we constantly reassess the work in progress. Each year, we make adjustments to further the Laboratory's policy of using the IR and D Program to fund innovative ideas with high potential for enhancing programmatic activities of national importance.

  18. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  19. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  20. Research Productivity and Its Policy Implications in Higher Education Institutions

    Science.gov (United States)

    Quimbo, Maria Ana T.; Sulabo, Evangeline C.

    2014-01-01

    Responding to the Commission on Higher Education's development plan of enhancing research culture among higher education institutions, this study was conducted to analyze the research productivity of selected higher education institutions. It covered five state universities in the Philippines where a total of 377 randomly selected faculty members…

  1. 75 FR 2147 - National Human Genome Research Institute; Notice of Meetings

    Science.gov (United States)

    2010-01-14

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Council for Human Genome Research. The meetings will be open to the public as indicated below, with... Extramural Research, National Human Genome Research Institute, 5635 Fishers Lane, Suite 4076, MSC 9305...

  2. Gas Research Institute wetland research program

    International Nuclear Information System (INIS)

    Wilkey, P.L.; Zimmerman, R.E.; Isaacson, H.R.

    1992-01-01

    As part of three ongoing research projects, the Gas Research Institute (GRI) is studying the natural gas industry's impacts on wetlands and how to manage operations so that impacts can be minimized or eliminated. The objective of the first project is to gain a better understanding of the causes and processes of wetland loss in the Louisiana deltaic plain and what role gas pipeline canals play in wetland loss. On the basis of information gathered from the first projects, management and mitigation implications for pipeline construction and maintenance will be evaluated. The objective of the second project is to assess the floral and faunal communities on existing rights-of-way (ROWs) that pass through numerous types of wetlands across the United States. The emphasis of the project is on pipelines that were installed within the past five years. The objective of the third project is to evaluate the administrative, jurisdictional, technical, and economic issues of wetland mitigation banking. This paper discusses these projects, their backgrounds, some of the results to date, and the deliverables

  3. Offshore Wind Farm Research at the NWO Institutes

    NARCIS (Netherlands)

    J.A.S. Witteveen (Jeroen)

    2013-01-01

    htmlabstractFundamental scientific research is essential to take the necessary next step in offshore wind farm innovation. The NWO scientific research institutes play a central role in the Dutch knowledge infrastructure for disseminating scientific discoveries into industrial innovations. Multiple

  4. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  5. Astronomical Research Institute Photometric Results

    Science.gov (United States)

    Linder, Tyler R.; Sampson, Ryan; Holmes, Robert

    2013-01-01

    The Astronomical Research Institute (ARI) conducts astrometric and photometric studies of asteroids with a concentration on near-Earth objects (NEOs). A 0.76-m autoscope was used for photometric studies of seven asteroids of which two were main-belt targets and five were NEOs, including one potentially hazardous asteroid (PHA). These objects are: 3122 Florence, 3960 Chaliubieju, 5143 Heracles, (6455) 1992 HE, (36284) 2000 DM8, (62128) 2000 SO1, and 2010 LF86.

  6. Overview of environmental radiological monitoring program of Institute of Radiation Protection And Dosimetry - IRD

    Energy Technology Data Exchange (ETDEWEB)

    Gomes, Sarah Barreto Oliveira de Christo; Peres, Sueli da Silva, E-mail: suelip@ird.gov.br, E-mail: sarah.barreto1@gmail.com [Instituto de Radioproteção e Dosimetria (IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Div. de Radioproteção

    2017-07-01

    As a branch of the Brazilian Nuclear Energy Commission (CNEN), the Institute of Radiation Protection and Dosimetry (IRD) performs extensive activities in the fields of radiation protection, metrology, and dosimetry, as well as specific education, onto a wide operational scope that includes the technical support to national regulatory authorities in the licensing process for nationwide nuclear and radioactive facilities. IRD has several laboratories where are performed radiometric and radiochemical analyses and others radioactivity evaluation procedures in different types of samples obtained in the inspection activities, production of radioactivity metrological standards and reference material by National Laboratory of Metrology of Ionizing Radiation (LMNRI), besides others research activities. In this laboratories can be used sealed or unsealed radioactive sources and radiation-producing devices and are classified with radioactive installations in accordance to national regulations. This way, radioactive liquid effluents can be eventually produced and released into the environment in the course of such activities and an effluent monitoring program to control and reduce the releases to environment is carried out. Additionally, IRD maintains the Radioactive Waste Management Program and Environmental Radiological Monitoring Program (ERMP) in accordance to national regulations requirements. The primary focus of ERMP comprises the validation of the dose prognostics for the public members due to effluents discharge and the provision of consistent projections of the radiation levels at the monitoring sites. In this study, a long term ERMP data survey is discussed, spanning the last thirteen years of activities. On the basis of such discussions and prognostics, it could be observed that the radiological environmental radiological impact due to operation of IRD installations is negligible. (author)

  7. Overview of environmental radiological monitoring program of Institute of Radiation Protection And Dosimetry - IRD

    International Nuclear Information System (INIS)

    Gomes, Sarah Barreto Oliveira de Christo; Peres, Sueli da Silva

    2017-01-01

    As a branch of the Brazilian Nuclear Energy Commission (CNEN), the Institute of Radiation Protection and Dosimetry (IRD) performs extensive activities in the fields of radiation protection, metrology, and dosimetry, as well as specific education, onto a wide operational scope that includes the technical support to national regulatory authorities in the licensing process for nationwide nuclear and radioactive facilities. IRD has several laboratories where are performed radiometric and radiochemical analyses and others radioactivity evaluation procedures in different types of samples obtained in the inspection activities, production of radioactivity metrological standards and reference material by National Laboratory of Metrology of Ionizing Radiation (LMNRI), besides others research activities. In this laboratories can be used sealed or unsealed radioactive sources and radiation-producing devices and are classified with radioactive installations in accordance to national regulations. This way, radioactive liquid effluents can be eventually produced and released into the environment in the course of such activities and an effluent monitoring program to control and reduce the releases to environment is carried out. Additionally, IRD maintains the Radioactive Waste Management Program and Environmental Radiological Monitoring Program (ERMP) in accordance to national regulations requirements. The primary focus of ERMP comprises the validation of the dose prognostics for the public members due to effluents discharge and the provision of consistent projections of the radiation levels at the monitoring sites. In this study, a long term ERMP data survey is discussed, spanning the last thirteen years of activities. On the basis of such discussions and prognostics, it could be observed that the radiological environmental radiological impact due to operation of IRD installations is negligible. (author)

  8. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  9. Institutional Support to South Asian Policy Research Organizations ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    There are very few policy research organizations in South Asia outside India. Those that exist are fragile due to little demand for policy research, limited if no funding from local sources, and an often insecure political climate. This grant will strengthen the ability of the seven selected research institutions in Bangladesh, Nepal ...

  10. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  11. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  12. Science, institutional archives and open access: an overview and a pilot survey on the Italian cancer research institutions.

    Science.gov (United States)

    Poltronieri, Elisabetta; Truccolo, Ivana; Di Benedetto, Corrado; Castelli, Mauro; Mazzocut, Mauro; Cognetti, Gaetana

    2010-12-20

    The Open Archive Initiative (OAI) refers to a movement started around the '90 s to guarantee free access to scientific information by removing the barriers to research results, especially those related to the ever increasing journal subscription prices. This new paradigm has reshaped the scholarly communication system and is closely connected to the build up of institutional repositories (IRs) conceived to the benefit of scientists and research bodies as a means to keep possession of their own literary production. The IRs are high-value tools which permit authors to gain visibility by enabling rapid access to scientific material (not only publications) thus increasing impact (citation rate) and permitting a multidimensional assessment of research findings. A survey was conducted in March 2010 to mainly explore the managing system in use for archiving the research finding adopted by the Italian Scientific Institutes for Research, Hospitalization and Health Care (IRCCS) of the oncology area within the Italian National Health Service (Servizio Sanitario Nazionale, SSN). They were asked to respond to a questionnaire intended to collect data about institutional archives, metadata formats and posting of full-text documents. The enquiry concerned also the perceived role of the institutional repository DSpace ISS, built up by the Istituto Superiore di Sanità (ISS) and based on a XML scheme for encoding metadata. Such a repository aims at acting as a unique reference point for the biomedical information produced by the Italian research institutions. An in-depth analysis has also been performed on the collection of information material addressed to patients produced by the institutions surveyed. The survey respondents were 6 out of 9. The results reveal the use of different practices and standard among the institutions concerning: the type of documentation collected, the software adopted, the use and format of metadata and the conditions of accessibility to the IRs. The

  13. Research activities at nuclear research institute in water chemistry and corrosion

    International Nuclear Information System (INIS)

    Kysela, Jan

    2000-01-01

    Research activities at Nuclear Research Institute Rez (NRI) are presented. They are based on former heavy water reactor program and now on pressurized reactors VVER types which are operated on Czech republic. There is LVR-15 research reactor operated in NRI. The reactor and its experimental facilities is utilized for water chemistry and corrosion studies. NRI services for power plants involve water chemistry optimalization, radioactivity build-up, fuel corrosion and structural materials corrosion tests. (author)

  14. 76 FR 29772 - National Human Genome Research Institute; Notice of Closed Meetings

    Science.gov (United States)

    2011-05-23

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... clearly unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research... of Scientific Review, National Human Genome Research Institute, National Institutes of Health...

  15. 77 FR 5035 - National Human Genome Research Institute; Notice of Closed Meetings

    Science.gov (United States)

    2012-02-01

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... clearly unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research... Officer, Scientific Review Branch, National Human Genome Research Institute, National Institutes of Health...

  16. 78 FR 107 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-01-02

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... evaluate grant applications. Place: National Human Genome Research Institute, 3rd Floor Conference Room....D., Scientific Review Officer, Scientific Review Branch, National Human Genome Research Institute...

  17. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    Science.gov (United States)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  18. Mobilisation for public engagement: Benchmarking the practices of research institutes.

    Science.gov (United States)

    Entradas, Marta; Bauer, Martin M

    2017-10-01

    Studies on scientists' practices of public engagement have pointed to variations between disciplines. If variations at the individual level are reflected at the institutional level, then research institutes in Social Sciences (and Humanities) should perform higher in public engagement and be more involved in dialogue with the public. Using a nearly complete sample of research institutes in Portugal 2014 ( n = 234, 61% response rate), we investigate how public engagement varies in intensity, type of activities and target audiences across scientific areas. Three benchmark findings emerge. First, the Social Sciences and the Humanities profile differently in public engagement highlighting the importance of distinguishing between these two scientific areas often conflated in public engagement studies. Second, the Social Sciences overall perform more public engagement activities, but the Natural Sciences mobilise more effort for public engagement. Third, while the Social Sciences play a greater role in civic public engagement, the Natural Sciences are more likely to perform educational activities. Finally, this study shows that the overall size of research institutes, available public engagement funding and public engagement staffing make a difference in institutes' public engagement.

  19. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  20. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  1. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  2. 78 FR 64222 - National Human Genome Research Institute; Notice of Closed Meetings

    Science.gov (United States)

    2013-10-28

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... clearly unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research... Review, National Human Genome Research Institute, National Institutes of Health, Bethesda, MD 20892, 301...

  3. 78 FR 20933 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-04-08

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... review and evaluate grant applications. Place: National Human Genome Research Institute, Room 3055, 5635...

  4. 78 FR 31953 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-05-28

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... review and evaluate grant applications. Place: National Human Genome Research Institute, 3rd Floor...

  5. 77 FR 22332 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-04-13

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special.... Agenda: To review and evaluate grant applications. Place: National Human Genome Research Institute, 5635...

  6. 75 FR 19984 - National Human Genome Research Institute; Notice of Closed Meetings

    Science.gov (United States)

    2010-04-16

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome..., National Human Genome Research Institute, National Institutes of Health, 5635 Fishers Lane, Suite 4075... Nakamura, PhD, Scientific Review Officer, Scientific Review Branch, National Human Genome Research...

  7. 76 FR 28056 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-05-13

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Counselors, National Human Genome Research Institute. The meeting will be closed to the public as indicated... National Human Genome Research Institute, including consideration of personnel qualifications and...

  8. 76 FR 17930 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-03-31

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Review Officer, Scientific Review Branch, National Human Genome Research Institute, 5635 Fishers Lane...

  9. 76 FR 58023 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-09-19

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Initial..., Scientific Review Officer, Office of Scientific Review, National Human Genome Research Institute, National...

  10. 77 FR 28888 - National Human Genome Research Institute Notice of Closed Meeting

    Science.gov (United States)

    2012-05-16

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Initial...: To review and evaluate grant applications. Place: National Human Genome Research Institute, 3635...

  11. 78 FR 70063 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-11-22

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Counselors, National Human Genome Research Institute. The meeting will be closed to the public as indicated... NATIONAL HUMAN GENOME RESEARCH INSTITUTE, including consideration of personnel qualifications and...

  12. 76 FR 5390 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-01-31

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Place: National Human Genome Research Institute Special Emphasis... Officer, Scientific Review Branch, National Human Genome Research Institute, 5635 Fishers Lane, Suite 4076...

  13. 75 FR 13558 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-03-22

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... Counselors, National Human Genome Research Institute. The meeting will be closed to the public as indicated... National Human Genome Research Institute, including consideration of personnel qualifications and...

  14. Irradiation Facilities of the Takasaki Advanced Radiation Research Institute

    Directory of Open Access Journals (Sweden)

    Satoshi Kurashima

    2017-03-01

    Full Text Available The ion beam facility at the Takasaki Advanced Radiation Research Institute, the National Institutes for Quantum and Radiological Science and Technology, consists of a cyclotron and three electrostatic accelerators, and they are dedicated to studies of materials science and bio-technology. The paper reviews this unique accelerator complex in detail from the viewpoint of its configuration, accelerator specification, typical accelerator, or irradiation technologies and ion beam applications. The institute has also irradiation facilities for electron beams and 60Co gamma-rays and has been leading research and development of radiation chemistry for industrial applications in Japan with the facilities since its establishment. The configuration and utilization of those facilities are outlined as well.

  15. Productivity through Innovation: Applied Research at Canada's Colleges and Institutes

    Science.gov (United States)

    Association of Canadian Community Colleges, 2011

    2011-01-01

    Applied research at Canada's colleges and institutes has expanded rapidly over the last five years. This report provides an overview of the current context and positions colleges and institutes as key players in Canada's innovation system. The report builds upon findings of previous research and reports on the results of the 2009-2010…

  16. Helmholtz-Zentrum Dresden-Rossendorf, Institute of Safety Research. Annual report 2010

    International Nuclear Information System (INIS)

    Gerbeth, Gunter; Schaefer, Frank

    2011-01-01

    The Institute of Safety Research (ISR) was over the past 20 years one of the six Research Institutes of Forschungszentrum Dresden-Rossendorf e.V. (FZD), which in 2010 belonged to the Wissenschaftsgemeinschaft Gottfried Wilhelm Leibniz. Together with the Institutes of Radiochemistry and Radiation Physics, ISR implements the research programme ''Nuclear Safety Research'' (NSR), which was during last years one of the three scientific programmes of FZD. NSR involves two main topics, i.e. ''Safety Research for Radioactive Waste Disposal'' and ''Safety Research for Nuclear Reactors''. The research of ISR aims at assessing and enhancing the safety of current and future reactors, the development of advanced simulation tools including their validation against experimental data, and the development of the appropriate measuring techniques for multi-phase flows and liquid metals.

  17. Institutional landmarks in Brazilian research on soil erosion: a historical overview

    Directory of Open Access Journals (Sweden)

    Tiago Santos Telles

    2013-12-01

    Full Text Available The problem of soil erosion in Brazil has been a focus of agricultural scientific research since the 19th century. The aim of this study was to provide a historical overview of the institutional landmarks which gave rise to the first studies in soil erosion and established the foundations of agricultural research in Brazil. The 19th century and beginning of the 20th century saw the founding of a series of institutions in Brazil, such as Botanical Gardens, executive institutions, research institutes, experimental stations, educational institutions of agricultural sciences, as well as the creation and diversification of scientific journals. These entities, each in its own way, served to foster soil erosion research in Brazil. During the Imperial period (1808-1889, discussions focused on soil degradation and conserving the fertility of agricultural land. During the First Republic (1889-1930, with the founding of various educational institutions and consolidation of research on soil degradation conducted by the Agronomic Institute of Campinas in the State of São Paulo, studies focused on soil depletion, identification of the major factors causing soil erosion and the measures necessary to control it. During the New State period (1930-1945, many soil conservation practices were developed and disseminated to combat erosion and field trials were set up, mainly to measure soil and water losses induced by hydric erosion. During the Brazilian New Republic (1945-1964, experiments were conducted throughout Brazil, consolidating soil and water conservation as one of the main areas of Soil Science in Brazil. This was followed by scientific conferences on erosion and the institutionalization of post-graduate studies. During the Military Regime (1964-1985, many research and educational institutions were founded, experimental studies intensified, and coincidently, soil erosion reached alarming levels which led to the development of the no-tillage system.

  18. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  19. The law for the Japan Atomic Energy Research Institute

    International Nuclear Information System (INIS)

    1979-01-01

    The institute is established under the atomic energy basic law to make effectively research of development of atomic energy in general and help to promote investigation, development and utilization of it. The institute is a legal person and has its main office in Tokyo. Its capital is the amount of yen 2,500 million plus contributions by persons other than the government. The government invests the said yen 2,500 million at the time of its establishment. The articles of the institute shall prescribe matters, such as: capital, contributions and assets; officer and meeting; business and its execution; accounting, etc. The officers are consisted of a chief director, a deputy chief director and less than 7 directors and less than 2 auditors. The chief director is appointed by the Prime Minister with the consent of the atomic energy commission. The term of the chief director, the deputy chief director and directors is 4 years and that of auditors is 2 years. Functions of the institute include basic and application research of atomic energy, planning, building and operation of reactors, training of researchers and engineers of atomic energy, etc. The budget, the business program and the financial project shall be prepared each business year and authorized by the Prime Minister. The institute is subject to the supervision of the Prime Minister. (Okada, K.)

  20. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  1. 76 FR 19780 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-04-08

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... E. Day, PhD, Scientific Review Officer, CIDR, National Human Genome Research Institute, National... . (Catalogue of Federal Domestic Assistance Program No. 93.172, Human Genome Research, National Institutes of...

  2. 76 FR 3917 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-01-21

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Branch, National Human Genome Research Institute, 5635 Fishers Lane, Suite 4076, MSC 9306, Rockville, MD...

  3. 75 FR 56115 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-09-15

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of Health, HHS...

  4. 76 FR 3643 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-01-20

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Initial... . (Catalogue of Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of...

  5. 78 FR 24223 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2013-04-24

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Initial...: To review and evaluate grant applications. Place: National Human Genome Research Institute, 3rd floor...

  6. 76 FR 22407 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-04-21

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special.... (Catalogue of Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of...

  7. 75 FR 26762 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2010-05-12

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Initial... . (Catalogue of Federal Domestic Assistance Program Nos. 93.172, Human Genome Research, National Institutes of...

  8. 77 FR 31863 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-05-30

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special..., Human Genome Research, National Institutes of Health, HHS) Dated: May 22, 2012. Jennifer S. Spaeth...

  9. 76 FR 66731 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-10-27

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Program Nos. 93.172, Human Genome Research, National Institutes of Health, HHS) Dated: October 21, 2011...

  10. 76 FR 36930 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-06-23

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special..., Human Genome Research, National Institutes of Health, HHS) Dated: June 17, 2011. Jennifer S. Spaeth...

  11. 77 FR 61770 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2012-10-11

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Assistance Program Nos. 93.172, Human Genome Research, National Institutes of Health, HHS) [[Page 61771...

  12. 76 FR 63932 - National Human Genome Research Institute; Notice of Closed Meeting

    Science.gov (United States)

    2011-10-14

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... unwarranted invasion of personal privacy. Name of Committee: National Human Genome Research Institute Special... Assistance Program Nos. 93.172, Human Genome Research, National Institutes of Health, HHS) Dated: October 7...

  13. Metrological activity determination of {sup 133}Ba by sum-peak absolute method

    Energy Technology Data Exchange (ETDEWEB)

    Silva, R.L. da; Delgado, J.U.; Poledna, R.; Santos, A.; Veras, E.V. de; Rangel, J.; Trindade, O.L. [Instituto de Radioprotecao e Dosimetria (IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil); Almeida, M.C.M. de, E-mail: marcandida@yahoo.com.br, E-mail: candida@cnen.gov.br [Comissao Nacional de Energia Nuclear (CNEN), Rio de Janeiro, RJ (Brazil)

    2015-07-01

    The National Laboratory for Metrology of Ionizing Radiation provides gamma sources of radionuclide and standardized in activity with reduced uncertainties. Relative methods require standards to determine the sample activity while the absolute methods, as sum-peak, not. The activity is obtained directly with good accuracy and low uncertainties. {sup 133}Ba is used in research laboratories and on calibration of detectors for analysis in different work areas. Classical absolute methods do not calibrate {sup 133}Ba due to its complex decay scheme. The sum-peak method using gamma spectrometry with germanium detector standardizes {sup 133}Ba samples. Uncertainties lower than 1% to activity results were obtained.

  14. A review of manufacturing metrology for improved reliability of silicon photovoltaic modules

    Science.gov (United States)

    Davis, Kristopher O.; Walters, Joseph; Schneller, Eric; Seigneur, Hubert; Brooker, R. Paul; Scardera, Giuseppe; Rodgers, Marianne P.; Mohajeri, Nahid; Shiradkar, Narendra; Dhere, Neelkanth G.; Wohlgemuth, John; Rudack, Andrew C.; Schoenfeld, Winston V.

    2014-10-01

    In this work, the use of manufacturing metrology across the supply chain to improve crystalline silicon (c-Si) photovoltaic (PV) module reliability and durability is addressed. Additionally, an overview and summary of a recent extensive literature survey of relevant measurement techniques aimed at reducing or eliminating the probability of field failures is presented. An assessment of potential gaps is also given, wherein the PV community could benefit from new research and demonstration efforts. This review is divided into three primary areas representing different parts of the c-Si PV supply chain: (1) feedstock production, crystallization and wafering; (2) cell manufacturing; and (3) module manufacturing.

  15. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  16. Evaluation acting: the experience of a public research institute

    International Nuclear Information System (INIS)

    Guimaraes, Regia Ruth Ramirez; Ferreira, Hudson Rubio; Filgueiras, Sergio A. Cunha

    2007-01-01

    Innovation and knowledge management are central questions of the modern world economy where the incorporation of new knowledge is determining for competition. In this context, there is a movement of pression under public research institutions for a more dynamic participation on the local innovation system. The institutions of C and T should prepare to help the companies to insert in the context of open economies and also to compete in the global market. The modernity requires flexibility and organizational changes in the research institutions. Redefinitions of their practices in relation to other aspects such as: financing sources; partnership with other organizations; definition and planning of the objectives; evaluation, diffusion and valorization of the results and the establishing of a measuring system and performance indicators. Aiming at having an effective institutional insertion on the national and regional systems of innovation, the Nuclear Technology Development Center - CDTN reformulated its strategical planning, incorporating the view of the researchers of the Center and external experts. As part of the evaluation process, CDTN organizes an annual seminar for evaluating its projects, focused on presenting the results and also on the analysis of the performance indicators. The result of this pairs review are widely informed to the Institution and is an important tool for the critical analysis of the institutional performance and for corrections to be made by the high direction. This paper presents the methodology for evaluating the results, as well as the difficulties and improvements incorporated to the process, which has been applied for three years. (author)

  17. The Swiss Institute for Nuclear Research SIN

    CERN Document Server

    Pritzker, Andreas

    2014-01-01

    This book tells the story of the Swiss Institute for Nuclear Research (SIN). The institute was founded in 1968 and became part of the Paul Scherrer Institute (PSI) in 1988. Its founding occurred at a time when physics was generally considered the key discipline for technological and social development. This step was unusual for a small country like Switzerland and showed courage and foresight. Equally unusual were the accomplishments of SIN, compared with similar institutes in the rest of the world, as well as its influence on Swiss, and partially also on international politics of science. That this story is now available in a widely understandable form is due to the efforts of some physicists, who took the initiative as long as contemporary witnesses could still be questioned. As is usually the case, official documents always show just an excerpt of what really happened. An intimate portrayal of people who contributed to success requires personal memories. This text relies on both sources. In addition, the e...

  18. Proceedings of Twenty-Seventh Annual Institute on Mining Health, Safety and Research

    Energy Technology Data Exchange (ETDEWEB)

    Bockosh, G.R. [ed.] [Pittsburgh Research Center, US Dept. of Energy (United States); Langton, J. [ed.] [Mine Safety and Health Administration, US Dept. of Labor (United States); Karmis, M. [ed.] [Virginia Polytechnic Institute and State University. Dept. of Mining and Minerals Engineering, Blacksburg (United States)

    1996-12-31

    This Proceedings contains the presentations made during the program of the Twenty-Seventh Annual Institute on Mining Health, Safety and Research held at Virginia Polytechnic Institute and State University, Blacksburg, Virginia, on August 26-28, 1996. The Twenty-Seventh Annual Institute on Mining, Health, Safety and Research was the latest in a series of conferences held at Virginia Polytechnic Institute and State University, cosponsored by the Mine Safety and Health Administration, United States Department of Labor, and the Pittsburgh Research Center, United States Department of Energy (formerly part of the Bureau of Mines, U. S. Department of Interior). The Institute provides an information forum for mine operators, managers, superintendents, safety directors, engineers, inspectors, researchers, teachers, state agency officials, and others with a responsible interest in the important field of mining health, safety and research. In particular, the Institute is designed to help mine operating personnel gain a broader knowledge and understanding of the various aspects of mining health and safety, and to present them with methods of control and solutions developed through research. Selected papers have been processed separately for inclusion in the Energy Science and Technology database.

  19. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  20. "Supra-Institutional Research": A Cost-Effective Contribution towards Enhancement

    Science.gov (United States)

    Yorke, Mantz

    2010-01-01

    Relatively inexpensive studies that go beyond the boundaries of individual institutions have considerable attraction, particularly at a time when resources are under significant constraint. These studies can be viewed as existing under the rather larger umbrella of "supra-institutional research". Three examples illustrate the argument…

  1. Brazilian Institute for Radiation Protection and Dosimetry (IRD/CNEN-RJ): Report 2000-2010

    International Nuclear Information System (INIS)

    2010-01-01

    This report presents the activities of the Institute for Radiation Protection and Dosimetry of the Brazilian Nuclear Energy Commission from 2000 to 2010 and has eight chapters: 1) history; 2) main designations and attributions; 3) metrology and assays; 4) conformity evaluation; 5) assistance to radiological and nuclear emergencies; 6) capacity and training; 7) infrastructure of information technology and 8) operational indicators

  2. Economic management model of nuclear power plant research institute

    International Nuclear Information System (INIS)

    Schultz, O.

    1993-01-01

    Brief information about the development of economic management and processing of economic information in the Nuclear Power Plants Research Institute Trnava is given in the paper. The existing economic management model of the Institute impacts positively the fulfillment of economic indicators. When applying this model, activities of individual divisions are emphasized and presentation of the Institute as a global professional capacity is suppressed. With regards to this influence, it will be necessary to look for such system elements that will impact the integrity of the Institute in the future period positively

  3. [Training of institutional research networks as a strategy of improvement].

    Science.gov (United States)

    Galván-Plata, María Eugenia; Almeida-Gutiérrez, Eduardo; Salamanca-Gómez, Fabio Abdel

    2017-01-01

    The Instituto Mexicano del Seguro Social (IMSS) through the Coordinación de Investigación en Salud (Health Research Council) has promoted a strong link between the generation of scientific knowledge and the clinical care through the program Redes Institucionales de Investigación (Institutional Research Network Program), whose main aim is to promote and generate collaborative research between clinical, basic, epidemiologic, educational, economic and health services researchers, seeking direct benefits for patients, as well as to generate a positive impact on institutional processes. All of these research lines have focused on high-priority health issues in Mexico. The IMSS internal structure, as well as the sufficient health services coverage, allows the integration of researchers at the three levels of health care into these networks. A few years after their creation, these networks have already generated significant results, and these are currently applied in the institutional regulations in diseases that represent a high burden to health care. Two examples are the National Health Care Program for Patients with Acute Myocardial Infarction "Código Infarto", and the Early Detection Program on Chronic Kidney Disease; another result is the generation of multiple scientific publications, and the promotion of training of human resources in research from the same members of our Research Networks. There is no doubt that the Coordinación de Investigación en Salud advances steadily implementing the translational research, which will keep being fruitful to the benefit of our patients, and of our own institution.

  4. Metrology of natural radionuclides. Current challenges in radiation protection for industry and the environment; Metrologie natuerlicher Radionuklide. Aktuelle Herausforderungen fuer den Strahlenschutz in Industrie und Umwelt

    Energy Technology Data Exchange (ETDEWEB)

    Maringer, F.J. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal; Moser, H.; Kabrt, F. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Baumgartner, A.; Stietka, M. [Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal

    2015-07-01

    In a range of industrial branches increased activity concentrations of natural radionuclides occur in various NORM materials processed. The ICRP 103 recommendation, and subsequent the IAEA International Basic Safety Standards and the European Basic Safety Standards for Radiation Protection, raised new challenges in radiation protection concerning natural radionuclide metrology and activity measurement methods - in particular for natural decay chain radionuclides ({sup 238}U+, {sup 232}Th+, {sup 235}U+). Especially adequate traceability and optimized measurement uncertainties of applied activity measurement methods are of increasing concern. In this paper a review on radionuclide metrology of natural radionuclides and its implementation to end-user activity measurement methods and practice is presented. This includes an overview on current and emerging drivers, targets, challenges, deliverables, technologies and stakeholders in the field. Current research results on activity measurement standards and instrumentation for natural radionuclides, revised decay data, in-situ measurement methods, NORM reference materials, are covered as well as benefits of natural radionuclide metrology on radiation protection of workers and the public.

  5. Malaysian researchers talk about the influence of culture on research misconduct in higher learning institutions.

    Science.gov (United States)

    Olesen, Angelina P; Amin, Latifah; Mahadi, Zurina

    2017-01-01

    Based on a previous survey by the Office of Research Integrity (ORI) in the USA, a considerable number of foreign research scientists have been found guilty of research misconduct. However, it remains unclear as to whether or not cultural factors really contribute to research misconduct. This study is based on a series of interviews with Malaysian researchers from the local universities regarding their own professional experiences involving working with researchers or research students from different countries or of different nationalities. Most of the researchers interviewed agreed that cultures do shape individual character, which influences the way that such individuals conduct research, their decision-making, and their style of academic writing. Our findings also showed that working culture within the institution also influences research practices, as well as faculty mentorship of the younger generation of researchers. Given the fact such misconduct might be due to a lack of understanding of research or working cultures or practices within the institution, the impact on the scientific community and on society could be destructive. Therefore, it is suggested that the institution has an important role to play in orienting foreign researchers through training, mentoring, and discussion with regard to the "does" and "don'ts" related to research, and to provide them with an awareness of the importance of ethics when it comes to conducting research.

  6. Helmholtz-Zentrum Dresden-Rossendorf, Institute of Safety Research. Annual report 2010

    Energy Technology Data Exchange (ETDEWEB)

    Gerbeth, Gunter; Schaefer, Frank (eds.)

    2011-07-01

    The Institute of Safety Research (ISR) was over the past 20 years one of the six Research Institutes of Forschungszentrum Dresden-Rossendorf e.V. (FZD), which in 2010 belonged to the Wissenschaftsgemeinschaft Gottfried Wilhelm Leibniz. Together with the Institutes of Radiochemistry and Radiation Physics, ISR implements the research programme ''Nuclear Safety Research'' (NSR), which was during last years one of the three scientific programmes of FZD. NSR involves two main topics, i.e. ''Safety Research for Radioactive Waste Disposal'' and ''Safety Research for Nuclear Reactors''. The research of ISR aims at assessing and enhancing the safety of current and future reactors, the development of advanced simulation tools including their validation against experimental data, and the development of the appropriate measuring techniques for multi-phase flows and liquid metals.

  7. Institutional Support: Centre for Economic and Social Research ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Institutional Support: Centre for Economic and Social Research, Education and Documentation (Burkina Faso). The Centre d'études de documentation et de ... innovations to improve lives and livelihoods. Five world-class research teams are working to develop vaccines for neglected livestock diseases in the Global South.

  8. 78 FR 66752 - National Human Genome Research Institute; Amended Notice of Meeting

    Science.gov (United States)

    2013-11-06

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome... National Human Genome Research Institute Special Emphasis Panel, October 15, 2013, 01:00 p.m. to October 15, 2013, 02:30 p.m., National Human Genome Research Institute, 5635 Fishers Lane, Suite 3055, Rockville...

  9. Multi-Institutional Collaborative Astronomy Education Research

    Science.gov (United States)

    Slater, T. F.; Slater, S. J.

    2011-09-01

    ASP, AAS, APS, and AAPT advocate that scientists should be engaged and acknowledged for successfully engaging in astronomy and physics education research and the scholarship of teaching because these efforts serve to improve pedagogical techniques and the evaluation of teaching. However, scientists have had the opportunity to pursue formal training in how to meaningfully engage in astronomy education research as an important scholarly endeavor. This special interest session for college and university physics and astronomy faculty, post-docs, and graduate students provided a forum to discuss the motivations, strategies, methodology, and publication routes for improving astronomy education through conducting rigorous science education research. Topics for discussion targeted the value of various education research questions, strengths and weaknesses of several different research design methodologies, strategies to successfully obtain Institutional Review Board approval to conduct education research on human subjects, and become more aware of how education research articles are created for publication in journals such as the Astronomy Education Review.

  10. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  11. Forgotten research institute makes money from ideas

    International Nuclear Information System (INIS)

    Sobinkovic, B.

    2008-01-01

    Robots that stack magnets weighing several tons in the world's biggest nuclear laboratory with a millimetre precision. Small machines that can destroy bombs, detect bombs in trains, planes or cars. A leading position in an expert group that, with NATO funds, tests how robotic systems can be used in the fight against terrorism. This summary indicates that ideas are an integral part of the work done at the ZTS Vyskumno-vyvojovy ustav (ZTS VVU) research institute in Kosice. This is nothing special for a research institute. But this is a joint stock company. And so it needed one additional vision: producing goods that sell from the research. ZTS VVU has delivered robotic system for accurate positioning of cryo-magnets for the CERN. Cryo-magnet is 16 m long and weights 34 tonnes. For the CERN five robotic systems were delivered. The value of the contract with the CERN was about 60 millions slovak crowns (≅ 2 million EUR). Transport containers, manipulators for decontamination and manipulators with radioactive wastes were manufactured for the Bohunicke spracovatelske centrum (Bohunice Radioactive Waste Processing Center). (authors)

  12. Customer Relationship Management in scientific and research institutions

    Directory of Open Access Journals (Sweden)

    Jaromir Matulewicz

    2013-12-01

    Full Text Available Basing on the example of a scientific institute, this article shows: – potential areas in which CRM philosophy, procedures and tools could be applied – purpose of applying CRM – outcomes to expect from CRM application The article shows the Customer Relationship Management idea exclusively, along with areas of its use in scientific and research institutions and also a proposal to determine a group of clients for these institutions. The summary of the article consists of information regarding sources of knowledge about CRM philosophy and procedures (mainly bibliographical and also about IT systems which support CRM.

  13. Quality and quantity tackling real issues in an institutional research repository

    CERN Multimedia

    CERN. Geneva; Simpson, Pauline

    2005-01-01

    The TARDis project has examined and tackled many practical issues in scaling up from the current individual departmental scholarly communication practices towards an active institutional research repository. This repository must, of necessity, serve a variety of goals for a wide spread of disciplines. We illustrate the steps that have helped move the University of Southampton’s institutional research repository into a key position within the university’s research strategy for both visibility and reporting. We demonstrate the practical activities being developed to manage research assessment in conjunction with the EPrints software. These balance others which we show help fulfill the broad vision of disseminating all research output. These steps are enabling the visions of open access and institutional repositories to come closer together in a constructive fashion.

  14. Cooperation between research institutions and journals on research integrity cases: guidance from the Committee on Publication Ethics (COPE).

    Science.gov (United States)

    Wager, Elizabeth; Kleinert, Sabine

    2012-06-01

    Institutions and journals both have important duties relating to research and publication misconduct. Institutions are responsible for the conduct of their researchers and for encouraging a healthy research environment. Journals are responsible for the conduct of their editors, for safeguarding the research record, and for ensuring the reliability of everything they publish. It is therefore important for institutions and journals to communicate and collaborate effectively on cases relating to research integrity. To achieve this, we make the following recommendations. Institutions should: • have a research integrity officer (or office) and publish their contact details prominently; • inform journals about cases of proven misconduct that affect the reliability or attribution of work that they have published; • respond to journals if they request information about issues, such as disputed authorship, misleading reporting, competing interests, or other factors, including honest errors, that could affect the reliability of published work; • initiate inquiries into allegations of research misconduct or unacceptable publication practice raised by journals; • have policies supporting responsible research conduct and systems in place for investigating suspected research misconduct. Journals should: • publish the contact details of their editor-in-chief who should act as the point of contact for questions relating to research and publication integrity; • inform institutions if they suspect misconduct by their researchers, and provide evidence to support these concerns; • cooperate with investigations and respond to institutions' questions about misconduct allegations; • be prepared to issue retractions or corrections (according to the COPE guidelines on retractions) when provided with findings of misconduct arising from investigations; • have policies for responding to institutions and other organizations that investigate cases of research misconduct

  15. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  16. Primary Care Research in the Patient-Centered Outcomes Research Institute's Portfolio.

    Science.gov (United States)

    Selby, Joe V; Slutsky, Jean R

    2016-04-01

    In their article in this issue, Mazur and colleagues analyze the characteristics of early recipients of funding from the Patient-Centered Outcomes Research Institute (PCORI). Mazur and colleagues note correctly that PCORI has a unique purpose and mission and suggest that it should therefore have a distinct portfolio of researchers and departments when compared with other funders such as the National Institutes of Health (NIH). Responding on behalf of PCORI, the authors of this Commentary agree with the characterization of PCORI's mission as distinct from that of NIH and others. They agree too that data found on PCORI's Web site demonstrate that PCORI's portfolio of researchers and departments is more diverse and more heavily populated with clinician researchers, as would be expected. The authors take issue with Mazur and colleagues' suggestion that because half of clinical visits occur within primary care settings, half of PCORI's funded research should be based in primary care departments. PCORI's portfolio reflects what patients and others tell PCORI are the critical questions. Many of these do, in fact, occur with more complex conditions in specialty care. The authors question whether the research of primary care departments is too narrowly focused and whether it sufficiently considers study of these complex conditions. Research on more complex conditions including heart failure, coronary artery disease, and multiple comorbid conditions could be highly valuable when approached from the primary care perspective, where many of the comparative effectiveness questions first arise.

  17. 77 FR 67385 - National Human Genome Research Institute; Amended Notice of Meeting

    Science.gov (United States)

    2012-11-09

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome Research Institute; Amended Notice of Meeting Notice is hereby given of a change in the meeting of the National Human Genome Research Institute Special Emphasis Panel, October 29, 2012, 8:00 a.m. to October 30...

  18. 78 FR 65342 - National Human Genome Research Institute; Amended Notice of Meeting

    Science.gov (United States)

    2013-10-31

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome Research Institute; Amended Notice of Meeting Notice is hereby given of a change in the meeting of the National Human Genome Research Institute Special Emphasis Panel, October 17, 2013, 08:00 a.m. to October 17...

  19. 76 FR 65738 - National Human Genome Research Institute; Amended Notice of Meeting

    Science.gov (United States)

    2011-10-24

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome Research Institute; Amended Notice of Meeting Notice is hereby given of a change in the meeting of the National Human Genome Research Institute Special Emphasis Panel, November 29, 2011, 8 a.m. to November 29...

  20. 76 FR 71581 - National Human Genome Research Institute; Amended Notice of Meeting

    Science.gov (United States)

    2011-11-18

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome Research Institute; Amended Notice of Meeting Notice is hereby given of a change in the meeting of the National Human Genome Research Institute Special Emphasis Panel, November 22, 2011, 12 p.m. to November 22...

  1. Institute for Safety Research. Annual report 1992

    International Nuclear Information System (INIS)

    Weiss, F.P.; Boehmert, J.

    1993-11-01

    The Institute is concerned with evaluating the design based safety and increasing the operational safety of technical systems which include serious sources of danger. It is further occupied with methods of mitigating the effects of incidents and accidents. For all these goals the institute does research work in the following fields: modelling and simulation of thermofluid dynamics and neutron kinetics in cases of accidents; two-phase measuring techniques; safety-related analyses and characterizing of mechanical behaviours of material; measurements and calculations of radiation fields; process and plant diagnostics; development and application of methods of decision analysis. This annual report gives a survey of projects and scientific contributions (e.g. Single rod burst tests with ZrNb1 cladding), lists publications, institute seminars and workshops, names the personal staff and describes the organizational structure. (orig./HP)

  2. Radiological and Medical Sciences Research Institute (RAMSRI) - Annual Report 2015

    International Nuclear Information System (INIS)

    2015-01-01

    The Radiological and Medical Sciences Research Institute (RAMSRI) is the fourth Research and Development Institute of the Ghana Atomic Energy Commission (GAEC), undertaking research in human health and nutrition. This annual report covers the major activities undertaken by RAMSRI for the year 2015. The activities are grouped under the following headings: Establishment; Personnel and Organisation; Major Activities of Centres; Ongoing IAEA TC Projects; Human Resource Development; IAEA Coordinated Meetings Hosted; Publications; Achievements; Challenges; Projections for the Year 2016; and Recommendations.

  3. Inhalation Toxicology Research Institute annual report 1987-1988

    International Nuclear Information System (INIS)

    Mauderly, J.L.; Mewhinney, J.A.; Bechtold, W.E.; Sun, J.D.; Coons, T.A.

    1988-12-01

    The mission of the Inhalation Toxicology Research Institute is to investigate the magnitude of human health effects that result from the inhalation of airborne materials at home, in the work place, or in the general environment. Diseases of the respiratory tract are major causes of suffering and death, and many of these diseases are directly related to the materials that people breath. The Institute's research is directed toward obtaining a better understanding of the basic biology of the respiratory tract and the mechanisms by which inhaled materials produce respiratory disease. Special attention is focused on studying the airborne materials released by various energy technologies, as well as those associated with national defense activities. The research uses a wide-ranging, comprehensive array of investigative approaches that are directed toward characterizing the source of the airborne material, following the material through its potential transformation in the air, identifying the mechanisms that govern its inhalation and deposition in the respiratory tract, and determining the fate of these inhaled materials in the body and the health effects they produce. The ultimate objectives are to determine the roles played by inhaled materials in the development of disease processes adn to estimate the risk they pose by inhaled materials in the development of disease processes and to estimate the risk they pose to humans who may be exposed to them. This report contains brief research papers that reflect the scope and recent findings of the Institute's research funded by the U.S. Department of Energy, principally through the Office of Health and Environmental Research. The papers are divided into topical sections. The first section, Characterization of Airborne Materials and Generation of Experimental Exposure Atmospheres, reflects the Institute's capabilities for fundamental aerosol research and the application of that expertise to toxicological studies. The second

  4. Inhalation Toxicology Research Institute annual report 1987-1988

    Energy Technology Data Exchange (ETDEWEB)

    Mauderly, J L; Mewhinney, J A; Bechtold, W E; Sun, J D; Coons, T A [eds.

    1988-12-01

    The mission of the Inhalation Toxicology Research Institute is to investigate the magnitude of human health effects that result from the inhalation of airborne materials at home, in the work place, or in the general environment. Diseases of the respiratory tract are major causes of suffering and death, and many of these diseases are directly related to the materials that people breath. The Institute's research is directed toward obtaining a better understanding of the basic biology of the respiratory tract and the mechanisms by which inhaled materials produce respiratory disease. Special attention is focused on studying the airborne materials released by various energy technologies, as well as those associated with national defense activities. The research uses a wide-ranging, comprehensive array of investigative approaches that are directed toward characterizing the source of the airborne material, following the material through its potential transformation in the air, identifying the mechanisms that govern its inhalation and deposition in the respiratory tract, and determining the fate of these inhaled materials in the body and the health effects they produce. The ultimate objectives are to determine the roles played by inhaled materials in the development of disease processes adn to estimate the risk they pose by inhaled materials in the development of disease processes and to estimate the risk they pose to humans who may be exposed to them. This report contains brief research papers that reflect the scope and recent findings of the Institute's research funded by the U.S. Department of Energy, principally through the Office of Health and Environmental Research. The papers are divided into topical sections. The first section, Characterization of Airborne Materials and Generation of Experimental Exposure Atmospheres, reflects the Institute's capabilities for fundamental aerosol research and the application of that expertise to toxicological studies. The second

  5. Institute for Advanced Learning and Research names new executive director

    OpenAIRE

    Virginia Tech News

    2008-01-01

    Virginia Tech's Institute for Advanced Learning and Research has named Liam E. Leightley as executive director, effective Oct. 6, 2008, according to Mike Henderson, chair of the institute's board of trustees.

  6. The law for the Japan Atomic Energy Research Institute

    International Nuclear Information System (INIS)

    1977-01-01

    The law establishes the Japan Atomic Energy Research Institute in accordance with the Basic Act on Atomic Energy as a government corporation for the purpose of promoting R and D and utilizations of atomic energy (first chapter). The second chapter concerns the directors, advisers and personnel of the institute, namely a chairman of the board of directors, a vice-chairman, directors not more than seven persons, and auditors not more than two persons. The chairman represents and supervises the intitute, whom the prime minister appoints with the agreement of Atomic Energy Commission. The vice-chairman and other directors are nominated by the chairman with the approval of the prime minister, while the auditors are appointed by the prime minister with the advice of the Atomic Energy Commission. Their terms of office are 4 years for directors and 2 years for auditors. The third chapter defines the scope of activities of the institute as follows: basic and applied researches on atomic energy; design, construction and operation of nuclear reactors; training of researchers and technicians; and import, production and distribution of radioisotopes. Those activities should be done in accordance with the basic development and utilization plans of atomic energy established by the prime minister with the determination of Atomic Energy Commission. The fourth chapter provides for the finance and accounting of the institute, and the fifth chapter requires the supervision of the institute by the prime minister. (Matsushima, A.)

  7. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  8. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  9. 77 FR 2304 - National Human Genome Research Institute; Notice of Meeting

    Science.gov (United States)

    2012-01-17

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES National Institutes of Health National Human Genome....S.C. 281(d)(4)), notice is hereby given that the National Human Genome Research Institute (NHGRI... meeting of the National Advisory Council for Human Genome Research. Background materials on the proposed...

  10. Radiation protection code of practice in academic and research institutes

    International Nuclear Information System (INIS)

    Abdalla, A. A. M.

    2010-05-01

    The main aim of this study was to establish a code of practice on radiation protection for safe control of radiation sources used in academic and research institutes, another aim of this study was to assess the current situation of radiation protection in some of the academic and research institutes.To achieve the aims of this study, a draft of a code of practice has been developed which is based on international and local relevant recommendation. The developed code includes the following main issues: regulatory responsibilities, radiation protection program and design of radiation installations. The second aim had been accomplished by conducting inspection visits to five (A, B, C, D and E) academic and to four (F, G, H and I ) research institutes. Eight of such institutes are located in Khartoum State and the ninth one is in Madani city (Aljazeera State). The inspection activities have been carried out using a standard inspection check list developed by the regulatory authority of the Sudan. The inspection missions to the above mentioned institutes involved also evaluation of radiation levels around the premises and storage areas of radiation sources. The dose rate measurement around radiation sources locations were found to be quite low. This mainly is due to the fact that the activities of most radionuclides that are used in these institutes are quite low ( in the range of micro curies). Also ,most the x-ray machines that were found in use for scientific academic and research purposes work at low k Vp of maximum 60 k Vp. None of the radiation workers in the inspected institutes has a personal radiation monitoring device, therefor staff dose levels have not been assessed. However it was noted that in most of the academic/ research studies radiation workers are only exposed to very low levels of radiation and for a very short time that dose not exceed 1 minute, therefore the expected occupational exposure of the staff is very low. Radiation measurement in public

  11. Key Institutions in Business and Management Education Research

    Science.gov (United States)

    Fornaciari, Charles J.; Arbaugh, J. B.; Asarta, Carlos J.; Bento, Regina F.; Hwang, Alvin; Lund Dean, Kathy

    2017-01-01

    The authors investigate institutional productivity in business and management education (BME) research based on the analysis of 4,464 articles published by 7,210 authors across 17 BME journals over a 10-year period, involving approximately 1,900 schools worldwide. Departing from traditional disciplinary silos, they examine the BME research field…

  12. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  13. Policy Analysis: The New Reality for Institutional Research. AIR Forum 1982 Paper.

    Science.gov (United States)

    Parker, John D.; Fenske, Robert H.

    The problems and opportunities for institutional research in academe as it becomes increasingly policy analytic in activity and orientation are explored. It is suggested that for the 1980s the most viable and dynamic role for institutional research will be in providing leaders in higher education institutions with policy-relevant knowledge in…

  14. PROGER - Management program for radioactive wastes in research institutions

    International Nuclear Information System (INIS)

    Ferreira, Rubemar S.; Costa, Maria Regina Ferro; Ramos Junior, Anthenor C.; Esposito, Irapoan; Vaz, Solange dos Reis e; Pontedeiro, Elizabeth May; Gomes, Carlos de Almeida

    1997-01-01

    This article demonstrates the feasibility of a program, denominated PROGER, and aimed at the improvement of radioactive waste management activities in research institutions in Brazil. This program involves implementation, correction and updating of waste management techniques in those institutions that already possess a waste management system or its full set-up for the institutions where it is non-existent. Partial results are presented, concerning characteristics and quantities of wastes, and the methodology utilized by PROGER discussed

  15. The financial management of research centers and institutes at U.S. medical schools: findings from six institutions.

    Science.gov (United States)

    Mallon, William T

    2006-06-01

    To explore three questions surrounding the financial management of research centers and institutes at U.S. medical schools: How do medical schools allocate institutional funds to centers and institutes? How and by whom are those decisions made? What are the implications of these decision-making models on the future of the academic biomedical research enterprise? Using a qualitative research design, the author and associates interviewed over 150 faculty members and administrators at six medical schools and their parent universities in 2004. Interview data were transcribed, coded, and analyzed using a grounded theory approach. This methodology generated rich descriptions and explanations of the six medical schools, which can produce extrapolations to, but not necessarily generalizable findings to, other institutions and settings. An examination of four dimensions of financial decision-making-funding timing, process, structure, and culture-produces two essential models of how medical schools approach the financial management of research centers. In the first, a "charity" model, center directors make hat-in-hand appeals directly to the dean, the result of which may depend on individual negotiation skills and personal relationships. In the second, a "planned-giving" model, the process for obtaining and renewing funds is institutionalized, agreed upon, and monitored. The ways in which deans, administrators, department chairs, and center directors attend to, decide upon, and carry out financial decisions can influence how people throughout the medical school think about interdisciplinary and collaborative activities marshalled though centers and institutes.

  16. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  17. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  18. 1994 - 1995 annual report of the NRC Biotechnology Research Institute

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-31

    One of the roles of the Biotechnology Research Institute is to promote leading edge research and development in biotechnology and molecular biology as they relate to industries in the natural resource sectors. To this end, researchers work with industry to develop less polluting, more efficient and economic processes and to solve environmental problems. Scientific studies undertaken in 1994 and 1995 included new analytical techniques and biosensors, bioprocesses for waste and ground water treatment, biopesticides, biodegradation of toxic compounds, biodesulfurization of bitumen, solvent- less sample preparation techniques to analyze environmental pollutants in soils and waste water, protocol for the analysis of petroleum hydrocarbons, gene probes and their applications, biodegradation of energetic compounds, and biofiltration of air emissions. These, and other noteworthy projects undertaken by the Institute, were reviewed and presented ,combined with institutional data. 2 tabs.

  19. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  20. Annual report 2011. Institute of Ion Beam Physics and Materials Research

    International Nuclear Information System (INIS)

    Cordeiro, A.L.; Fassbender, J.; Heera, V.; Helm, M.

    2012-01-01

    The first year of membership of the Helmholtz-Zentrum Dresden-Rossendorf (HZDR) in the Helmholtz Association of German Research Centers (HGF) was a year of many changes also for the Institute of Ion Beam Physics and Materials Research (IIM). The transition period, however, is not yet over, since the full integration of the Center into the HGF will only be completed in the next period of the so-called program-oriented funding (POF). This funding scheme addresses the six core research fields identified by the Helmholtz Association (Energy; Earth and Environment; Health; Key Technologies; Structure of Matter; Aeronautics, Space and Transport) to deal with the grand challenges faced by society, science and industry. Since the Institute has strong contributions to both core fields ''Key Technologies'' and ''Structure of Matter'', intense discussions were held amongst the leading scientists of the Institute, across the Institutes of the HZDR, and finally with leading scientists of other Helmholtz centers, to determine the most appropriate classification of the Institute's research. At the end we decided to establish ourselves in Structure of Matter, the core field in which most of the large-scale photon, neutron and ion facilities in Germany are located. As a consequence, the Ion Beam Center (IBC) of the Institute submitted an application to become a HGF recognized large-scale facility, providing more than 50% of its available beam time to external users. This application perfectly reflects the development of the IBC over more than a decade as a European Union funded infrastructure in the framework of the projects ''Center for Application of Ion Beams in Materials Research (AIM)'' (1998-2000, 2000-2003, 2006-2010) and subsequently as the coordinator of the integrated infrastructure initiative (I3) ''Support of Public and Industrial Research using Ion Beam Technology (SPIRIT)'' (2009-2013). Another part of the Institute's activities is dedicated to exploit the infrared