WorldWideScience

Sample records for metals semiconductors dielectrics

  1. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  2. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  3. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  4. Quantitative analysis and optimization of gravure printed metal ink, dielectric, and organic semiconductor films.

    Science.gov (United States)

    Higgins, Stuart G; Boughey, Francesca L; Hills, Russell; Steinke, Joachim H G; Muir, Beinn V O; Campbell, Alasdair J

    2015-03-11

    Here we demonstrate the optimization of gravure printed metal ink, dielectric, and semiconductor formulations. We present a technique for nondestructively imaging printed films using a commercially available flatbed scanner, combined with image analysis to quantify print behavior. Print speed, cliché screen density, nip pressure, the orientation of print structures, and doctor blade extension were found to have a significant impact on the quality of printed films, as characterized by the spreading of printed structures and variation in print homogeneity. Organic semiconductor prints were observed to exhibit multiple periodic modulations, which are correlated to the underlying cell structure.

  5. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  6. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Preparation and dielectric investigation of organic metal insulator semiconductor (MIS) structures with a ferroelectric polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kalbitz, Rene; Fruebing, Peter; Gerhard, Reimund [Department of Physics and Astronomy, University of Potsdam (Germany); Taylor, Martin [School of Electronic Engineering, Bangor University (United Kingdom)

    2010-07-01

    Ferroelectric field effect transistors (FeFETs) offer the prospect of an organic-based memory device. Since the charge transport in the semiconductor is confined to the interface region between the insulator and the semiconductor, the focus of the present study was on the investigation of this region in metal-insulator-semiconductor (MIS) capacitors using dielectric spectroscopy. Capacitance-Voltage (C-V) measurements at different frequencies as well as capacitance-frequency (C-f) measurements after applying different poling voltages were carried out. The C-V measurements yielded information about the frequency dependence of the depletion layer width as well as the number of charges stored at the semiconductor/ insulator interface. The results are compared to numerical calculations based on a model introduced by S. L. Miller (JAP, 72(12), 1992). The C-f measurements revealed three main relaxation processes. An equivalent circuit has been developed to model the frequency response of the MIS capacitor. With this model the origin of the three relaxations may be deduced.

  8. Absorption properties of metal-semiconductor hybrid nanoparticles.

    Science.gov (United States)

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  9. Semiconductor-to-metallic flipping in a ZnFe2O4–graphene based smart nano-system: Temperature/microwave magneto-dielectric spectroscopy

    International Nuclear Information System (INIS)

    Ameer, Shahid; Gul, Iftikhar Hussain; Mahmood, Nasir; Mujahid, Muhammad

    2015-01-01

    Zn-(FeO 2 ) 2 –graphene smart nano-composites were synthesized using a novel modified solvothermal synthesis with different percentages of graphene. The structure of the nanocomposite was confirmed through X-ray diffraction, micro-Raman scattering spectroscopy, Ultraviolet–Visible spectroscopy, and Fourier transform infrared spectroscopy. The structural growth and morphological aspects were analyzed using scanning/transmission electron microscopy, revealing marvelous micro-structural features of the assembled nano-system resembling a maple leaf. To determine the composition, energy dispersive spectroscopy and X-ray photoelectron spectroscopy were used. Microwave magneto-dielectric spectroscopy revealed the improved dielectric properties of the nano-composite compared to those of the parent functional nanocrystals. Temperature gradient dielectric spectroscopy was used over the spectral range from 100 Hz to 5 MHz to reveal the phenomenological effect that the nanosystem flips from its usual semiconductor nature to a metallic nature with sensing temperature. Electrical conductivity and dielectric analysis indicated that the dielectric loss and the dielectric permittivity increased at room temperature. This extraordinary switching capability of the functionalized graphene nanosystem opens up a new dimension for engineering advanced and efficient smart composite materials. - Graphical abstract: Display Omitted - Highlights: • Zn-(FeO 2 ) 2 –graphene smart nano-composites were synthesized using a novel modified solvothermal synthesis. • The synthesized nano-system exhibits marvelous leaf like microstructure. • These nano-composites show improved magneto dielectric response. • This engineered smart nano-system shows phenomenological flipping from semiconductor like nature to metallic behavior

  10. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  11. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  12. Semiconductor-to-metallic flipping in a ZnFe{sub 2}O{sub 4}–graphene based smart nano-system: Temperature/microwave magneto-dielectric spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ameer, Shahid, E-mail: shahidameer@scme.nust.edu.pk [School of Chemical and Materials Engineering (SCME), National University of Sciences and Technology (NUST), H-12, Islamabad (Pakistan); Gul, Iftikhar Hussain [School of Chemical and Materials Engineering (SCME), National University of Sciences and Technology (NUST), H-12, Islamabad (Pakistan); Mahmood, Nasir [Department of Materials Science and Engineering, College of Engineering, Peking University, Beijing 100871 (China); Mujahid, Muhammad [School of Chemical and Materials Engineering (SCME), National University of Sciences and Technology (NUST), H-12, Islamabad (Pakistan)

    2015-01-15

    Zn-(FeO{sub 2}){sub 2}–graphene smart nano-composites were synthesized using a novel modified solvothermal synthesis with different percentages of graphene. The structure of the nanocomposite was confirmed through X-ray diffraction, micro-Raman scattering spectroscopy, Ultraviolet–Visible spectroscopy, and Fourier transform infrared spectroscopy. The structural growth and morphological aspects were analyzed using scanning/transmission electron microscopy, revealing marvelous micro-structural features of the assembled nano-system resembling a maple leaf. To determine the composition, energy dispersive spectroscopy and X-ray photoelectron spectroscopy were used. Microwave magneto-dielectric spectroscopy revealed the improved dielectric properties of the nano-composite compared to those of the parent functional nanocrystals. Temperature gradient dielectric spectroscopy was used over the spectral range from 100 Hz to 5 MHz to reveal the phenomenological effect that the nanosystem flips from its usual semiconductor nature to a metallic nature with sensing temperature. Electrical conductivity and dielectric analysis indicated that the dielectric loss and the dielectric permittivity increased at room temperature. This extraordinary switching capability of the functionalized graphene nanosystem opens up a new dimension for engineering advanced and efficient smart composite materials. - Graphical abstract: Display Omitted - Highlights: • Zn-(FeO{sub 2}){sub 2}–graphene smart nano-composites were synthesized using a novel modified solvothermal synthesis. • The synthesized nano-system exhibits marvelous leaf like microstructure. • These nano-composites show improved magneto dielectric response. • This engineered smart nano-system shows phenomenological flipping from semiconductor like nature to metallic behavior.

  13. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    Science.gov (United States)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  14. Dielectric function of semiconductor superlattice

    International Nuclear Information System (INIS)

    Qin Guoyi.

    1990-08-01

    We present a calculation of the dielectric function for semiconductor GaAs/Ga 1-x Al x As superlattice taking account of the extension of the electron envelope function and the difference of both the dielectric constant and width between GaAs and Ga 1-x Al x As layers. In the appropriate limits, our results exactly reduce to the well-known results of the quasi two-dimensional electron gas obtained by Lee and Spector and of the period array of two-dimensional electron layers obtained by Das Sarma and Quinn. By means of the dielectric function of the superlattice, the dispersion relation of the collective excitation and the screening property of semiconductor superlattice are discussed and compared with the results of the quasi two-dimensional system and with the results of the periodic array of the two-dimensional electron layers. (author). 4 refs, 3 figs

  15. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    International Nuclear Information System (INIS)

    Gao, Tao; Xu, Ruimin; Kong, Yuechan; Zhou, Jianjun; Kong, Cen; Dong, Xun; Chen, Tangsheng

    2015-01-01

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr 0.52 Ti 0.48 )-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (g m -V g ) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectric constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric

  16. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Tao [Fundamental Science on EHF Laboratory, University of Electronic Science and Technology of China (UESTC), Chengdu 611731 (China); Science and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing Electronic Devices Institute, Nanjing 210016 (China); Xu, Ruimin [Fundamental Science on EHF Laboratory, University of Electronic Science and Technology of China (UESTC), Chengdu 611731 (China); Kong, Yuechan, E-mail: kycfly@163.com; Zhou, Jianjun; Kong, Cen; Dong, Xun; Chen, Tangsheng [Science and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing Electronic Devices Institute, Nanjing 210016 (China)

    2015-06-15

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr{sub 0.52}Ti{sub 0.48})-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (g{sub m}-V{sub g}) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectric constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.

  18. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  19. Parameterization of the dielectric function of semiconductor nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Petrik, P., E-mail: petrik@mfa.kfki.hu

    2014-11-15

    Optical methods like spectroscopic ellipsometry are sensitive to the structural properties of semiconductor films such as crystallinity or grain size. The imaginary part of the dielectric function is proportional to the joint density of electronic states. Consequently, the analysis of the dielectric function around the critical point energies provides useful information about the electron band structure and all related parameters like the grain structure, band gap, temperature, composition, phase structure, and carrier mobility. In this work an attempt is made to present a selection of the approaches to parameterize and analyze the dielectric function of semiconductors, as well as some applications.

  20. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  1. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  2. Bio Organic-Semiconductor Field-Effect Transistor (BioFET) Based on Deoxyribonucleic Acid (DNA) Gate Dielectric

    Science.gov (United States)

    2010-03-31

    floating gate devices and metal-insulator-oxide-semiconductor (MIOS) devices. First attempts to use polarizable gate insulators in combination with...bulk of the semiconductor (ii) Due to the polarizable gate dielectric (iii) dipole polarization and (iv)electret effect due to mobile ions in the...characterization was carried out under an argon environment inside the glove box. An Agilent model E5273A with a two source-measurement unit instrument was

  3. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  4. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  5. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    Science.gov (United States)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  6. Vertical dielectric screening of few-layer van der Waals semiconductors.

    Science.gov (United States)

    Koo, Jahyun; Gao, Shiyuan; Lee, Hoonkyung; Yang, Li

    2017-10-05

    Vertical dielectric screening is a fundamental parameter of few-layer van der Waals two-dimensional (2D) semiconductors. However, unlike the widely-accepted wisdom claiming that the vertical dielectric screening is sensitive to the thickness, our first-principles calculation based on the linear response theory (within the weak field limit) reveals that this screening is independent of the thickness and, in fact, it is the same as the corresponding bulk value. This conclusion is verified in a wide range of 2D paraelectric semiconductors, covering narrow-gap ones and wide-gap ones with different crystal symmetries, providing an efficient and reliable way to calculate and predict static dielectric screening of reduced-dimensional materials. Employing this conclusion, we satisfactorily explain the tunable band gap in gated 2D semiconductors. We further propose to engineer the vertical dielectric screening by changing the interlayer distance via vertical pressure or hybrid structures. Our predicted vertical dielectric screening can substantially simplify the understanding of a wide range of measurements and it is crucial for designing 2D functional devices.

  7. Experimental and modeling study of the capacitance-voltage characteristics of metal-insulator-semiconductor capacitor based on pentacene/parylene

    KAUST Repository

    Wondmagegn, Wudyalew T.; Satyala, Nikhil T.; Mejia, Israel I.; Mao, Duo; Gowrisanker, Srinivas; Alshareef, Husam N.; Stiegler, Harvey J.; Quevedo-Ló pez, Manuel Angel Quevedo; Pieper, Ron J.; Gnade, Bruce E.

    2011-01-01

    The capacitance-voltage (C-V) characteristics of metal-insulator- semiconductor (MIS) capacitors consisting of pentacene as an organic semiconductor and parylene as the dielectric have been investigated by experimental, analytical, and numerical

  8. Investigation of porosity and fractal properties of the sintered metal and semiconductor layers in the MDS capacitor structure

    Directory of Open Access Journals (Sweden)

    Skatkov Leonid

    2012-01-01

    Full Text Available MDS capacitor (metal - dielectric - semiconductor is a structure in which metal plate is represented by compact bulk-porous pellets of niobium sintered powder, and semiconductor plate - by pyrolytic layer of MnO2. In the present paper we report the results of investigation of microporosity of sintered Nb and pyrolytic MnO2 and also the fractal properties of semiconductor layer.

  9. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  10. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  11. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  12. Pr-O-Al-N dielectrics for metal insulator semiconductor stacks

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, Karsten; Torche, Mohamed; Sohal, Rakesh; Karavaev, Konstantin; Burkov, Yevgen; Schwiertz, Carola; Schmeisser, Dieter [Brandenburg University of Technology, Chair of Applied Physics and Sensors, K.-Wachsmann-Allee 1, 03046 Cottbus (Germany)

    2011-02-15

    This work focuses on praseodymium oxide films as a high-k material on silicon and silicon carbide (SiC) in metal insulator semiconductor samples. The electrical results are correlated to spectroscopic findings on this material system. Strong interfacial reactions between the praseodymium oxide and the semiconductor as well as silicon inter-diffusion into the high-k material are observed. The importance of a buffer layer is discussed and its optimisation is addressed, too. In particular the improvement of the performance by the introduction of an aluminium oxynitride buffer layer, which acts as an inter-diffusion barrier and reduces the leakage current, the interface state density and the equivalent oxide thickness is demonstrated. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  14. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  15. Accuracy of dielectric-dependent hybrid functionals in the prediction of optoelectronic properties of metal oxide semiconductors: a comprehensive comparison with many-body GW and experiments

    Science.gov (United States)

    Gerosa, M.; E Bottani, C.; Di Valentin, C.; Onida, G.; Pacchioni, G.

    2018-01-01

    Understanding the electronic structure of metal oxide semiconductors is crucial to their numerous technological applications, such as photoelectrochemical water splitting and solar cells. The needed experimental and theoretical knowledge goes beyond that of pristine bulk crystals, and must include the effects of surfaces and interfaces, as well as those due to the presence of intrinsic defects (e.g. oxygen vacancies), or dopants for band engineering. In this review, we present an account of the recent efforts in predicting and understanding the optoelectronic properties of oxides using ab initio theoretical methods. In particular, we discuss the performance of recently developed dielectric-dependent hybrid functionals, providing a comparison against the results of many-body GW calculations, including G 0 W 0 as well as more refined approaches, such as quasiparticle self-consistent GW. We summarize results in the recent literature for the band gap, the band level alignment at surfaces, and optical transition energies in defective oxides, including wide gap oxide semiconductors and transition metal oxides. Correlated transition metal oxides are also discussed. For each method, we describe successes and drawbacks, emphasizing the challenges faced by the development of improved theoretical approaches. The theoretical section is preceded by a critical overview of the main experimental techniques needed to characterize the optoelectronic properties of semiconductors, including absorption and reflection spectroscopy, photoemission, and scanning tunneling spectroscopy (STS).

  16. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  17. Temperature stimulates charge carriers in Ce0.90Fe0.1O2 for semiconductor to metal phase

    Science.gov (United States)

    Parveen, Mubeena; Saravanan, G.; Asvini, V.; Ravichandran, K.; Kalaiselvi, D.

    2018-04-01

    Ce0.90Fe0.1O2, cubic structure exhibits interesting electrical property-due to the effects of oxygen vacancies. Electrical response of nanocrystalline Ce0.90Fe0.1O2 material as a function of temperature was investigated using impedance spectroscopy. A change was observed in Nyquist plot for the temperature 903k, which has been analysed in terms of localized and delocalized electrons. An interesting behaviour of temperature stimulate semiconductor to metal like transition was observed in frequency dependent dielectric constant (ɛ') and frequency dependent dielectric loss(tan δ). Frequency dependence on the real and imaginary part of impedence with respect to temperature aids the presences of semiconductor to metal like transition in Ce0.90Fe0.1O2.

  18. Terahertz plasmonics with semiconductor surfaces and antennas

    NARCIS (Netherlands)

    Gómez Rivas, J.; Berrier, A.

    2009-01-01

    Semiconductors have a Drude-like behavior at terahertz (THz) frequencies similar to metals at optical frequencies. Narrow band gap semiconductors have a dielectric constant with a negative real component and a relatively small imaginary component. This permittivity is characteristic of noble metals

  19. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  20. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  1. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Exciton fission in monolayer transition metal dichalcogenide semiconductors.

    Science.gov (United States)

    Steinhoff, A; Florian, M; Rösner, M; Schönhoff, G; Wehling, T O; Jahnke, F

    2017-10-27

    When electron-hole pairs are excited in a semiconductor, it is a priori not clear if they form a plasma of unbound fermionic particles or a gas of composite bosons called excitons. Usually, the exciton phase is associated with low temperatures. In atomically thin transition metal dichalcogenide semiconductors, excitons are particularly important even at room temperature due to strong Coulomb interaction and a large exciton density of states. Using state-of-the-art many-body theory, we show that the thermodynamic fission-fusion balance of excitons and electron-hole plasma can be efficiently tuned via the dielectric environment as well as charge carrier doping. We propose the observation of these effects by studying exciton satellites in photoemission and tunneling spectroscopy, which present direct solid-state counterparts of high-energy collider experiments on the induced fission of composite particles.

  3. Effects of Y incorporation in TaON gate dielectric on electrical performance of GaAs metal-oxide-semiconductor capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)

    2016-09-15

    In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Ultrafast nonlinear optical processes in metal-dielectric nanocomposites and nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kwang-Hyon

    2012-04-13

    This work reports results of a theoretical study of nonlinear optical processes in metal-dielectric nanocomposites used for the increase of the nonlinear coefficients and for plasmonic field enhancement. The main results include the study of the transient saturable nonlinearity in dielectric composites doped with metal nanoparticles, its physical mechanism as well its applications in nonlinear optics. For the study of the transient response, a time-depending equation for the dielectric function of the nanocomposite using the semi-classical two-temperature model is derived. By using this approach, we study the transient nonlinear characteristics of these materials in comparison with preceding experimental measurements. The results show that these materials behave as efficient saturable absorbers for passive mode-locking of lasers in the spectral range from the visible to near IR. We present results for the modelocked dynamics in short-wavelength solid-state and semiconductor disk lasers; in this spectral range other efficient saturable absorbers do not exist. We suggest a new mechanism for the realization of slow light phenomenon by using glasses doped with metal nanoparticles in a pump-probe regime near the plasmonic resonance. Furthermore, we study femtosecond plasmon generation by mode-locked surface plasmon polariton lasers with Bragg reflectors and metal-gain-absorber layered structures. In the final part of the thesis, we present results for high-order harmonic generation near a metallic fractal rough surface. The results show a possible reduction of the pump intensities by three orders of magnitudes and two orders of magnitudes higher efficiency compared with preceding experimental results by using bow-tie nanostructures.

  5. Using a Semiconductor-to-Metal Transition to Control Optical Transmission through Subwavelength Hole Arrays

    Directory of Open Access Journals (Sweden)

    E. U. Donev

    2008-01-01

    Full Text Available We describe a simple configuration in which the extraordinary optical transmission effect through subwavelength hole arrays in noble-metal films can be switched by the semiconductor-to-metal transition in an underlying thin film of vanadium dioxide. In these experiments, the transition is brought about by thermal heating of the bilayer film. The surprising reverse hysteretic behavior of the transmission through the subwavelength holes in the vanadium oxide suggest that this modulation is accomplished by a dielectric-matching condition rather than plasmon coupling through the bilayer film. The results of this switching, including the wavelength dependence, are qualitatively reproduced by a transfer matrix model. The prospects for effecting a similar modulation on a much faster time scale by using ultrafast laser pulses to trigger the semiconductor-to-metal transition are also discussed.

  6. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    Science.gov (United States)

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  7. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  8. Photoluminescence intermittency of semiconductor quantum dots in dielectric environments

    Energy Technology Data Exchange (ETDEWEB)

    Isaac, A.

    2006-08-11

    The experimental studies presented in this thesis deal with the photoluminescence intermittency of semiconductor quantum dots in different dielectric environments. Detailed analysis of intermittency statistics from single capped CdSe/ZnS, uncapped CdSe and water dispersed CdSe/ZnS QDs in different matrices provide experimental evidence for the model of photoionization with a charge ejected into the surrounding matrix as the source of PL intermittency phenomenon. We propose a self-trapping model to explain the increase of dark state lifetimes with the dielectric constant of the matrix. (orig.)

  9. Metal-optic and Plasmonic Semiconductor-based Nanolasers

    Science.gov (United States)

    2012-05-07

    after, 65 the sample was placed into a load-lock to deposit 5 nm of titanium dioxide. The tita - nium dioxide serves as a dielectric (although it is a...into a load-lock to deposit 5 nm of titanium dioxide. The tita - nium dioxide serves as a dielectric (although it is a large-bandgap semiconductor) to

  10. Electrochemical impedance spectroscopy for quantitative interface state characterization of planar and nanostructured semiconductor-dielectric interfaces

    Science.gov (United States)

    Meng, Andrew C.; Tang, Kechao; Braun, Michael R.; Zhang, Liangliang; McIntyre, Paul C.

    2017-10-01

    The performance of nanostructured semiconductors is frequently limited by interface defects that trap electronic carriers. In particular, high aspect ratio geometries dramatically increase the difficulty of using typical solid-state electrical measurements (multifrequency capacitance- and conductance-voltage testing) to quantify interface trap densities (D it). We report on electrochemical impedance spectroscopy (EIS) to characterize the energy distribution of interface traps at metal oxide/semiconductor interfaces. This method takes advantage of liquid electrolytes, which provide conformal electrical contacts. Planar Al2O3/p-Si and Al2O3/p-Si0.55Ge0.45 interfaces are used to benchmark the EIS data against results obtained from standard electrical testing methods. We find that the solid state and EIS data agree very well, leading to the extraction of consistent D it energy distributions. Measurements carried out on pyramid-nanostructured p-Si obtained by KOH etching followed by deposition of a 10 nm ALD-Al2O3 demonstrate the application of EIS to trap characterization of a nanostructured dielectric/semiconductor interface. These results show the promise of this methodology to measure interface state densities for a broad range of semiconductor nanostructures such as nanowires, nanofins, and porous structures.

  11. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  12. Studies on metal-dielectric plasmonic structures.

    Energy Technology Data Exchange (ETDEWEB)

    Chettiar, Uday K. (Purdue University, West Lafayette, IN); Liu, Zhengtong (Purdue University, West Lafayette, IN); Thoreson, Mark D. (Purdue University, West Lafayette, IN); Shalaev, Vladimir M. (Purdue University, West Lafayette, IN); Drachev, Vladimir P. (Purdue University, West Lafayette, IN); Pack, Michael Vern; Kildishev, Alexander V. (Purdue University, West Lafayette, IN); Nyga, Piotr (Purdue University, West Lafayette, IN)

    2010-01-01

    The interaction of light with nanostructured metal leads to a number of fascinating phenomena, including plasmon oscillations that can be harnessed for a variety of cutting-edge applications. Plasmon oscillation modes are the collective oscillation of free electrons in metals under incident light. Previously, surface plasmon modes have been used for communication, sensing, nonlinear optics and novel physics studies. In this report, we describe the scientific research completed on metal-dielectric plasmonic films accomplished during a multi-year Purdue Excellence in Science and Engineering Graduate Fellowship sponsored by Sandia National Laboratories. A variety of plasmonic structures, from random 2D metal-dielectric films to 3D composite metal-dielectric films, have been studied in this research for applications such as surface-enhanced Raman sensing, tunable superlenses with resolutions beyond the diffraction limit, enhanced molecular absorption, infrared obscurants, and other real-world applications.

  13. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  14. Fabrication and electrical properties of metal-oxide semiconductor capacitors based on polycrystalline p-Cu{sub x}O and HfO{sub 2}/SiO{sub 2} high-{kappa} stack gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Zou Xiao [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Department of Electromachine Engineering, Jianghan University, Wuhan, 430056 (China); Fang Guojia, E-mail: gjfang@whu.edu.c [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Yuan Longyan; Liu Nishuang; Long Hao; Zhao Xingzhong [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China)

    2010-05-31

    Polycrystalline p-type Cu{sub x}O films were deposited after the growth of HfO{sub 2} dielectric on Si substrate by pulsed laser deposition, and Cu{sub x}O metal-oxide-semiconductor (MOS) capacitors with HfO{sub 2}/SiO{sub 2} stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu{sup +}/Cu{sup 2+} ratios of Cu{sub x}O films respectively. SiO{sub 2} interlayer formed between the high-{kappa} dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO{sub 2} is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO{sub 2}/SiO{sub 2} stack dielectrics is {approx} 10{sup -4} A/cm{sup 2}. Results also show that the annealing in N{sub 2} can improve the quality of Cu{sub x}O/HfO{sub 2} interface and thus reduce the gate leakage density.

  15. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  16. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    Science.gov (United States)

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  17. Ac-conductivity and dielectric response of new zinc-phosphate glass/metal composites

    Energy Technology Data Exchange (ETDEWEB)

    Maaroufi, A., E-mail: maaroufi@fsr.ac.ma [University of Mohammed V, Laboratory of Composite Materials, Polymers and Environment, Department of Chemistry, Faculty of Sciences, P.B. 1014, Rabat-Agdal (Morocco); Oabi, O. [University of Mohammed V, Laboratory of Composite Materials, Polymers and Environment, Department of Chemistry, Faculty of Sciences, P.B. 1014, Rabat-Agdal (Morocco); Lucas, B. [XLIM UMR 7252 – Université de Limoges/CNRS, 123 avenue Albert Thomas, 87060 Limoges Cedex (France)

    2016-07-01

    The ac-conductivity and dielectric response of new composites based on zinc-phosphate glass with composition 45 mol%ZnO–55 mol%P{sub 2}O{sub 5}, filled with metallic powder of nickel (ZP/Ni) were investigated by impedance spectroscopy in the frequency range from 100 Hz to 1 MHz at room temperature. A high percolating jump of seven times has been observed in the conductivity behavior from low volume fraction of filler to the higher fractions, indicating an insulator – semiconductor phase transition. The measured conductivity at higher filler volume fraction is about 10{sup −1} S/cm and is frequency independent, while, the obtained conductivity for low filler volume fraction is around 10{sup −8} S/cm and is frequency dependent. Moreover, the elaborated composites are characterized by high dielectric constants in the range of 10{sup 5} for conductive composites at low frequencies (100 Hz). In addition, the distribution of the relaxation processes was also evaluated. The Debye, Cole-Cole, Davidson–Cole and Havriliak–Negami models in electric modulus formalism were used to model the observed relaxation phenomena in ZP/Ni composites. The observed relaxation phenomena are fairly simulated by Davidson–Cole model, and an account of the interpretation of results is given. - Highlights: • Composites of ZnO-P{sub 2}O{sub 5}/metal were investigated by impedance spectroscopy. • Original ac-conductivity behavior was discovered in ZnO-P{sub 2}O{sub 5}/metal composites. • High dielectric constant is measured in ZnO-P{sub 2}O{sub 5}/metal composites. • Dielectric constant as filler function is well interpreted with percolation theory. • Observed relaxation processes are well described using electric modulus formalism.

  18. Accumulation capacitance frequency dispersion of III-V metal-insulator-semiconductor devices due to disorder induced gap states

    International Nuclear Information System (INIS)

    Galatage, R. V.; Zhernokletov, D. M.; Dong, H.; Brennan, B.; Hinkle, C. L.; Wallace, R. M.; Vogel, E. M.

    2014-01-01

    The origin of the anomalous frequency dispersion in accumulation capacitance of metal-insulator-semiconductor devices on InGaAs and InP substrates is investigated using modeling, electrical characterization, and chemical characterization. A comparison of the border trap model and the disorder induced gap state model for frequency dispersion is performed. The fitting of both models to experimental data indicate that the defects responsible for the measured dispersion are within approximately 0.8 nm of the surface of the crystalline semiconductor. The correlation between the spectroscopically detected bonding states at the dielectric/III-V interface, the interfacial defect density determined using capacitance-voltage, and modeled capacitance-voltage response strongly suggests that these defects are associated with the disruption of the III-V atomic bonding and not border traps associated with bonding defects within the high-k dielectric.

  19. Plasmonic finite-thickness metal-semiconductor-metal waveguide as ultra-compact modulator

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia; Malureanu, Radu; Lavrinenko, Andrei

    2013-01-01

    We propose a plasmonic waveguide with semiconductor gain material for optoelectronic integrated circuits. We analyze properties of a finite-thickness metal-semiconductor-metal (F-MSM) waveguide to be utilized as an ultra-compact and fast plasmonic modulator. The InP-based semiconductor core allows...

  20. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  1. Mechanisms of current flow in metal-semiconductor ohmic contacts

    International Nuclear Information System (INIS)

    Blank, T. V.; Gol'dberg, Yu. A.

    2007-01-01

    Published data on the properties of metal-semiconductor ohmic contacts and mechanisms of current flow in these contacts (thermionic emission, field emission, thermal-field emission, and also current flow through metal shunts) are reviewed. Theoretical dependences of the resistance of an ohmic contact on temperature and the charge-carrier concentration in a semiconductor were compared with experimental data on ohmic contacts to II-VI semiconductors (ZnSe, ZnO), III-V semiconductors (GaN, AlN, InN, GaAs, GaP, InP), Group IV semiconductors (SiC, diamond), and alloys of these semiconductors. In ohmic contacts based on lightly doped semiconductors, the main mechanism of current flow is thermionic emission with the metal-semiconductor potential barrier height equal to 0.1-0.2 eV. In ohmic contacts based on heavily doped semiconductors, the current flow is effected owing to the field emission, while the metal-semiconductor potential barrier height is equal to 0.3-0.5 eV. In alloyed In contacts to GaP and GaN, a mechanism of current flow that is not characteristic of Schottky diodes (current flow through metal shunts formed by deposition of metal atoms onto dislocations or other imperfections in semiconductors) is observed

  2. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  3. Metal-dielectric interfaces in gigascale electronics thermal and electrical stability

    CERN Document Server

    He, Ming

    2012-01-01

    Metal-dielectric interfaces are ubiquitous in modern electronics. As advanced gigascale electronic devices continue to shrink, the stability of these interfaces is becoming an increasingly important issue that has a profound impact on the operational reliability of these devices. In this book, the authors present the basic science underlying  the thermal and electrical stability of metal-dielectric interfaces and its relationship to the operation of advanced interconnect systems in gigascale electronics. Interface phenomena, including chemical reactions between metals and dielectrics, metallic-atom diffusion, and ion drift, are discussed based on fundamental physical and chemical principles. Schematic diagrams are provided throughout the book to illustrate  interface phenomena and the principles that govern them. Metal-Dielectric Interfaces in Gigascale Electronics  provides a unifying approach to the diverse and sometimes contradictory test results that are reported in the literature on metal-dielectric i...

  4. Metal-semiconductor interface in extreme temperature conditions

    International Nuclear Information System (INIS)

    Bulat, L.P.; Erofeeva, I.A.; Vorobiev, Yu.V.; Gonzalez-Hernandez, J.

    2008-01-01

    We present an investigation of electrons' and phonons' temperatures in the volume of a semiconductor (or metal) sample and at the interface between metal and semiconductor. Two types of mismatch between electrons' and phonons' temperatures take place: at metal-semiconductor interfaces and in the volume of the sample. The temperature mismatch leads to nonlinear terms in expressions for heat and electricity transport. The nonlinear effects should be taken into consideration in the study of electrical and heat transport in composites and in electronic chips

  5. Physical and electrical characteristics of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with rare earth Er2O3 as a gate dielectric

    International Nuclear Information System (INIS)

    Lin, Ray-Ming; Chu, Fu-Chuan; Das, Atanu; Liao, Sheng-Yu; Chou, Shu-Tsun; Chang, Liann-Be

    2013-01-01

    In this study, the rare earth erbium oxide (Er 2 O 3 ) was deposited using an electron beam onto an AlGaN/GaN heterostructure to fabricate metal-oxide-semiconductor high-electron-mobility transistors (MOS–HEMTs) that exhibited device performance superior to that of a conventional HEMT. Under similar bias conditions, the gate leakage currents of these MOS–HEMT devices were four orders of magnitude lower than those of conventional Schottky gate HEMTs. The measured sub-threshold swing (SS) and the effective trap state density (N t ) of the MOS–HEMT were 125 mV/decade and 4.3 × 10 12 cm −2 , respectively. The dielectric constant of the Er 2 O 3 layer in this study was 14, as determined through capacitance–voltage measurements. In addition, the gate–source reverse breakdown voltage increased from –166 V for the conventional HEMT to –196 V for the Er 2 O 3 MOS–HEMT. - Highlights: ► GaN/AlGaN/Er 2 O 3 metal-oxide semiconductor high electron mobility transistor ► Physical and electrical characteristics are presented. ► Electron beam evaporated Er 2 O 3 with excellent surface roughness ► Device exhibits reduced gate leakage current and improved I ON /I OFF ratio

  6. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.

    1992-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with the good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high-efficiency, room temperature gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, the authors have procured and tested a commercial device with operating characteristics similar to those of a single layer of the composite device. They have modeled the radiation transport in a multi-layered device, to verify the initial calculations of layer thickness and composition. They have modeled the electrostatic field in different device designs to locate and remove high-field regions that can cause device breakdown. They have fabricated 14 single layer prototypes

  7. Hot-electron-based solar energy conversion with metal-semiconductor nanodiodes

    Science.gov (United States)

    Lee, Young Keun; Lee, Hyosun; Lee, Changhwan; Hwang, Euyheon; Park, Jeong Young

    2016-06-01

    Energy dissipation at metal surfaces or interfaces between a metal and a dielectric generally results from elementary excitations, including phonons and electronic excitation, once external energy is deposited to the surface/interface during exothermic chemical processes or an electromagnetic wave incident. In this paper, we outline recent research activities to develop energy conversion devices based on hot electrons. We found that photon energy can be directly converted to hot electrons and that hot electrons flow through the interface of metal-semiconductor nanodiodes where a Schottky barrier is formed and the energy barrier is much lower than the work function of the metal. The detection of hot electron flow can be successfully measured using the photocurrent; we measured the photoyield of photoemission with incident photons-to-current conversion efficiency (IPCE). We also show that surface plasmons (i.e. the collective oscillation of conduction band electrons induced by interaction with an electromagnetic field) are excited on a rough metal surface and subsequently decay into secondary electrons, which gives rise to enhancement of the IPCE. Furthermore, the unique optical behavior of surface plasmons can be coupled with dye molecules, suggesting the possibility for producing additional channels for hot electron generation.

  8. Electromagnetic properties of metal-dielectric media and their applications

    Science.gov (United States)

    Animilli, Shravan Rakesh

    composites. Based on the obtained numerical data a scaling theory for the higher order electric field moments is developed. A distinct evidence of singularities in the surface plasmon density of states and localization length is obtained, correlating with results previously obtained for two dimensional systems. This leads to the main finding of this work; i.e., the delocalization of surface plasmon states in percolating metal-dielectric composite materials is universally present regardless of the dimensionality of the problem. This dissertation also proposes a new approach toward developing highly efficient inorganic/organic solar cell, by presenting a method for enhancement in the optical absorption and overall cell efficiency. Specifically, the approach improves the operation characteristics of inorganic semiconductor (e.g. Si and a-Si) and organic (P3HT:PCBM) thin film solar cells by integrating a thin, inhomogeneous, metal-dielectric composite (MDC) electrode at the interface between the transparent electrode and active layer. Through numerical simulations, we show that under solar illumination, surface plasmons are excited within the fractal MDC electrode across an extremely broad range of optical frequencies, trapping the incoming light and ensuring an optimal absorption into the active layer of the solar cells. An analytical model is developed to study the I-V characteristics of the cells, providing a pathway toward achieving optimal efficiency and better understanding of the behavior of charge carriers. Using this model, it is shown that including gold MDC electrodes can lead to an enhancement in solar cell power conversion efficiency up to 33% higher compared to the benchmark device.

  9. Temperature-induced delocalization of charge carriers and semiconductor to metal-like phase in SrFeO{sub 3-δ}

    Energy Technology Data Exchange (ETDEWEB)

    Manimuthu, P.; Venkateswaran, C. [University of Madras, Department of Nuclear Physics, Guindy Campus, Chennai (India); Murugaraj, R. [Anna University, Department of Physics, MIT Campus, Chennai (India)

    2015-04-01

    Perovskite SrFeO{sub 3-δ}, a Ruddlesden-Popper class of system exhibits interesting electronic and magnetic properties. Influence of oxygen vacancies on the electrical response of nanocrystalline SrFeO{sub 2.91} as a function of temperature is investigated using impedance spectroscopy technique. A change observed in the Nyquist plot at 383 K has been analyzed in terms of localized and delocalized e{sub g} electrons. An unusual and interesting temperature-induced semiconductor to metal-like transition is observed in the frequency-dependent real part of dielectric permittivity. Dependence of frequency on the real and imaginary parts of impedance with respect to temperature supports the presence of semiconductor to metal-like transition in SrFeO{sub 2.91}. (orig.)

  10. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  11. A unique metal-semiconductor interface and resultant electron transfer phenomenon

    OpenAIRE

    Taft, S. L.

    2012-01-01

    An unusual electron transfer phenomenon has been identified from an n-type semiconductor to Schottky metal particles, the result of a unique metal semiconductor interface that results when the metal particles are grown from the semiconductor substrate. The unique interface acts as a one-way (rectifying) open gateway and was first identified in reduced rutile polycrystalline titanium dioxide (an n-type semiconductor) to Group VIII (noble) metal particles. The interface significantly affects th...

  12. Effect of thermal treatment on the density of radiation-induced defects in dielectrics and on the semiconductor surface of silicon MDS structures

    International Nuclear Information System (INIS)

    Daliev, Kh.S.; Lebedev, A.A.; Ehkke, V.; 3425000DD)

    1987-01-01

    Isochronous annealing of radiation defects formed under MIS structure irradiation by γ-quanta at the presence of shift stress on a metal electrode is studied. Complex measurements of non-stationary capacitance spectroscopy and volt-farad characteristics (VFC) have shown that a built-in charge and volumetric states (VS) of the dielectric are annealed at 250 deg C, fast surface states (SS) - at 350 deg C, and the characteristic radiation defect in the Si-SiO 2 transition layer is completely annealed only at 400 deg C. Additional VS and SS occurring in the structures at positive shift on the metal electrode under radiation are annealed at 120 deg C, the kinetics of defect annealing at higher temperatures is independent from shift polarity. SS density calculated by VFC is determined in reality by recharging not only SS but some VS of the dielectric in the range of width of the order of 3.5 nm from the surface of the semiconductor

  13. Perfect coupling of light to a periodic dielectric/metal/dielectric structure

    Science.gov (United States)

    Wang, Zhengling; Li, Shiqiang; Chang, R. P. H.; Ketterson, John B.

    2014-07-01

    Using the finite difference time domain method, it is demonstrated that perfect coupling can be achieved between normally incident light and a periodic dielectric/metal/dielectric structure. The structure serves as a diffraction grating that excites modes related to the long range surface plasmon and short range surface plasmon modes that propagate on continuous metallic films. By optimizing the structural dimensions, perfect coupling is achieved between the incident light and these modes. A high Q of 697 and an accompanying ultrasharp linewidth of 0.8 nm are predicted for a 10 nm silver film for optimal conditions.

  14. Synthesis, Characterization, and Ultrafast Dynamics of Metal, Metal Oxide, and Semiconductor Nanomaterials

    OpenAIRE

    Wheeler, Damon Andreas

    2013-01-01

    SYNTHESIS, CHARACTERIZATION, AND ULTRAFAST DYNAMICS OF METAL, METAL OXIDE, AND SEMICONDUCTOR NANOMATERIALSABSTRACTThe optical properties of each of the three main classes of inorganic nanomaterials, metals, metal oxides, and semiconductors differ greatly due to the intrinsically different nature of the materials. These optical properties are among the most fascinating and useful aspects of nanomaterials with applications spanning cancer treatment, sensors, lasers, and solar cells. One techn...

  15. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  16. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    Science.gov (United States)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    2017-12-05

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  17. Modelling of Leakage Current Through Double Dielectric Gate Stack in Metal Oxide Semiconductor Capacitor

    International Nuclear Information System (INIS)

    Fatimah A Noor; Mikrajuddin Abdullah; Sukirno; Khairurrijal

    2008-01-01

    In this paper, we have derived analytical expression of leakage current through double barriers in Metal Oxide Semiconductor (MOS) capacitor. Initially, electron transmittance through the MOS capacitor was derived by including the coupling between the transverse and longitudinal energies. The transmittance was then employed to obtain leakage current through the double barrier. In this model, we observed the effect of electron velocity due to the coupling effect and the oxide thickness to the leakage current. The calculated results showed that the leakage current decreases as the electron velocity increases. (author)

  18. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    Science.gov (United States)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  19. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2016-12-20

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  20. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2017-12-05

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  1. Nanostructured Anodic Multilayer Dielectric Stacked Metal-Insulator-Metal Capacitors.

    Science.gov (United States)

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2015-12-01

    This paper presents the fabrication of Al2O3/TiO2/Al2O3 metal-insulator-metal (MIM) capacitor using anodization technique. High capacitance density of > 3.5 fF/μm2, low quadratic voltage coefficient of capacitance of dielectric stack required for high performance MIM capacitor.

  2. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  3. Absorption in one-dimensional metallic-dielectric photonic crystals

    International Nuclear Information System (INIS)

    Yu Junfei; Shen Yifeng; Liu Xiaohan; Fu Rongtang; Zi Jian; Zhu Zhiqiang

    2004-01-01

    We show theoretically that the absorption of one-dimensional metallic-dielectric photonic crystals can be enhanced considerably over the corresponding constituent metal. By properly choosing the structural and material parameters, the absorption of one-dimensional metallic-dielectric photonic crystals can be enhanced by one order of magnitude in the visible and in the near infrared regions. It is found that the absorptance of such photonic crystals increases with increasing number of periods. Rules on how to obtain a absorption enhancement in a certain frequency range are discussed. (letter to the editor)

  4. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  5. Electron-electron scattering-induced channel hot electron injection in nanoscale n-channel metal-oxide-semiconductor field-effect-transistors with high-k/metal gate stacks

    International Nuclear Information System (INIS)

    Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Liu, Xi-Wen; Chang, Ting-Chang; Chen, Ching-En; Ho, Szu-Han; Tseng, Tseung-Yuen; Cheng, Osbert; Huang, Cheng-Tung; Lu, Ching-Sen

    2014-01-01

    This work investigates electron-electron scattering (EES)-induced channel hot electron (CHE) injection in nanoscale n-channel metal-oxide-semiconductor field-effect-transistors (n-MOSFETs) with high-k/metal gate stacks. Many groups have proposed new models (i.e., single-particle and multiple-particle process) to well explain the hot carrier degradation in nanoscale devices and all mechanisms focused on Si-H bond dissociation at the Si/SiO 2 interface. However, for high-k dielectric devices, experiment results show that the channel hot carrier trapping in the pre-existing high-k bulk defects is the main degradation mechanism. Therefore, we propose a model of EES-induced CHE injection to illustrate the trapping-dominant mechanism in nanoscale n-MOSFETs with high-k/metal gate stacks.

  6. Carrier scattering in metals and semiconductors

    CERN Document Server

    Gantmakher, VF

    1987-01-01

    The transport properties of solids, as well as the many optical phenomena in them are determined by the scattering of current carriers. ``Carrier Scattering in Metals and Semiconductors'' elucidates the state of the art in the research on the scattering mechanisms for current carriers in metals and semiconductors and describes experiments in which these mechanisms are most dramatically manifested.The selection and organization of the material is in a form to prepare the reader to reason independently and to deal just as independently with available theoretical results and experimental

  7. Effective carrier sweepout in a silicon waveguide by a metal-semiconductor-metal structure

    DEFF Research Database (Denmark)

    Ding, Yunhong; Hu, Hao; Ou, Haiyan

    2015-01-01

    We demonstrate effective carrier depletion by metal-semiconductor-metal junctions for a silicon waveguide. Photo-generated carriers are efficiently swept out by applying bias voltages, and a shortest carrier lifetime of only 55 ps is demonstrated.......We demonstrate effective carrier depletion by metal-semiconductor-metal junctions for a silicon waveguide. Photo-generated carriers are efficiently swept out by applying bias voltages, and a shortest carrier lifetime of only 55 ps is demonstrated....

  8. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    Science.gov (United States)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  9. Ultrafast photoinduced charge separation in metal-semiconductor nanohybrids.

    Science.gov (United States)

    Mongin, Denis; Shaviv, Ehud; Maioli, Paolo; Crut, Aurélien; Banin, Uri; Del Fatti, Natalia; Vallée, Fabrice

    2012-08-28

    Hybrid nano-objects formed by two or more disparate materials are among the most promising and versatile nanosystems. A key parameter in their properties is interaction between their components. In this context we have investigated ultrafast charge separation in semiconductor-metal nanohybrids using a model system of gold-tipped CdS nanorods in a matchstick architecture. Experiments are performed using an optical time-resolved pump-probe technique, exciting either the semiconductor or the metal component of the particles, and probing the light-induced change of their optical response. Electron-hole pairs photoexcited in the semiconductor part of the nanohybrids are shown to undergo rapid charge separation with the electron transferred to the metal part on a sub-20 fs time scale. This ultrafast gold charging leads to a transient red-shift and broadening of the metal surface plasmon resonance, in agreement with results for free clusters but in contrast to observation for static charging of gold nanoparticles in liquid environments. Quantitative comparison with a theoretical model is in excellent agreement with the experimental results, confirming photoexcitation of one electron-hole pair per nanohybrid followed by ultrafast charge separation. The results also point to the utilization of such metal-semiconductor nanohybrids in light-harvesting applications and in photocatalysis.

  10. Extraordinary Magnetoresistance in Semiconductor/Metal Hybrids: A Review

    Science.gov (United States)

    Sun, Jian; Kosel, Jürgen

    2013-01-01

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device’s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed. PMID:28809321

  11. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  12. Experimental and modeling study of the capacitance-voltage characteristics of metal-insulator-semiconductor capacitor based on pentacene/parylene

    KAUST Repository

    Wondmagegn, Wudyalew T.

    2011-04-01

    The capacitance-voltage (C-V) characteristics of metal-insulator- semiconductor (MIS) capacitors consisting of pentacene as an organic semiconductor and parylene as the dielectric have been investigated by experimental, analytical, and numerical analysis. The device simulation was performed using two-dimensional drift-diffusion methods taking into account the Poole-Frenkel field-dependent mobility. Pentacene bulk defect states and fixed charge density at the semiconductor/insulator interface were incorporated into the simulation. The analysis examined pentacene/parylene interface characteristics for various parylene thicknesses. For each thickness, the corresponding flat band voltage extracted from the C-V plot of the MIS structure was more negative than - 2.4 V. From the flat band voltage the existence of a significant mismatch between the work functions of the gate electrode and pentacene active material has been identified. Experimental and simulation results suggest the existence of interface charge density on the order of 3 × 1011 q/cm2 at the insulator/semiconductor interface. The frequency dispersion characteristics of the device are also presented and discussed. © 2011 Elsevier B.V.

  13. Mathematical Modeling of Electrical Conductivity of Dielectric with Dispersed Metallic Inclusions

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    Full Text Available Composites are increasingly used for application in engineering as structural, thermal protection and functional materials, including dielectrics, because of a wide variety of properties. The relative dielectric constant and the dielectric loss tangent are basic functional characteristics of a composite used as a dielectric. The quantitative level of these characteristics is mainly affected by the properties of the composite matrix and inclusions as well as their shape and volume concentration. Metallic inclusions in a dielectric, which serves as a function of the composite matrix, expand electrical properties of the composite in particular increase its dielectric constant and dielectric loss tangent and thereby greatly expand its application field. Dielectric losses are defined by the imaginary component of the complex value of the relative dielectric constant of the dielectric. At a relatively low vibration frequency of electromagnetic field affecting the dielectric, this value is proportional to the electrical conductivity of the dielectric and inversely proportional to the frequency. In order to predict the expected value of the electric conductivity of the dielectric with metallic inclusions, a mathematical model that properly describes the structure of the composite and the electrical interaction of the matrix and inclusions is required.In the paper, a mathematical model of the electrical interaction of the representative element of the composite structure and a homogeneous isotropic medium with electrical conductivity, which is desired characteristics of the composite, is constructed. Globular shape of the metallic inclusions as an average statistical form of dispersed inclusions with a comparable size in all directions is adopted. The inclusion is covered with a globular layer of electrical insulation to avoid percolation with increasing volume concentration of inclusions. Outer globular layer of representative structure of composite

  14. Extraordinary magnetoresistance in semiconductor/metal hybrids: A review

    KAUST Repository

    Sun, J.

    2013-02-13

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device\\'s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed. 2013 by the authors.

  15. Extraordinary Magnetoresistance in Semiconductor/Metal Hybrids: A Review

    Directory of Open Access Journals (Sweden)

    Jürgen Kosel

    2013-02-01

    Full Text Available The Extraordinary Magnetoresistance (EMR effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device’s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed.

  16. Light-matter Interactions in Semiconductors and Metals: From Nitride Optoelectronics to Quantum Plasmonics

    Science.gov (United States)

    Narang, Prineha

    This thesis puts forth a theory-directed approach coupled with spectroscopy aimed at the discovery and understanding of light-matter interactions in semiconductors and metals. The first part of the thesis presents the discovery and development of Zn-IV nitride materials. The commercial prominence in the optoelectronics industry of tunable semiconductor alloy materials based on nitride semiconductor devices, specifically InGaN, motivates the search for earth-abundant alternatives for use in efficient, high-quality optoelectronic devices. II-IV-N2 compounds, which are closely related to the wurtzite-structured III-N semiconductors, have similar electronic and optical properties to InGaN namely direct band gaps, high quantum efficiencies and large optical absorption coefficients. The choice of different group II and group IV elements provides chemical diversity that can be exploited to tune the structural and electronic properties through the series of alloys. The first theoretical and experimental investigation of the ZnSnxGe1--xN2 series as a replacement for III-nitrides is discussed here. The second half of the thesis shows ab-initio calculations for surface plasmons and plasmonic hot carrier dynamics. Surface plasmons, electromagnetic modes confined to the surface of a conductor-dielectric interface, have sparked renewed interest because of their quantum nature and their broad range of applications. The decay of surface plasmons is usually a detriment in the field of plasmonics, but the possibility to capture the energy normally lost to heat would open new opportunities in photon sensors, energy conversion devices and switching. A theoretical understanding of plasmon-driven hot carrier generation and relaxation dynamics in the ultrafast regime is presented here. Additionally calculations for plasmon-mediated upconversion as well as an energy-dependent transport model for these non-equilibrium carriers are shown. Finally, this thesis gives an outlook on the

  17. Metallic and 3D-printed dielectric helical terahertz waveguides.

    Science.gov (United States)

    Vogt, Dominik Walter; Anthony, Jessienta; Leonhardt, Rainer

    2015-12-28

    We investigate guidance of Terahertz (THz) radiation in metallic and 3D-printed dielectric helical waveguides in the frequency range from 0.2 to 1 THz. Our experimental results obtained from THz time-domain spectroscopy (THz-TDS) measurements are in very good agreement with finite-difference time-domain (FDTD) simulations. We observe single-mode, low loss and low dispersive propagation of THz radiation in metallic helical waveguides over a broad bandwidth. The 3D-printed dielectric helical waveguides have substantially extended the bandwidth of a low loss dielectric tube waveguide as observed from the experimental and simulation results. The high flexibility of the helical design allows an easy incorporation into bench top THz devices.

  18. Surface plasmon polariton amplification in semiconductor-graphene-dielectric structure

    Energy Technology Data Exchange (ETDEWEB)

    Dadoenkova, Yuliya S. [Ulyanovsk State University, Ulyanovsk (Russian Federation); Novgorod State University, Veliky Novgorod (Russian Federation); Donetsk Institute for Physics and Technology, Donetsk (Ukraine); Moiseev, Sergey G. [Ulyanovsk State University, Ulyanovsk (Russian Federation); Kotelnikov Institute of Radio Engineering and Electronics, Russian Academy of Sciences, Ulyanovsk (Russian Federation); Abramov, Aleksei S. [Ulyanovsk State University, Ulyanovsk (Russian Federation); Kadochkin, Aleksei S.; Zolotovskii, Igor O. [Ulyanovsk State University, Ulyanovsk (Russian Federation); Institute of Nanotechnologies of Microelectronics of the Russian Academy of Sciences, 32A Leninskiy Prosp., 119991, Moscow (Russian Federation); Fotiadi, Andrei A. [Ulyanovsk State University, Ulyanovsk (Russian Federation); Universite de Mons (Belgium)

    2017-05-15

    A mechanism of amplification of surface plasmon polaritons due to the transfer of electromagnetic energy from a drift current wave into a far-infrared surface wave propagating along a semiconductor-dielectric boundary in waveguide geometry is proposed. A necessary condition of the interaction of these waves is phase matching condition, i. e., when the phase velocity of the surface wave approaches the drift velocity of charge carriers. It is shown that in the spectral region of the surface plasmon polariton slowing-down its amplification coefficient can reach values substantially exceeding the ohmic loss coefficient of the surface wave in the structure. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Temperature effects in contacts between a metal and a semiconductor nanowire near the degenerate doping

    Science.gov (United States)

    Sun, Zhuting; Burgess, Tim; Tan, H. H.; Jagadish, Chennupati; Kogan, Andrei

    2018-04-01

    We have investigated the nonlinear conductance in diffusion-doped Si:GaAs nanowires contacted by patterned metal films in a wide range of temperatures T. The wire resistance R W and the zero bias resistance R C, dominated by the contacts, exhibit very different responses to temperature changes. While R W shows almost no dependence on T, R C varies by several orders of magnitude as the devices are cooled from room temperature to T = 5 K. We develop a model that employs a sharp donor level very low in the GaAs conduction band and show that our observations are consistent with the model predictions. We then demonstrate that such measurements can be used to estimate carrier properties in nanostructured semiconductors and obtain an estimate for N D, the doping density in our samples. We also discuss the effects of surface states and dielectric confinement on carrier density in semiconductor nanowires.

  20. Electronic Properties of Metallic Nanoclusters on Semiconductor Surfaces: Implications for Nanoelectronic Device Applications

    International Nuclear Information System (INIS)

    Lee, Takhee; Liu Jia; Chen, N.-P.; Andres, R.P.; Janes, D.B.; Reifenberger, R.

    2000-01-01

    We review current research on the electronic properties of nanoscale metallic islands and clusters deposited on semiconductor substrates. Reported results for a number of nanoscale metal-semiconductor systems are summarized in terms of their fabrication and characterization. In addition to the issues faced in large-area metal-semiconductor systems, nano-systems present unique challenges in both the realization of well-controlled interfaces at the nanoscale and the ability to adequately characterize their electrical properties. Imaging by scanning tunneling microscopy as well as electrical characterization by current-voltage spectroscopy enable the study of the electrical properties of nanoclusters/semiconductor systems at the nanoscale. As an example of the low-resistance interfaces that can be realized, low-resistance nanocontacts consisting of metal nanoclusters deposited on specially designed ohmic contact structures are described. To illustrate a possible path to employing metal/semiconductor nanostructures in nanoelectronic applications, we also describe the fabrication and performance of uniform 2-D arrays of such metallic clusters on semiconductor substrates. Using self-assembly techniques involving conjugated organic tether molecules, arrays of nanoclusters have been formed in both unpatterned and patterned regions on semiconductor surfaces. Imaging and electrical characterization via scanning tunneling microscopy/spectroscopy indicate that high quality local ordering has been achieved within the arrays and that the clusters are electronically coupled to the semiconductor substrate via the low-resistance metal/semiconductor interface

  1. Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors with sulfur passivation

    International Nuclear Information System (INIS)

    Tan Zhen; Zhao Lian-Feng; Wang Jing; Xu Jun

    2014-01-01

    Interfacial and electrical properties of HfAlO/GaSb metal-oxide-semiconductor capacitors (MOSCAPs) with sulfur passivation were investigated and the chemical mechanisms of the sulfur passivation process were carefully studied. It was shown that the sulfur passivation treatment could reduce the interface trap density D it of the HfAlO/GaSb interface by 35% and reduce the equivalent oxide thickness (EOT) from 8 nm to 4 nm. The improved properties are due to the removal of the native oxide layer, as was proven by x-ray photoelectron spectroscopy measurements and high-resolution cross-sectional transmission electron microscopy (HRXTEM) results. It was also found that GaSb-based MOSCAPs with HfAlO gate dielectrics have interfacial properties superior to those using HfO 2 or Al 2 O 3 dielectric layers. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. Magnetic and magneto-dielectric properties of magneto-electric field effect capacitor using Cr2O3

    OpenAIRE

    Takeshi, Yokota; Shotaro, Murata; Takaaki, Kuribayashi; Manabu, Gomi

    2008-01-01

    We investigated the magnetic and dielectric properties of a metal (Pt)/insulator (Cr_2O_3)/magnetic floating gate (Fe)/tunnel layer (CeO_2)/semiconductor (Si) capacitor. This capacitor shows capacitance-voltage (C-V) properties typical of a Si Metal-Insulator-Semiconductor (MIS) capacitor with hysteresis, which indicates that electrons have been injected into the Fe layer. The capacitor also shows ferromagnetic properties. The C-V curve has a hysteresis window with a clockwise trace. This hys...

  3. 1/f Fluctuations in ion implanted metal semiconductor contacts

    International Nuclear Information System (INIS)

    Stojanovic, M.; Marjanovic, N.; Radojevic, B.

    1998-01-01

    Ion implanted Metal-Semiconductor contacts is the most widely used structures in electrical devices. Weather complete devices or some parts are of interest, properties of metal-semiconductor junction strongly influence the quality and external characteristic of electronic devices. That is the reason why special attention is paid to the investigation of factor (noise for example) that could influence given junction. Low frequency 1/f fluctuations (noise) are constantly present in metal-semiconductor junction, so measurement of their level as well as the dependence on factors such as temperature must be taken into account in detailed analysis of electrical characteristics of devices such as contact, nuclear detector with surface barrier etc. In this paper we present the results of low frequency noise level measurements on TiN-Ti-Si structures produced by As + ion implantation. (author)

  4. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  5. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  6. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  7. Electrophoretically applied dielectrics for amorphous metal foils used in pulsed power saturable reactors

    International Nuclear Information System (INIS)

    Sharp, D.J.; Harjes, H.C.; Mann, G.A.

    1989-01-01

    Amorphous metal foil-wound inductors have been tested as ferromagnetic saturable inductive elements for pulsed-power (multi-terawatt) switching modules in the inertial confinement fusion program at Sandia National Laboratories. In simulated capacitor testing premature dielectric breakdown of thin polyethylene terephthalate film insulation in the inductor windings occurs at considerably below 2500 V. This appears to be due to inadvertant dielectric damage from micro-spikes on the amorphous foil surface. Electron micrographs and dielectric breakdown data illustrate that electrophoretically-applied dielectric coatings, deposited from organic aqueous colloid dispersions, can be used to provide insulating coatings on the foil which provide a 240% improvement (6000 V) in the breakdown strength of wound amorphous foil inductors. The theory and operation of a dedicated electrophoretic continuous coating system is described. The machine was constructed and successfully applied for dielectric coating of amorphous metal foil. Additional possible applications exist for practical dielectric coating of metallic films or foils used in various commercial wound-type capacitor structures. 7 refs., 9 figs

  8. Numerical study on characteristic of two-dimensional metal/dielectric photonic crystals

    International Nuclear Information System (INIS)

    Zong Yi-Xin; Xia Jian-Bai; Wu Hai-Bin

    2017-01-01

    An improved plan-wave expansion method is adopted to theoretically study the photonic band diagrams of two-dimensional (2D) metal/dielectric photonic crystals. Based on the photonic band structures, the dependence of flat bands and photonic bandgaps on two parameters (dielectric constant and filling factor) are investigated for two types of 2D metal/dielectric (M/D) photonic crystals, hole and cylinder photonic crystals. The simulation results show that band structures are affected greatly by these two parameters. Flat bands and bandgaps can be easily obtained by tuning these parameters and the bandgap width may reach to the maximum at certain parameters. It is worth noting that the hole-type photonic crystals show more bandgaps than the corresponding cylinder ones, and the frequency ranges of bandgaps also depend strongly on these parameters. Besides, the photonic crystals containing metallic medium can obtain more modulation of photonic bands, band gaps, and large effective refractive index, etc. than the dielectric/dielectric ones. According to the numerical results, the needs of optical devices for flat bands and bandgaps can be met by selecting the suitable geometry and material parameters. (paper)

  9. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian

    2013-02-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry of the semiconductor/metal interface has been neglected so far. However, from a fabrication point of view, this part plays a crucial role. In this paper, the performance of a bar-type hybrid EMR sensor is investigated by means of finite element method and experiments with respect to the hybrid interface geometry. A 3-D model has been developed, which simulates the EMR effect in case of fields in different directions. The semiconductor/metal interface has been investigated in terms of different layer thicknesses and overlaps. The results show that those parameters can cause a change in the output sensitivity of 2%-10%. In order to maintain a high sensitivity and keep the fabrication relatively simple and at low cost, a device with a thin metal shunt having a large overlap on the top of the semiconductor bar would provide the best solution. © 2001-2012 IEEE.

  10. Modelling of dielectric hysteresis loops in ferroelectric semiconductors with charged defects

    International Nuclear Information System (INIS)

    Morozovska, Anna N; Eliseev, Eugene A

    2004-01-01

    We have proposed the phenomenological description of dielectric hysteresis loops in ferroelectric semiconductors with charged defects and prevailing extrinsic conductivity. We have modified the Landau-Ginsburg approach and shown that the macroscopic state of the aforementioned inhomogeneous system can be described by three coupled equations for three order parameters. Both the experimentally observed coercive field values well below the thermodynamic values and the various hysteresis-loop deformations (constricted and double loops) have been obtained in the framework of our model. The obtained results quantitatively explain the ferroelectric switching in such ferroelectric materials as thick PZT films

  11. All-dielectric resonant cavity-enabled metals with broadband optical transparency

    Science.gov (United States)

    Liu, Zhengqi; Zhang, Houjiao; Liu, Xiaoshan; Pan, Pingping; Liu, Yi; Tang, Li; Liu, Guiqiang

    2017-06-01

    Metal films with broadband optical transparency are desirable in many optoelectronic devices, such as displays, smart windows, light-emitting diodes and infrared detectors. As bare metal is opaque to light, this issue of transparency attracts great scientific interest. In this work, we proposed and demonstrated a feasible and universal approach for achieving broadband optical transparent (BOT) metals by utilizing all-dielectric resonant cavities. Resonant dielectrics provide optical cavity modes and couple strongly with the surface plasmons of the metal film, and therefore produce a broadband near-unity optical transparent window. The relative enhancement factor (EF) of light transmission exceeds 3400% in comparison with that of pure metal film. Moreover, the transparent metal motif can be realized by other common metals including gold (Au), silver (Ag) and copper (Cu). These optical features together with the fully retained electric and mechanical properties of a natural metal suggest that it will have wide applications in optoelectronic devices.

  12. Experimental demonstration of CMOS-compatible long-range dielectric-loaded surface plasmon-polariton waveguides (LR-DLSPPWs)

    DEFF Research Database (Denmark)

    Zektzer, Roy; Desiatov, Boris; Mazurski, Noa

    2015-01-01

    We demonstrate the design, fabrication and experimental characterization of long-range dielectric-loaded surface plasmon-polariton waveguides (LR-DLSPPWs) that are compatible with complementary metal-oxide semiconductor (CMOS) technology. The demonstrated waveguides feature good mode confinement...

  13. Plasmon-exciton polaritons in two-dimensional semiconductor/metal interfaces

    Science.gov (United States)

    Gonçalves, P. A. D.; Bertelsen, L. P.; Xiao, Sanshui; Mortensen, N. Asger

    2018-01-01

    The realization and control of polaritons is of paramount importance in the prospect of novel photonic devices. Here, we investigate the emergence of plasmon-exciton polaritons in hybrid structures consisting of a two-dimensional transition-metal dichalcogenide (TMDC) deposited onto a metal substrate or coating a metallic thin film. We determine the polaritonic spectrum and show that, in the former case, the addition of a top dielectric layer and, in the latter case, the thickness of the metal film can be used to tune and promote plasmon-exciton interactions well within the strong-coupling regime. Our results demonstrate that Rabi splittings exceeding 100 meV can readily be achieved in planar dielectric/TMDC/metal structures under ambient conditions. We thus believe that this Rapid Communication provides a simple and intuitive picture to tailor strong coupling in plexcitonics with potential applications for engineering compact photonic devices with tunable optical properties.

  14. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    Science.gov (United States)

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  15. Optical properties of crystalline semiconductors and dielectrics

    International Nuclear Information System (INIS)

    Forouhi, A.R.; Bloomer, I.

    1988-01-01

    A new formulation for the complex index of refraction, N(E) = n(E)-ik(E), as a function of photon energy E, for crystalline semiconductors and dielectrics is developed based on our previous derivation of N(E) for amorphous materials. The extinction coefficient k(E) is deduced from a one-electron model with finite lifetime for the excited electron state. The refractive index n(E) is then derived from the Kramers-Kronig relation as the Hilbert transform of k(E). It is shown that n(∞)>1. Excellent agreement is found between our equations for n(E) and k(E) and published measured values for crystalline Si, Ge, GaP, GaAs, GaSb, InP, InAs, InSb, SiC, cubic C, and α-SiO 2 , over a wide range of energies (∼0--20 eV). Far fewer parameters, all of which have physical significance, are required and they can be determined for a particular material from the position and strength of the peaks in the k spectrum

  16. Materials Fundamentals of Gate Dielectrics

    CERN Document Server

    Demkov, Alexander A

    2006-01-01

    This book presents materials fundamentals of novel gate dielectrics that are being introduced into semiconductor manufacturing to ensure the continuous scalling of the CMOS devices. This is a very fast evolving field of research so we choose to focus on the basic understanding of the structure, thermodunamics, and electronic properties of these materials that determine their performance in device applications. Most of these materials are transition metal oxides. Ironically, the d-orbitals responsible for the high dielectric constant cause sever integration difficulties thus intrinsically limiting high-k dielectrics. Though new in the electronics industry many of these materials are wel known in the field of ceramics, and we describe this unique connection. The complexity of the structure-property relations in TM oxides makes the use of the state of the art first-principles calculations necessary. Several chapters give a detailed description of the modern theory of polarization, and heterojunction band discont...

  17. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    Science.gov (United States)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  18. Numerical study on characteristic of two-dimensional metal/dielectric photonic crystals

    Science.gov (United States)

    Zong, Yi-Xin; Xia, Jian-Bai; Wu, Hai-Bin

    2017-04-01

    An improved plan-wave expansion method is adopted to theoretically study the photonic band diagrams of two-dimensional (2D) metal/dielectric photonic crystals. Based on the photonic band structures, the dependence of flat bands and photonic bandgaps on two parameters (dielectric constant and filling factor) are investigated for two types of 2D metal/dielectric (M/D) photonic crystals, hole and cylinder photonic crystals. The simulation results show that band structures are affected greatly by these two parameters. Flat bands and bandgaps can be easily obtained by tuning these parameters and the bandgap width may reach to the maximum at certain parameters. It is worth noting that the hole-type photonic crystals show more bandgaps than the corresponding cylinder ones, and the frequency ranges of bandgaps also depend strongly on these parameters. Besides, the photonic crystals containing metallic medium can obtain more modulation of photonic bands, band gaps, and large effective refractive index, etc. than the dielectric/dielectric ones. According to the numerical results, the needs of optical devices for flat bands and bandgaps can be met by selecting the suitable geometry and material parameters. Project supported by the National Basic Research Program of China (Grant No. 2011CB922200) and the National Natural Science Foundation of China (Grant No. 605210010).

  19. Dielectric coatings on metal substrates

    International Nuclear Information System (INIS)

    Glaros, S.S.; Baker, P.; Milam, D.

    1976-01-01

    Large aperture, beryllium substrate-based mirrors have been used to focus high intensity pulsed laser beams. Finished surfaces have high reflectivity, low wavefront distortion, and high laser damage thresholds. This paper describes the development of a series of metallic coatings, surface finishing techniques, and dielectric overcoatings to meet specified performance requirements. Beryllium substrates were coated with copper, diamond-machined to within 5 micro-inches to final contour, nickel plated, and abrasively figured to final contour. Bond strengths for several bonding processes are presented. Dielectric overcoatings were deposited on finished multimetallic substrates to increase both reflectivity and the damage thresholds. Coatings were deposited using both high and low temperature processes which induce varying stresses in the finished coating substrate system. Data are presented to show the evolution of wavefront distortion, reflectivity, and damage thresholds throughout the many steps involved in fabrication

  20. Improved dielectric functions in metallic films obtained via template stripping

    Science.gov (United States)

    Hyuk Park, Jong; Nagpal, Prashant; Oh, Sang-Hyun; Norris, David J.

    2012-02-01

    We compare the dielectric functions of silver interfaces obtained via thermal evaporation with those obtained with template stripping. Ellipsometry measurements show that the smoother template-stripped surfaces exhibit effective dielectric functions with a more negative real component and a smaller imaginary component, implying higher conductivity and less energy loss, respectively. These results agree with the relation between dielectric function and surface roughness derived from combining the effective-medium model and the Drude-Lorentz model. The improvement in the effective dielectric properties shows that metallic films prepared via template stripping can be favorable for applications in electronics, nanophotonics, and plasmonics.

  1. Optical properties of hybrid semiconductor-metal structures

    Energy Technology Data Exchange (ETDEWEB)

    Kreilkamp, L.E.; Pohl, M.; Akimov, I.A.; Yakovlev, D.R.; Bayer, M. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Belotelov, V.I.; Zvezdin, A.K. [A.M. Prokhorov General Physics Institute, Russian Academy of Sciences, 119992 Moscow (Russian Federation); Karczewski, G.; Wojtowicz, T. [Institute of Physics, Polish Academy of Sciences, 02668 Warsaw (Poland); Rudzinski, A.; Kahl, M. [Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund (Germany)

    2012-07-01

    We study the optical properties of hybrid nanostructures comprising a semiconductor CdTe quantum well (QW) separated by a thin CdMgTe cap layer of 40 nm from a patterned gold film. The CdTe/CdMgTe QW structure with a well width of 10nm was grown by molecular beam epitaxy. The one-dimensional periodic gold films on top were made using e-beam lithography and lift-off process. The investigated structures can be considered as plasmonic crystals because the metal films attached to the semiconductor are patterned with a period in the range from 475 to 600 nm, which is comparable to the surface plasmon-polariton (SPP) wavelength. Angle dependent reflection spectra at room temperature clearly show plasmonic resonances. PL spectra taken at low temperatures of about 10 K under below- and above-barrier illumination show significant modifications compared to the unstructured QW sample. The number of emission lines and their position shift change depending on the excitation energy. The role of exciton-SPP coupling and Schottky barrier at the semiconductor-metal interface are discussed.

  2. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  3. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  4. Semiconductor-metal transition induced by giant Stark effect in blue phosphorene nanoribbons

    Energy Technology Data Exchange (ETDEWEB)

    Xiong, Peng-Yu; Chen, Shi-Zhang; Zhou, Wu-Xing; Chen, Ke-Qiu, E-mail: keqiuchen@hnu.edu.cn

    2017-06-28

    The electronic structures and transport properties in monolayer blue phosphorene nanoribbons (BPNRs) with transverse electric field have been studied by using density functional theory and nonequilibrium Green's functions method. The results show that the band gaps of BPNRs with both armchair and zigzag edges are linearly decreased with the increasing of the strength of transverse electric field. A semiconductor-metal transition occurs when the electric field strength reaches to 5 V/nm. The Stark coefficient presents a linear dependency on BPNRs widths, and the slopes of both zBPNRs and aBPNRs are 0.41 and 0.54, respectively, which shows a giant Stark effect occurs. Our studies show that the semiconductor-metal transition originates from the giant Stark effect. - Highlights: • The electronic transport in blue phosphorene nanoribbons. • Semiconductor-metal transition can be observed. • The semiconductor-metal transition originates from the giant Stark effect.

  5. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    Science.gov (United States)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  6. Current-Voltage Characteristics of the Metal / Organic Semiconductor / Metal Structures: Top and Bottom Contact Configuration Case

    Directory of Open Access Journals (Sweden)

    Šarūnas MEŠKINIS

    2013-03-01

    Full Text Available In present study five synthesized organic semiconductor compounds have been used for fabrication of the planar metal / organic semiconductor / metal structures. Both top electrode and bottom electrode configurations were used. Current-voltage (I-V characteristics of the samples were investigated. Effect of the hysteresis of the I-V characteristics was observed for all the investigated samples. However, strength of the hysteresis was dependent on the organic semiconductor used. Study of I-V characteristics of the top contact Al/AT-RB-1/Al structures revealed, that in (0 – 500 V voltages range average current of the samples measured in air is only slightly higher than current measured in nitrogen ambient. Deposition of the ultra-thin diamond like carbon interlayer resulted in both decrease of the hysteresis of I-V characteristics of top contact Al/AT-RB-1/Al samples. However, decreased current and decreased slope of the I-V characteristics of the samples with diamond like carbon interlayer was observed as well. I-V characteristic hysteresis effect was less pronounced in the case of the bottom contact metal/organic semiconductor/metal samples. I-V characteristics of the bottom contact samples were dependent on electrode metal used.DOI: http://dx.doi.org/10.5755/j01.ms.19.1.3816

  7. Stability and band offsets between c-plane ZnO semiconductor and LaAlO3 gate dielectric

    Science.gov (United States)

    Wang, Jianli; Chen, Xinfeng; Wu, Shuyin; Tang, Gang; Zhang, Junting; Stampfl, C.

    2018-03-01

    Wurtzite-perovskite heterostructures composed of a high dielectric constant oxide and a wide bandgap semiconductor envision promising applications in field-effect transistors. In the present paper, the structural and electronic properties of LaAlO3/ZnO heterojunctions are investigated by first-principles calculations. We study the initial adsorption of La, Al, and oxygen atoms on ZnO (0001) and (000 1 ¯ ) surfaces and find that La atoms may occupy interstitial sites during the growth of stoichiometric ZnO (0001). The band gap of the stoichiometric ZnO (0001) surface is smaller than that of the stoichiometric ZnO (000 1 ¯ ) surface. The surface formation energy indicates that La or Al atoms may substitute Zn atoms at the nonstoichiometric ZnO (0001) surface. The atomic charges, electronic density of states, and band offsets are analyzed for the optimized LaAlO3/ZnO heterojunctions. There is a band gap for the LaAlO3/ZnO (000 1 ¯ ) heterostructures, and the largest variation in charge occurs at the surface or interface. Our results suggest that the Al-terminated LaAlO3/ZnO (000 1 ¯ ) interfaces are suitable for the design of metal oxide semiconductor devices because the valence and conduction band offsets are both larger than 1 eV and the interface does not produce any in-gap states.

  8. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  9. Metal-semiconductor Schottky barrier junctions and their applications

    CERN Document Server

    1984-01-01

    The present-day semiconductor technology would be inconceivable without extensive use of Schottky barrier junctions. In spite of an excellent book by Professor E.H. Rhoderick (1978) dealing with the basic principles of metal­ semiconductor contacts and a few recent review articles, the need for a monograph on "Metal-Semiconductor Schottky Barrier Junctions and Their Applications" has long been felt by students, researchers, and technologists. It was in this context that the idea of publishing such a monograph by Mr. Ellis H. Rosenberg, Senior Editor, Plenum Publishing Corporation, was considered very timely. Due to the numerous and varied applications of Schottky barrier junctions, the task of bringing it out, however, looked difficult in the beginning. After discussions at various levels, it was deemed appropriate to include only those typical applications which were extremely rich in R&D and still posed many challenges so that it could be brought out in the stipulated time frame. Keeping in view the la...

  10. Simulation studies of current transport in metal-insulator-semiconductor Schottky barrier diodes

    International Nuclear Information System (INIS)

    Chand, Subhash; Bala, Saroj

    2007-01-01

    The current-voltage characteristics of Schottky diodes with an interfacial insulator layer are analysed by numerical simulation. The current-voltage data of the metal-insulator-semiconductor Schottky diode are simulated using thermionic emission diffusion (TED) equation taking into account an interfacial layer parameter. The calculated current-voltage data are fitted into ideal TED equation to see the apparent effect of interfacial layer parameters on current transport. Results obtained from the simulation studies shows that with mere presence of an interfacial layer at the metal-semiconductor interface the Schottky contact behave as an ideal diode of apparently high barrier height (BH), but with same ideality factor and series resistance as considered for a pure Schottky contact without an interfacial layer. This apparent BH decreases linearly with decreasing temperature. The effects giving rise to high ideality factor in metal-insulator-semiconductor diode are analysed. Reasons for observed temperature dependence of ideality factor in experimentally fabricated metal-insulator-semiconductor diodes are analysed and possible mechanisms are discussed

  11. Carrier Dynamics Analysis in Metal-SemiconductorMetal Device for mid-IR Silicon Photonics

    DEFF Research Database (Denmark)

    Hui, Alvin Tak Lok; Ding, Yunhong; Hu, Hao

    A modelling platform for active carrier removal based on metal-semiconductor-metal structure is reported on analysis of carrier dynamics. The analysis reveals electric current hot spots exist in geometric singularities and curly trajectory of carriers should be considered when accurately estimati...

  12. Carrier dynamics analysis in metal-semiconductor-metal device for mid-IR silicon photonics

    DEFF Research Database (Denmark)

    Hui, Alvin Tak Lok; Ding, Yunhong; Hu, Hao

    2017-01-01

    A modelling platform for active carrier removal based on metal-semiconductor-metal structure is reported on analysis of carrier dynamics. The analysis reveals electric current hot spots exist in geometric singularities and curly trajectory of carriers should be considered when accurately estimati...

  13. Radiation effects in metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Collins, J.L.

    1987-01-01

    The effects of various radiations on commercially made Al-SiO 2 -Si Capacitors (MOSCs) have been investigated. Intrinsic dielectric breakdown in MOSCs has been shown to be a two-stage process dominated by charge injection in a pre-breakdown stage; this is associated with localised high-field injection of carriers from the semiconductor substrate to interfacial and bulk charge traps which, it is proposed, leads to the formation of conducting channels through the dielectric with breakdown occurring as a result of the dissipation of the conduction band energy. A study of radiation-induced dielectric breakdown has revealed the possibility of anomalous hot-electron injection to an excess of bulk oxide traps in the ionization channel produced by very heavily ionizing radiation, which leads to intrinsic breakdown in high-field stressed devices. This is interpreted in terms of a modified model for radiation-induced dielectric breakdown based upon the primary dependence of breakdown on charge injection rather than high-field mechanisms. A detailed investigation of charge trapping and interface state generation due to various radiations has revealed evidence of neutron induced interface states, and the generation of positive oxide charge in devices due to all the radiations tested. The greater the linear energy transfer of the radiation, the greater the magnitude of charge trapped in the oxide and the number of interface states generated. This is interpreted in terms of Si-H and Si-OH bond-breaking at the Si-SiO 2 interface which is enhanced by charge carrier transfer to the interface and by anomalous charge injection to compensate for the excess of charge carriers created by the radiation. (author)

  14. Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding.

    Science.gov (United States)

    Yu, Huiwu; Li, Xiangyou; Hao, Zhongqi; Xiong, Wei; Guo, Lianbo; Lu, Yongfeng; Yi, Rongxing; Li, Jiaming; Yang, Xinyan; Zeng, Xiaoyan

    2017-06-01

    A green and simple method to prepare metal/semiconductor nanocomposites by selective laser nano-welding metal and semiconductor nanoparticles was presented, in which the sizes, phases, and morphologies of the components can be maintained. Many types of nanocomposites (such as Ag/TiO 2 , Ag/SnO 2 , Ag/ZnO 2 , Pt/TiO 2 , Pt/SnO 2 , and Pt/ZnO) can be prepared by this method and their corresponding performances were enhanced.

  15. Functional metasurfaces based on metallic and dielectric subwavelength slits and stripes array

    Science.gov (United States)

    Guo, Yinghui; Pu, Mingbo; Li, Xiong; Ma, Xiaoliang; Gao, Ping; Wang, Yanqin; Luo, Xiangang

    2018-04-01

    Starting with the early works of extraordinary optical transmission and extraordinary Young’s interference, researchers have been fascinated by the unusual optical properties displayed by metallic holes/slits and subsequently found similar abnormities in dielectric counterparts. Benefiting from the shrinking wavelength of surface plasmon polaritons excited in metallic slits and high refractive index of dielectric stripes, one can realize local phase modulation and approach desired dispersion by engineering the geometries of a slits and stripes array. In this review, we review recent developments in functional metasurfaces composed of various metallic and dielectric subwavelength slits and stripes arrays, with special emphasis on achromatic, ultra-broadband, quasi-continuous, multifunctional and reconfigurable metasurfaces. Particular attention is paid to provide insight into the design strategies for these devices. Finally, we give an outlook of the development in this fascinating area.

  16. Do dielectric nanostructures turn metallic in high-electric dc fields?

    Science.gov (United States)

    Silaeva, E P; Arnoldi, L; Karahka, M L; Deconihout, B; Menand, A; Kreuzer, H J; Vella, A

    2014-11-12

    Three-dimensional dielectric nanostructures have been analyzed using field ion microscopy (FIM) to study the electric dc field penetration inside these structures. The field is proved to be screened within a few nanometers as theoretically calculated taking into account the high-field impact ionization process. Moreover, the strong dc field of the order of 0.1 V/Å at the surface inside a dielectric nanostructure modifies its band structure leading to a strong band gap shrinkage and thus to a strong metal-like optical absorption near the surface. This metal-like behavior was theoretically predicted using first-principle calculations and experimentally proved using laser-assisted atom probe tomography (APT). This work opens up interesting perspectives for the study of the performance of all field-effect nanodevices, such as nanotransistor or super capacitor, and for the understanding of the physical mechanisms of field evaporation of dielectric nanotips in APT.

  17. Poole Frenkel current and Schottky emission in SiN gate dielectric in AlGaN/GaN metal insulator semiconductor heterostructure field effect transistors

    Science.gov (United States)

    Hanna, Mina J.; Zhao, Han; Lee, Jack C.

    2012-10-01

    We analyze the anomalous I-V behavior in SiN prepared by plasma enhanced chemical vapor deposition for use as a gate insulator in AlGaN/GaN metal insulator semiconductor heterostructure filed effect transistors (HFETs). We observe leakage current across the dielectric with opposite polarity with respect to the applied electric field once the voltage sweep reaches a level below a determined threshold. This is observed as the absolute minimum of the leakage current does not occur at minimum voltage level (0 V) but occurs earlier in the sweep interval. Curve-fitting analysis suggests that the charge-transport mechanism in this region is Poole-Frenkel current, followed by Schottky emission due to band bending. Despite the current anomaly, the sample devices have shown a notable reduction of leakage current of over 2 to 6 order of magnitudes compared to the standard Schottky HFET. We show that higher pressures and higher silane concentrations produce better films manifesting less trapping. This conforms to our results that we reported in earlier publications. We found that higher chamber pressure achieves higher sheet carrier concentration that was found to be strongly dependent on the trapped space charge at the SiN/GaN interface. This would suggest that a lower chamber pressure induces more trap states into the SiN/GaN interface.

  18. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  19. Atomistic approach for modeling metal-semiconductor interfaces

    DEFF Research Database (Denmark)

    Stradi, Daniele; Martinez, Umberto; Blom, Anders

    2016-01-01

    realistic metal-semiconductor interfaces and allows for a direct comparison between theory and experiments via the I–V curve. In particular, it will be demonstrated how doping — and bias — modifies the Schottky barrier, and how finite size models (the slab approach) are unable to describe these interfaces......We present a general framework for simulating interfaces using an atomistic approach based on density functional theory and non-equilibrium Green's functions. The method includes all the relevant ingredients, such as doping and an accurate value of the semiconductor band gap, required to model...

  20. Ohmic metallization technology for wide band-gap semiconductors

    International Nuclear Information System (INIS)

    Iliadis, A.A.; Vispute, R.D.; Venkatesan, T.; Jones, K.A.

    2002-01-01

    Ohmic contact metallizations on p-type 6H-SiC and n-type ZnO using a novel approach of focused ion beam (FIB) surface-modification and direct-write metal deposition will be reviewed, and the properties of such focused ion beam assisted non-annealed contacts will be reported. The process uses a Ga focused ion beam to modify the surface of the semiconductor with different doses, and then introduces an organometallic compound in the Ga ion beam, to effect the direct-write deposition of a metal on the modified surface. Contact resistance measurements by the transmission line method produced values in the low 10 -4 Ω cm 2 range for surface-modified and direct-write Pt and W non-annealed contacts, and mid 10 -5 Ω cm 2 range for surface-modified and pulse laser deposited TiN contacts. An optimum Ga surface-modification dosage window is determined, within which the current transport mechanism of these contacts was found to proceed mainly by tunneling through the metal-modified-semiconductor interface layer

  1. Transferred metal electrode films for large-area electronic devices

    International Nuclear Information System (INIS)

    Yang, Jin-Guo; Kam, Fong-Yu; Chua, Lay-Lay

    2014-01-01

    The evaporation of metal-film gate electrodes for top-gate organic field-effect transistors (OFETs) limits the minimum thickness of the polymer gate dielectric to typically more than 300 nm due to deep hot metal atom penetration and damage of the dielectric. We show here that the self-release layer transfer method recently developed for high-quality graphene transfer is also capable of giving high-quality metal thin-film transfers to produce high-performance capacitors and OFETs with superior dielectric breakdown strength even for ultrathin polymer dielectric films. Dielectric breakdown strengths up to 5–6 MV cm −1 have been obtained for 50-nm thin films of polystyrene and a cyclic olefin copolymer TOPAS ® (Zeon). High-quality OFETs with sub-10 V operational voltages have been obtained this way using conventional polymer dielectrics and a high-mobility polymer semiconductor poly[2,5-bis(3-tetradecylthiophene-2-yl)thieno[3,2-b]thiophene-2,5-diyl]. The transferred metal films can make reliable contacts without damaging ultrathin polymer films, self-assembled monolayers and graphene, which is not otherwise possible from evaporated or sputtered metal films

  2. Ultrathin metal-semiconductor-metal resonator for angle invariant visible band transmission filters

    International Nuclear Information System (INIS)

    Lee, Kyu-Tae; Seo, Sungyong; Yong Lee, Jae; Jay Guo, L.

    2014-01-01

    We present transmission visible wavelength filters based on strong interference behaviors in an ultrathin semiconductor material between two metal layers. The proposed devices were fabricated on 2 cm × 2 cm glass substrate, and the transmission characteristics show good agreement with the design. Due to a significantly reduced light propagation phase change associated with the ultrathin semiconductor layer and the compensation in phase shift of light reflecting from the metal surface, the filters show an angle insensitive performance up to ±70°, thus, addressing one of the key challenges facing the previously reported photonic and plasmonic color filters. This principle, described in this paper, can have potential for diverse applications ranging from color display devices to the image sensors.

  3. The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric

    International Nuclear Information System (INIS)

    Shekhter, P.; Yehezkel, S.; Shriki, A.; Eizenberg, M.; Chaudhuri, A. R.; Osten, H. J.; Laha, A.

    2014-01-01

    One of the approaches for overcoming the issue of leakage current in modern metal-oxide-semiconductor devices is utilizing the high dielectric constants of lanthanide based oxides. We investigated the effect of carbon doping directly into Gd 2 O 3 layers on the performance of such devices. It was found that the amount of carbon introduced into the dielectric is above the solubility limit; carbon atoms enrich the oxide-semiconductor interface and cause a significant shift in the flat band voltage of the stack. Although the carbon atoms slightly degrade this interface, this method has a potential for tuning the flat band voltage of such structures

  4. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    Science.gov (United States)

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Metallic nanoparticles in dielectrics: A comparative study

    KAUST Repository

    Agambayev, Agamyrat; Farhat, Mohamed; Bagci, Hakan; Salama, Khaled N.

    2017-01-01

    The Maxwell-Garnett method is used to predict the effective dielectric constant and the tangent loss of various composites consisting of a PVDF-TrFE-CFE-matrix and metallic microsphere fillers made of Cu, Ni, W, Zn, or Fe. Simulation results demonstrate that for small filler fraction values and at low frequencies, the electrical properties of the resulting composite do not depend on the conductivity of the filler. These findings show that composites fabricated using cheaper metal nanoparticle fillers are as effective as those fabricated using expensive ones.

  6. Metallic nanoparticles in dielectrics: A comparative study

    KAUST Repository

    Agambayev, Agamyrat

    2017-10-25

    The Maxwell-Garnett method is used to predict the effective dielectric constant and the tangent loss of various composites consisting of a PVDF-TrFE-CFE-matrix and metallic microsphere fillers made of Cu, Ni, W, Zn, or Fe. Simulation results demonstrate that for small filler fraction values and at low frequencies, the electrical properties of the resulting composite do not depend on the conductivity of the filler. These findings show that composites fabricated using cheaper metal nanoparticle fillers are as effective as those fabricated using expensive ones.

  7. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    study, TiN-MgO was the only measured interface of this type, and maintained the record for the highest reported ITC for a metal-semiconductor interface. By varying the Group IV metal, the mass of the metal's light atom was independently tuned, allowing the ability to tune the acoustic phonon frequencies in the metal without significant effect to optical phonon band structure. We find that the ITC of all the studied interfaces are quite high, significantly exceeding the DMM predictions, and in the case of XN-ScN interfaces even exceed the radiative limit for elastic phonon transport. The results imply that mechanisms such as anharmonic phonon transmission, strong cross-interfacial electron phonon coupling, or direct electric transmission are required to explain the transport. The TiN-ScN interface conductance is the highest room temperature metal-dielectric conductance ever reported.

  8. Radiation hardness of β-Ga2O3 metal-oxide-semiconductor field-effect transistors against gamma-ray irradiation

    Science.gov (United States)

    Wong, Man Hoi; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Sasaki, Kohei; Kuramata, Akito; Yamakoshi, Shigenobu; Higashiwaki, Masataka

    2018-01-01

    The effects of ionizing radiation on β-Ga2O3 metal-oxide-semiconductor field-effect transistors (MOSFETs) were investigated. A gamma-ray tolerance as high as 1.6 MGy(SiO2) was demonstrated for the bulk Ga2O3 channel by virtue of weak radiation effects on the MOSFETs' output current and threshold voltage. The MOSFETs remained functional with insignificant hysteresis in their transfer characteristics after exposure to the maximum cumulative dose. Despite the intrinsic radiation hardness of Ga2O3, radiation-induced gate leakage and drain current dispersion ascribed respectively to dielectric damage and interface charge trapping were found to limit the overall radiation hardness of these devices.

  9. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  10. Metallic nanoshells with semiconductor cores: optical characteristics modified by core medium properties.

    Science.gov (United States)

    Bardhan, Rizia; Grady, Nathaniel K; Ali, Tamer; Halas, Naomi J

    2010-10-26

    It is well-known that the geometry of a nanoshell controls the resonance frequencies of its plasmon modes; however, the properties of the core material also strongly influence its optical properties. Here we report the synthesis of Au nanoshells with semiconductor cores of cuprous oxide and examine their optical characteristics. This material system allows us to systematically examine the role of core material on nanoshell optical properties, comparing Cu(2)O core nanoshells (ε(c) ∼ 7) to lower core dielectric constant SiO(2) core nanoshells (ε(c) = 2) and higher dielectric constant mixed valency iron oxide nanoshells (ε(c) = 12). Increasing the core dielectric constant increases nanoparticle absorption efficiency, reduces plasmon line width, and modifies plasmon energies. Modifying the core medium provides an additional means of tailoring both the near- and far-field optical properties in this unique nanoparticle system.

  11. Directional Emission from Dielectric Leaky-Wave Nanoantennas

    Science.gov (United States)

    Peter, Manuel; Hildebrandt, Andre; Schlickriede, Christian; Gharib, Kimia; Zentgraf, Thomas; Förstner, Jens; Linden, Stefan

    2017-07-01

    An important source of innovation in nanophotonics is the idea to scale down known radio wave technologies to the optical regime. One thoroughly investigated example of this approach are metallic nanoantennas which employ plasmonic resonances to couple localized emitters to selected far-field modes. While metals can be treated as perfect conductors in the microwave regime, their response becomes Drude-like at optical frequencies. Thus, plasmonic nanoantennas are inherently lossy. Moreover, their resonant nature requires precise control of the antenna geometry. A promising way to circumvent these problems is the use of broadband nanoantennas made from low-loss dielectric materials. Here, we report on highly directional emission from active dielectric leaky-wave nanoantennas made of Hafnium dioxide. Colloidal semiconductor quantum dots deposited in the nanoantenna feed gap serve as a local light source. The emission patterns of active nanoantennas with different sizes are measured by Fourier imaging. We find for all antenna sizes a highly directional emission, underlining the broadband operation of our design.

  12. Electromagnetically induced transparency in a plasmonic system comprising of three metal-dielectric-metal parallel slabs: Plasmon- Plasmon interaction

    Directory of Open Access Journals (Sweden)

    M Moradbeigi

    2018-02-01

    Full Text Available In this paper, electromagnetically induced transparency (EIT in a system consisting of associated arrays of parallel slabs (metal-dielectric-metal is studied. The transmission coefficient, the reflection coefficient and the absorption coefficient as function of the incident light frequency by using the transfer matrix method is calculated and numerically discussed. Influence of the thickness of slab and the type of plasmonic metal on the induced transparency has been investigated. It is shown with decreasing the thickness of intermediate slab of length  (dielectric slab, the induced transparency increases due to the strong plasmon–plasmon couplings.

  13. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  14. Metal nanoparticles for thin film solar cells

    DEFF Research Database (Denmark)

    Gritti, Claudia

    and intensity depends on the nanoparticle’s size, shape, and local dielectric environment, thus absorption enhancement in a defined wavelength range can be achieved varying these properties (tuning the LSP resonance). Even though scattering enhancement of photons above the gap of the semiconductor is useful...... to increase light trapping and can come along regardless, we aim, as first target, to absorb forbidden (for the semiconductor) photons by the NPs which can excite hot electrons inside the metal NP and emit them directly into the conduction band of the solar cell semiconductor, without going through...... the promotion of electrons from the valence band of the semiconductor. The photoemission would extend the spectral response of the photovoltaic device. Thus, NPs are placed at the metal/semiconductor interface (in order to exploit the localization characteristic of the LSP enhancement) and are used as active...

  15. Two optical bistability domains in composites of metal nanoparticles with nonlinear dielectric core

    Energy Technology Data Exchange (ETDEWEB)

    Shewamare, Sisay, E-mail: sisayshewa20@yahoo.com [Department of Physics, Addis Ababa University, P.O. Box 1176, Addis Ababa (Ethiopia); Mal' nev, V.N., E-mail: vadimnmalnev@yahoo.com [Department of Physics, Addis Ababa University, P.O. Box 1176, Addis Ababa (Ethiopia)

    2012-12-15

    It is shown that the local field in metal spherical particles with a dielectric core in an external varying electric field has two maxima at two different frequencies. The second maximum becomes more important with an increment in the metal fraction. Due to the nonlinear dielectric function of the core, the composite of these inclusions may have two optically induced bistability domains at different frequencies. At rather high metal fraction, two bistability domains merge and form one entire bistability domain. The parameters of these domains are studied numerically. The paper focuses on the second bistability domain, which has not been discussed in the literature so far. This domain exists in a comparatively narrow frequency range and its onset fields are lower than those of the first bistability domain. The lowest bistability onset fields are obtained in the entire domain. This peculiarity of the optical induced bistability in the metal composite with small dielectric cores can be attractive for possible applications.

  16. Two optical bistability domains in composites of metal nanoparticles with nonlinear dielectric core

    International Nuclear Information System (INIS)

    Shewamare, Sisay; Mal'nev, V.N.

    2012-01-01

    It is shown that the local field in metal spherical particles with a dielectric core in an external varying electric field has two maxima at two different frequencies. The second maximum becomes more important with an increment in the metal fraction. Due to the nonlinear dielectric function of the core, the composite of these inclusions may have two optically induced bistability domains at different frequencies. At rather high metal fraction, two bistability domains merge and form one entire bistability domain. The parameters of these domains are studied numerically. The paper focuses on the second bistability domain, which has not been discussed in the literature so far. This domain exists in a comparatively narrow frequency range and its onset fields are lower than those of the first bistability domain. The lowest bistability onset fields are obtained in the entire domain. This peculiarity of the optical induced bistability in the metal composite with small dielectric cores can be attractive for possible applications.

  17. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    Science.gov (United States)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  18. Optical trapping of metal-dielectric nanoparticle clusters near photonic crystal microcavities.

    Science.gov (United States)

    Mejia, Camilo A; Huang, Ningfeng; Povinelli, Michelle L

    2012-09-01

    We predict the formation of optically trapped, metal-dielectric nanoparticle clusters above photonic crystal microcavities. We determine the conditions on particle size and position for a gold particle to be trapped above the microcavity. We then show that strong field redistribution and enhancement near the trapped gold nanoparticle results in secondary trapping sites for a pair of dielectric nanoparticles.

  19. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  20. Electrowetting on semiconductors

    Science.gov (United States)

    Palma, Cesar; Deegan, Robert

    2015-01-01

    Applying a voltage difference between a conductor and a sessile droplet sitting on a thin dielectric film separating it from the conductor will cause the drop to spread. When the conductor is a good metal, the change of the drop's contact angle due to the voltage is given by the Young-Lippmann (YL) equation. Here, we report experiments with lightly doped, single crystal silicon as the conductive electrode. We derive a modified YL equation that includes effects due to the semiconductor and contact line pinning. We show that light induces a non-reversible wetting transition, and that our model agrees well with our experimental results.

  1. The Terahertz Scattering Analysis of Rough Metallic and Dielectric Targets

    Directory of Open Access Journals (Sweden)

    Mou Yuan

    2018-02-01

    Full Text Available The terahertz scattering characteristics of metallic and dielectric rough targets is important for the investigation of the terahertz radar targets properties. According to the stationary phase theory and scalar approximation, if the radius of curvature at any point of the surface is much larger than the incident wavelength, and the wavelength is also much longer than the surface height function and Root-Mean-Square (RMS surface slope, the coherent and incoherent scattering Radar Cross Section (RCS of rough metallic and dielectric targets can be obtained. Based on the stationary phase approximation, the coherent RCS of rough conductors, smooth dielectric targets and rough dielectric targets can be easily deputed. The scattering characteristics of electrically large smooth Al and painted spheres are investigated in this paper, and the calculated RCS are verified by Mie scattering theory, the error is less than 0.1 dBm2. Based on lambert theory, it is demonstrated that the incoherent RCS is analyzed with better precision if the rough surfaces are divided into much more facets. In this paper, the coherent and incoherent scattering of rough Al and painted spheres are numerically observed, and the effects of surface roughness and materials are analyzed. The conclusions provide theoretical foundation for the terahertz scattering characteristics of electrically large rough targets.

  2. Optical Properties of Metal-Dielectric Structures Based on Photon-Crystal Opal Matrices

    Science.gov (United States)

    Vanin, A. I.; Lukin, A. E.; Romanov, S. G.; Solovyev, V. G.; Khanin, S. D.; Yanikov, M. V.

    2018-04-01

    Optical properties of novel metal-dielectric nanocomposite materials based on opal matrices have been investigated. The position of optical resonances of nanocomposites, obtained by embedding of silver into the opal matrix by the electrothermodiffusion method, is explained by the Bragg diffraction, and an asymmetric form of resonance curves is attributed to the Fano resonance. An anomalous transmission and absorption of light by hybrid plasmon-photonic layered heterostructures, which is apparently associated with excitation of surface plasmon-polaritons, propagating along "metal-dielectric" interfaces, was revealed.

  3. Lattice Location of Transition Metals in Semiconductors

    CERN Multimedia

    2002-01-01

    %IS366 %title\\\\ \\\\Transition metals (TMs) in semiconductors have been the subject of considerable research for nearly 40 years. This is due both to their role as important model impurities for deep centers in semiconductors, and to their technological impact as widespread contaminants in Si processing, where the miniaturization of devices requires to keep their sheet concentration below 10$^{10}$ cm$^{-2}$. As a consequence of the low TM solubility, conventional ion beam methods for direct lattice location have failed completely in identifying the lattice sites of isolated transition metals. Although electron paramagnetic resonance (EPR) has yielded valuable information on a variety of TM centers, it has been unable to detect certain defects considered by theory, e.g., isolated interstitial or substitutional Cu in Si. The proposed identity of other EPR centers such as substitutional Fe in Si, still needs confirmation by additional experimental methods. As a consequence, the knowledge on the structural propert...

  4. Spatially correlated two-dimensional arrays of semiconductor and metal quantum dots in GaAs-based heterostructures

    International Nuclear Information System (INIS)

    Nevedomskiy, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhernskiy, V. V.; Putyato, M. A.; Semyagin, B. R.

    2015-01-01

    A single molecular-beam epitaxy process is used to produce GaAs-based heterostructures containing two-dimensional arrays of InAs semiconductor quantum dots and AsSb metal quantum dots. The twodimensional array of AsSb metal quantum dots is formed by low-temperature epitaxy which provides a large excess of arsenic in the epitaxial GaAs layer. During the growth of subsequent layers at a higher temperature, excess arsenic forms nanoinclusions, i.e., metal quantum dots in the GaAs matrix. The two-dimensional array of such metal quantum dots is created by the δ doping of a low-temperature GaAs layer with antimony which serves as a precursor for the heterogeneous nucleation of metal quantum dots and accumulates in them with the formation of AsSb metal alloy. The two-dimensional array of InAs semiconductor quantum dots is formed via the Stranski–Krastanov mechanism at the GaAs surface. Between the arrays of metal and semiconductor quantum dots, a 3-nm-thick AlAs barrier layer is grown. The total spacing between the arrays of metal and semiconductor quantum dots is 10 nm. Electron microscopy of the structure shows that the arrangement of metal quantum dots and semiconductor quantum dots in the two-dimensional arrays is spatially correlated. The spatial correlation is apparently caused by elastic strain and stress fields produced by both AsSb metal and InAs semiconductor quantum dots in the GaAs matrix

  5. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  6. Interaction of metallic nanoparticles with dielectric substrates: effect of optical constants

    International Nuclear Information System (INIS)

    Hutter, Tanya; Elliott, Stephen R; Mahajan, Sumeet

    2013-01-01

    In this paper, we study the local-field enhancement in a system of a metallic nanoparticle placed very near to a dielectric substrate. In such systems, intense electric fields are localized in the gap between the particle and the substrate, creating a ‘hot-spot’ under appropriate excitation conditions. We use finite-element numerical simulations in order to study the field enhancement in this dielectric–metal system. More specifically, we show how the optical properties of the dielectric substrate (n and k) affect the plasmonic field enhancement in the nano-gap. We also analyze the degree of field confinement in the gap and discuss it in the context of utilization for surface-enhanced Raman scattering. We finally show the fields generated by real substrates and compare them to metallic ones. (paper)

  7. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  8. Dielectric matrix, dynamical matrix and phonon dispersion in hcp transition metal scandium

    International Nuclear Information System (INIS)

    Singh, Joginder; Singh, Natthi; Prakash, S.

    1976-01-01

    Complete dielectric matrix is evaluated for hcp transition metal scandium using the non-interacting s- and d-band model. The local field corrections which are consequence of the non-diagonal part of the dielectric matrix are calculated explicitly. The free electron approximation is used for the s-electrons and the simple tight-binding approximation is used for the d-electrons. The theory developed by Singh and others is used to invert the dielectric matrix and the explicit expressions for the dynamical matrix are obtained. The phonon dispersion relations are investigated by using the renormalized Animalu transition metal model potential (TMMP) for bare ion potential. The contribution due to non-central forces which arise due to local fields is found to be 20%. The results are found in resonably good agreement with the experimental values. (author)

  9. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  10. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    Science.gov (United States)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  11. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  12. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  13. Extraordinary magnetoresistance in semiconductor/metal hybrids: A review

    KAUST Repository

    Sun, J.; Kosel, Jü rgen

    2013-01-01

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a

  14. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    Science.gov (United States)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  15. A complementary organic inverter of porphyrazine thin films: low-voltage operation using ionic liquid gate dielectrics.

    Science.gov (United States)

    Fujimoto, Takuya; Miyoshi, Yasuhito; Matsushita, Michio M; Awaga, Kunio

    2011-05-28

    We studied a complementary organic inverter consisting of a p-type semiconductor, metal-free phthalocyanine (H(2)Pc), and an n-type semiconductor, tetrakis(thiadiazole)porphyrazine (H(2)TTDPz), operated through the ionic-liquid gate dielectrics of N,N-diethyl-N-methyl(2-methoxyethyl)ammonium bis(trifluoromethylsulfonyl)imide (DEME-TFSI). This organic inverter exhibits high performance with a very low operation voltage below 1.0 V and a dynamic response up to 20 Hz. © The Royal Society of Chemistry 2011

  16. Plasmon-exciton polaritons in two-dimensional semiconductor/metal interfaces

    DEFF Research Database (Denmark)

    Gonçalves, P. A. D.; Bertelsen, L. P.; Xiao, Sanshui

    2018-01-01

    The realization and control of polaritons is of paramount importance in the prospect of novel photonic devices. Here, we investigate the emergence of plasmon-exciton polaritons in hybrid structures consisting of a two-dimensional transition-metal dichalcogenide (TMDC) deposited onto a metal......-coupling regime. Our results demonstrate that Rabi splittings exceeding 100 meV can readily be achieved in planar dielectric/TMDC/metal structures under ambient conditions. We thus believe that this Rapid Communication provides a simple and intuitive picture to tailor strong coupling in plexcitonics...

  17. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  18. Influence of quantizing magnetic field and Rashba effect on indium arsenide metal-oxide-semiconductor structure accumulation capacitance

    Science.gov (United States)

    Kovchavtsev, A. P.; Aksenov, M. S.; Tsarenko, A. V.; Nastovjak, A. E.; Pogosov, A. G.; Pokhabov, D. A.; Tereshchenko, O. E.; Valisheva, N. A.

    2018-05-01

    The accumulation capacitance oscillations behavior in the n-InAs metal-oxide-semiconductor structures with different densities of the built-in charge (Dbc) and the interface traps (Dit) at temperature 4.2 K in the magnetic field (B) 2-10 T, directed perpendicular to the semiconductor-dielectric interface, is studied. A decrease in the oscillation frequency and an increase in the capacitance oscillation amplitude are observed with the increase in B. At the same time, for a certain surface accumulation band bending, the influence of the Rashba effect, which is expressed in the oscillations decay and breakdown, is traced. The experimental capacitance-voltage curves are in a good agreement with the numeric simulation results of the self-consistent solution of Schrödinger and Poisson equations in the magnetic field, taking into account the quantization, nonparabolicity of dispersion law, and Fermi-Dirac electron statistics, with the allowance for the Rashba effect. The Landau quantum level broadening in a two-dimensional electron gas (Lorentzian-shaped density of states), due to the electron scattering mechanism, linearly depends on the magnetic field. The correlation between the interface electronic properties and the characteristic scattering times was established.

  19. Transition-metal impurities in semiconductors and heterojunction band lineups

    Science.gov (United States)

    Langer, Jerzy M.; Delerue, C.; Lannoo, M.; Heinrich, Helmut

    1988-10-01

    The validity of a recent proposal that transition-metal impurity levels in semiconductors may serve as a reference in band alignment in semiconductor heterojunctions is positively verified by using the most recent data on band offsets in the following lattice-matched heterojunctions: Ga1-xAlxAs/GaAs, In1-xGaxAsyP1-y/InP, In1-xGaxP/GaAs, and Cd1-xHgxTe/CdTe. The alignment procedure is justified theoretically by showing that transition-metal energy levels are effectively pinned to the average dangling-bond energy level, which serves as the reference level for the heterojunction band alignment. Experimental and theoretical arguments showing that an increasingly popular notion on transition-metal energy-level pinning to the vacuum level is unjustified and must be abandoned in favor of the internal-reference rule proposed recently [J. M. Langer and H. Heinrich, Phys. Rev. Lett. 55, 1414 (1985)] are presented.

  20. Positron studies of metal-oxide-semiconductor structures

    Science.gov (United States)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  1. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  2. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  3. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  4. Charge transport mechanisms of graphene/semiconductor Schottky barriers: A theoretical and experimental study

    International Nuclear Information System (INIS)

    Zhong, Haijian; Liu, Zhenghui; Xu, Gengzhao; Shi, Lin; Fan, Yingmin; Yang, Hui; Xu, Ke; Wang, Jianfeng; Ren, Guoqiang

    2014-01-01

    Graphene has been proposed as a material for semiconductor electronic and optoelectronic devices. Understanding the charge transport mechanisms of graphene/semiconductor Schottky barriers will be crucial for future applications. Here, we report a theoretical model to describe the transport mechanisms at the interface of graphene and semiconductors based on conventional semiconductor Schottky theory and a floating Fermi level of graphene. The contact barrier heights can be estimated through this model and be close to the values obtained from the experiments, which are lower than those of the metal/semiconductor contacts. A detailed analysis reveals that the barrier heights are as the function of the interface separations and dielectric constants, and are influenced by the interfacial states of semiconductors. Our calculations show how this behavior of lowering barrier heights arises from the Fermi level shift of graphene induced by the charge transfer owing to the unique linear electronic structure

  5. Charge transport in nanoscale "all-inorganic" networks of semiconductor nanorods linked by metal domains.

    Science.gov (United States)

    Lavieville, Romain; Zhang, Yang; Casu, Alberto; Genovese, Alessandro; Manna, Liberato; Di Fabrizio, Enzo; Krahne, Roman

    2012-04-24

    Charge transport across metal-semiconductor interfaces at the nanoscale is a crucial issue in nanoelectronics. Chains of semiconductor nanorods linked by Au particles represent an ideal model system in this respect, because the metal-semiconductor interface is an intrinsic feature of the nanosystem and does not manifest solely as the contact to the macroscopic external electrodes. Here we investigate charge transport mechanisms in all-inorganic hybrid metal-semiconductor networks fabricated via self-assembly in solution, in which CdSe nanorods were linked to each other by Au nanoparticles. Thermal annealing of our devices changed the morphology of the networks and resulted in the removal of small Au domains that were present on the lateral nanorod facets, and in ripening of the Au nanoparticles in the nanorod junctions with more homogeneous metal-semiconductor interfaces. In such thermally annealed devices the voltage dependence of the current at room temperature can be well described by a Schottky barrier lowering at a metal semiconductor contact under reverse bias, if the spherical shape of the gold nanoparticles is considered. In this case the natural logarithm of the current does not follow the square-root dependence of the voltage as in the bulk, but that of V(2/3). From our fitting with this model we extract the effective permittivity that agrees well with theoretical predictions for the permittivity near the surface of CdSe nanorods. Furthermore, the annealing improved the network conductance at cryogenic temperatures, which could be related to the reduction of the number of trap states.

  6. Plasmonic nano-sensor based on metal-dielectric-metal waveguide with the octagonal cavity ring

    Science.gov (United States)

    Ghorbani, Saeed; Dashti, Mohammad Ali; Jabbari, Masoud

    2018-06-01

    In this paper, a refractive index plasmonic sensor including a waveguide of metal–insulator–metal with side coupled octagonal cavity ring has been suggested. The sensory and transmission feature of the structure has been analyzed numerically using Finite Element Method numerical solution. The effect of coupling distance and changing the width of metal–insulator–metal waveguide and refractive index of the dielectric located inside octagonal cavity—which are the effective factors in determining the sensory feature—have been examined so completely that the results of the numerical simulation show a linear relation between the resonance wavelength and refractive index of the liquid/gas dielectric material inside the octagonal cavity ring. High sensitivity of the sensor in the resonance wavelength, simplicity and a compact geometry are the advantages of the refractive plasmonic sensor advised which make that possible to use it for designing high performance nano-sensor and bio-sensing devices.

  7. Metal-doped semiconductor nanoparticles and methods of synthesis thereof

    Science.gov (United States)

    Ren, Zhifeng (Inventor); Chen, Gang (Inventor); Poudel, Bed (Inventor); Kumar, Shankar (Inventor); Wang, Wenzhong (Inventor); Dresselhaus, Mildred (Inventor)

    2009-01-01

    The present invention generally relates to binary or higher order semiconductor nanoparticles doped with a metallic element, and thermoelectric compositions incorporating such nanoparticles. In one aspect, the present invention provides a thermoelectric composition comprising a plurality of nanoparticles each of which includes an alloy matrix formed of a Group IV element and Group VI element and a metallic dopant distributed within the matrix.

  8. Semiconductor-Metal transition in a quantum well

    International Nuclear Information System (INIS)

    Nithiananthi, P.; Jayakumar, K.

    2007-01-01

    We demonstrate semiconductor-metal transition through diamagnetic susceptibility of a donor in a GaAs/Al x Ga 1- x As quantum well for both infinite and finite barrier models. We have also considered the non-parabolicity of the conduction band in our calculation. Our results agree with the earlier theoretical result and also with the recent experimental result

  9. Electronic properties of semiconductor surfaces and metal/semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, M.

    2005-05-15

    This thesis reports investigations of the electronic properties of a semiconductor surface (silicon carbide), a reactive metal/semiconductor interface (manganese/silicon) and a non-reactive metal/semiconductor interface (aluminum-magnesium alloy/silicon). The (2 x 1) reconstruction of the 6H-SiC(0001) surface has been obtained by cleaving the sample along the (0001) direction. This reconstruction has not been observed up to now for this compound, and has been compared with those of similar elemental semiconductors of the fourth group of the periodic table. This comparison has been carried out by making use of photoemission spectroscopy, analyzing the core level shifts of both Si 2p and C 1s core levels in terms of charge transfer between atoms of both elements and in different chemical environments. From this comparison, a difference between the reconstruction on the Si-terminated and the C-terminated surface was established, due to the ionic nature of the Si-C bond. The growth of manganese films on Si(111) in the 1-5 ML thickness range has been studied by means of LEED, STM and photoemission spectroscopy. By the complementary use of these surface science techniques, two different phases have been observed for two thickness regimes (<1 ML and >1 ML), which exhibit a different electronic character. The two reconstructions, the (1 x 1)-phase and the ({radical}3 x {radical}3)R30 -phase, are due to silicide formation, as observed in core level spectroscopy. The growth proceeds via island formation in the monolayer regime, while the thicker films show flat layers interrupted by deep holes. On the basis of STM investigations, this growth mode has been attributed to strain due to lattice mismatch between the substrate and the silicide. Co-deposition of Al and Mg onto a Si(111) substrate at low temperature (100K) resulted in the formation of thin alloy films. By varying the relative content of both elements, the thin films exhibited different electronic properties

  10. Semiconductor-metal transition of Se in Ru-Se Catalyst Nanoparticles

    Science.gov (United States)

    Babu, P. K.; Lewera, Adam; Oldfield, Eric; Wieckowski, Andrzej

    2009-03-01

    Ru-Se composite nanoparticles are promising catalysts for the oxygen reduction reaction (ORR) in fuel cells. Though the role of Se in enhancing the chemical stability of Ru nanoparticles is well established, the microscopic nature of Ru-Se interaction was not clearly understood. We carried out a combined investigation of ^77Se NMR and XPS on Ru-Se nanoparticles and our results indicate that Se, a semiconductor in elemental form, becomes metallic when interacting with Ru. ^77Se spin-lattice relaxation rates are found to be proportional to T, the well-known Korringa behavior characteristic of metals. The NMR results are supported by the XPS binding energy shifts which suggest that a possible Ru->Se charge transfer could be responsible for the semiconductor->metal transition of Se which also makes Ru less susceptible to oxidation during ORR.

  11. Study of surface modifications for improved selected metal (II-VI) semiconductor based devices

    Science.gov (United States)

    Blomfield, Christopher James

    Metal-semiconductor contacts are of fundamental importance to the operation of all semiconductor devices. There are many competing theories of Schottky barrier formation but as yet no quantitative predictive model exists to adequately explain metal-semiconductor interfaces. The II-VI compound semiconductors CdTe, CdS and ZnSe have recently come to the fore with the advent of high efficiency photovoltaic cells and short wavelength light emitters. Major problems still exist however in forming metal contacts to these materials with the desired properties. This work presents results which make a significant contribution to the theory of metal/II-VI interface behaviour in terms of Schottky barriers to n-type CdTe, CdS and ZnSe.Predominantly aqueous based wet chemical etchants were applied to the surfaces of CdTe, CdS and ZnSe which were subsequently characterised by X-ray photoelectron spectroscopy. The ionic nature of these II-VI compounds meant that they behaved as insoluble salts of strong bases and weak acids. Acid etchants induced a stoichiometric excess of semiconductor anion at the surface which appeared to be predominantly in the elemental or hydrogenated state. Alkaline etchants conversely induced a stoichiometric excess of semiconductor cation at the surface which appeared to be in an oxidised state.Metal contacts were vacuum-evaporated onto these etched surfaces and characterised by current-voltage and capacitance-voltage techniques. The surface preparation was found to have a clear influence upon the electrical properties of Schottky barriers formed to etched surfaces. Reducing the native surface oxide produced near ideal Schottky diodes. An extended study of Au, Ag and Sb contacts to [mathematical formula] substrates again revealed the formation of several discrete Schottky barriers largely independent of the metal used; for [mathematical formula]. Deep levels measured within this study and those reported in the literature led to the conclusion that Fermi

  12. Studying The Effect of Various Parameters on The Characteristics of The Dielectric and Metallic Photonic Crystals

    International Nuclear Information System (INIS)

    Ismail, M.; Badawy, Z.M.; Abdel-Rahman, E.

    2015-01-01

    Transmittance characteristics of two types of photonic crystals have been analysed using the transfer matrix method. The first one is the dielectric photonic crystal (DPC), and the second is the metallic photonic crystal (MPC). The effect of the most parameters on the transmission spectra of the dielectric and metallic photonic crystals has been studied

  13. Plasmon-exciton polaritons in two-dimensional semiconductor/metal interfaces

    DEFF Research Database (Denmark)

    Gonçalves, P. A. D.; Bertelsen, L. P.; Xiao, Sanshui

    2018-01-01

    -coupling regime. Our results demonstrate that Rabi splittings exceeding 100 meV can readily be achieved in planar dielectric/TMDC/metal structures under ambient conditions. We thus believe that this Rapid Communication provides a simple and intuitive picture to tailor strong coupling in plexcitonics...

  14. Interconnect Between a Waveguide and a Dielectric Waveguide Comprising an Impedance Matched Dielectric Lens

    Science.gov (United States)

    Decrossas, Emmanuel (Inventor); Chattopadhyay, Goutam (Inventor); Chahat, Nacer (Inventor); Tang, Adrian J. (Inventor)

    2016-01-01

    A lens for interconnecting a metallic waveguide with a dielectric waveguide is provided. The lens may be coupled a metallic waveguide and a dielectric waveguide, and minimize a signal loss between the metallic waveguide and the dielectric waveguide.

  15. Propagation properties of dielectric-lined hollow cylindrical metallic waveguides for THz waves

    International Nuclear Information System (INIS)

    Huang Binke; Zhao Chongfeng

    2013-01-01

    For the rigorous analysis of the propagation properties of dielectric-lined hollow cylindrical metallic waveguides operating in the THz range of frequencies, the characteristic equation for propagation constants is derived from the electromagnetic field equations and the boundary conditions of the dielectric-lined waveguides. The propagation constant of the dominant hybrid HE 11 mode can be obtained by solving the characteristic equation with the improved Muller method. The classical relaxation-effect model for the conductivity is adopted to describe the frequency dispersive behavior of normal metals for the metallic waveguide wall. For a 1.8 mm bore diameter silver waveguide with the inner surface coated with a 17 μm-thick layer of polystyrene(PS) film, the transmission losses of HE 11 mode can be reduced to the level below 1 dB/m at 1.5-3.0 THz, and the dispersion is relatively small for HE 11 mode. In addition, with the PS film thickness increasing, the transmission losses of HE 11 mode increase first and then decrease for a 2.2 mm bore diameter silver waveguide at 2.5 THz, and the minimum loss can be achieved by adopting the optimum dielectric layer thickness. (authors)

  16. Optical cavity cooling of mechanical modes of a semiconductor nanomembrane

    DEFF Research Database (Denmark)

    Usami, Koji; Naesby, A.; Bagci, Tolga

    2012-01-01

    Mechanical oscillators can be optically cooled using a technique known as optical-cavity back-action. Cooling of composite metal–semiconductor mirrors, dielectric mirrors and dielectric membranes has been demonstrated. Here we report cavity cooling of mechanical modes in a high-quality-factor and......Mechanical oscillators can be optically cooled using a technique known as optical-cavity back-action. Cooling of composite metal–semiconductor mirrors, dielectric mirrors and dielectric membranes has been demonstrated. Here we report cavity cooling of mechanical modes in a high...

  17. Surface plasmon polariton Akhmediev Breather in a dielectric-metal-dielectric geometry with subwavelength thickness

    Science.gov (United States)

    Devi, Koijam Monika; Porsezian, K.; Sarma, Amarendra K.

    2018-05-01

    We report Akhmediev Breather solutions in a nonlinear multilayer structure comprising of a metal sandwiched between two semi-infinite dielectric layers with subwavelength thickness. These nonlinear solutions inherit the properties of Surface plasmon polaritons and its dynamics is governed by the Nonlinear Schrodinger equation. The breather evolution is studied for specific values of nonlinear and dispersion parameters. An experimental scheme to observe these breathers is also proposed.

  18. Metal-core/semiconductor-shell nanocones for broadband solar absorption enhancement.

    Science.gov (United States)

    Zhou, Lin; Yu, Xiaoqiang; Zhu, Jia

    2014-02-12

    Nanostructure-based photovoltaic devices have exhibited several advantages, such as reduced reflection, extraordinary light trapping, and so forth. In particular, semiconductor nanostructures provide optical modes that have strong dependence on the size and geometry. Metallic nanostructures also attract a lot of attention because of the appealing plasmonic effect on the near-field enhancement. In this study, we propose a novel design, the metal-core/semiconductor-shell nanocones with the core radius varying in a linearly gradient style. With a thin layer of semiconductor absorber coated on a metallic cone, such a design can lead to significant and broadband absorption enhancement across the entire visible and near-infrared solar spectrum. As an example of demonstration, a layer of 16 nm thick crystalline silicon (c-Si) coated on a silver nanocone can absorb 27% of standard solar radiation across a broad spectral range of 300-1100 nm, which is equivalent to a 700 nm thick flat c-Si film. Therefore, the absorption enhancement factor approaching the Yablonovitch limit is achieved with this design. The significant absorption enhancement can be ascribed to three types of optical modes, that is, Fabry-Perot modes, plasmonic modes, and hybrid modes that combine the features of the previous two. In addition, the unique nanocone geometry enables the linearly gradient radius of the semiconductor shell, which can support multiple optical resonances, critical for the broadband absorption. Our design may find general usage as elements for the low cost, high efficiency solar conversion and water-splitting devices.

  19. Chemical Modification of Semiconductor Surfaces for Molecular Electronics.

    Science.gov (United States)

    Vilan, Ayelet; Cahen, David

    2017-03-08

    Inserting molecular monolayers within metal/semiconductor interfaces provides one of the most powerful expressions of how minute chemical modifications can affect electronic devices. This topic also has direct importance for technology as it can help improve the efficiency of a variety of electronic devices such as solar cells, LEDs, sensors, and possible future bioelectronic ones. The review covers the main aspects of using chemistry to control the various aspects of interface electrostatics, such as passivation of interface states and alignment of energy levels by intrinsic molecular polarization, as well as charge rearrangement with the adjacent metal and semiconducting contacts. One of the greatest merits of molecular monolayers is their capability to form excellent thin dielectrics, yielding rich and unique current-voltage characteristics for transport across metal/molecular monolayer/semiconductor interfaces. We explain the interplay between the monolayer as tunneling barrier on the one hand, and the electrostatic barrier within the semiconductor, due to its space-charge region, on the other hand, as well as how different monolayer chemistries control each of these barriers. Practical tools to experimentally identify these two barriers and distinguish between them are given, followed by a short look to the future. This review is accompanied by another one, concerning the formation of large-area molecular junctions and charge transport that is dominated solely by molecules.

  20. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  1. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    Science.gov (United States)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  2. Memory characteristics of an MOS capacitor structure with double-layer semiconductor and metal heterogeneous nanocrystals

    International Nuclear Information System (INIS)

    Ni Henan; Wu Liangcai; Song Zhitang; Hui Chun

    2009-01-01

    An MOS (metal oxide semiconductor) capacitor structure with double-layer heterogeneous nanocrystals consisting of semiconductor and metal embedded in a gate oxide for nonvolatile memory applications has been fabricated and characterized. By combining vacuum electron-beam co-evaporated Si nanocrystals and self-assembled Ni nanocrystals in a SiO 2 matrix, an MOS capacitor with double-layer heterogeneous nanocrystals can have larger charge storage capacity and improved retention characteristics compared to one with single-layer nanocrystals. The upper metal nanocrystals as an additional charge trap layer enable the direct tunneling mechanism to enhance the flat voltage shift and prolong the retention time. (semiconductor devices)

  3. Time-dependent dielectric breakdown measurements of porous organosilicate glass using mercury and solid metal probes

    Energy Technology Data Exchange (ETDEWEB)

    Pei, Dongfei; Nichols, Michael T.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); King, Sean W.; Clarke, James S. [Intel Corporation, Hillsboro, Oregon 97124 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2014-09-01

    Time-dependent dielectric breakdown (TDDB) is one of the major concerns for low-k dielectric materials. During plasma processing, low-k dielectrics are subjected to vacuum ultraviolet photon radiation and charged-particle bombardment. To examine the change of TDDB properties, time-to-breakdown measurements are made to porous SiCOH before and after plasma exposure. Significant discrepancies between mercury and solid-metal probes are observed and have been shown to be attributed to mercury diffusion into the dielectric porosities.

  4. Time-dependent dielectric breakdown measurements of porous organosilicate glass using mercury and solid metal probes

    International Nuclear Information System (INIS)

    Pei, Dongfei; Nichols, Michael T.; Shohet, J. Leon; King, Sean W.; Clarke, James S.; Nishi, Yoshio

    2014-01-01

    Time-dependent dielectric breakdown (TDDB) is one of the major concerns for low-k dielectric materials. During plasma processing, low-k dielectrics are subjected to vacuum ultraviolet photon radiation and charged-particle bombardment. To examine the change of TDDB properties, time-to-breakdown measurements are made to porous SiCOH before and after plasma exposure. Significant discrepancies between mercury and solid-metal probes are observed and have been shown to be attributed to mercury diffusion into the dielectric porosities

  5. Instrument for measuring metal-thermoelectric semiconductor contact resistence

    International Nuclear Information System (INIS)

    Lanxner, M.; Nechmadi, M.; Meiri, B.; Schildkraut, I.

    1979-02-01

    An instrument for measuring electrical, metal-thermoelectric semiconductor contact resistance is described. The expected errors of measurement are indicated. The operation of the instrument which is based on potential traversing perpendicularly to the contact plane is illustrated for the case of contacts of palladium and bismuth telluride-based thermoelectric material

  6. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    Science.gov (United States)

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  7. Helium atmospheric pressure plasma jets touching dielectric and metal surfaces

    Science.gov (United States)

    Norberg, Seth A.; Johnsen, Eric; Kushner, Mark J.

    2015-07-01

    Atmospheric pressure plasma jets (APPJs) are being investigated in the context plasma medicine and biotechnology applications, and surface functionalization. The composition of the surface being treated ranges from plastics, liquids, and biological tissue, to metals. The dielectric constant of these materials ranges from as low as 1.5 for plastics to near 80 for liquids, and essentially infinite for metals. The electrical properties of the surface are not independent variables as the permittivity of the material being treated has an effect on the dynamics of the incident APPJ. In this paper, results are discussed from a computational investigation of the interaction of an APPJ incident onto materials of varying permittivity, and their impact on the discharge dynamics of the plasma jet. The computer model used in this investigation solves Poisson's equation, transport equations for charged and neutral species, the electron energy equation, and the Navier-Stokes equations for the neutral gas flow. The APPJ is sustained in He/O2 = 99.8/0.2 flowing into humid air, and is directed onto dielectric surfaces in contact with ground with dielectric constants ranging from 2 to 80, and a grounded metal surface. Low values of relative permittivity encourage propagation of the electric field into the treated material and formation and propagation of a surface ionization wave. High values of relative permittivity promote the restrike of the ionization wave and the formation of a conduction channel between the plasma discharge and the treated surface. The distribution of space charge surrounding the APPJ is discussed.

  8. All-metal coupling and package of semiconductor laser and amplifier with optical fiber

    International Nuclear Information System (INIS)

    Xu Fenglan; Li Lina; Zhang Yueqing

    1992-01-01

    The semiconductor laser and optical amplifier made by Changchun Institute of Physics coupled with optical fiber by use of all-metal coupling are represented. The net gain of semiconductor laser amplifier with optical fiber is 14 ∼18 dB

  9. Metal/oxide/semiconductor interface investigated by monoenergetic positrons

    Science.gov (United States)

    Uedono, A.; Tanigawa, S.; Ohji, Y.

    1988-10-01

    Variable-energy positron-beam studies have been carried out for the first time on a metal/oxide/semiconductor (MOS) structure of polycrystalline Si/SiO 2/Si-substrate. We were successful in collecting injected positrons at the SiO 2/Si interface by the application of an electric field between the MOS electrodes.

  10. Nanoscale chirality in metal and semiconductor nanoparticles.

    Science.gov (United States)

    Kumar, Jatish; Thomas, K George; Liz-Marzán, Luis M

    2016-10-18

    The field of chirality has recently seen a rejuvenation due to the observation of chirality in inorganic nanomaterials. The advancements in understanding the origin of nanoscale chirality and the potential applications of chiroptical nanomaterials in the areas of optics, catalysis and biosensing, among others, have opened up new avenues toward new concepts and design of novel materials. In this article, we review the concept of nanoscale chirality in metal nanoclusters and semiconductor quantum dots, then focus on recent experimental and theoretical advances in chiral metal nanoparticles and plasmonic chirality. Selected examples of potential applications and an outlook on the research on chiral nanomaterials are additionally provided.

  11. Direct Effect of Dielectric Surface Energy on Carrier Transport in Organic Field-Effect Transistors.

    Science.gov (United States)

    Zhou, Shujun; Tang, Qingxin; Tian, Hongkun; Zhao, Xiaoli; Tong, Yanhong; Barlow, Stephen; Marder, Seth R; Liu, Yichun

    2018-05-09

    The understanding of the characteristics of gate dielectric that leads to optimized carrier transport remains controversial, and the conventional studies applied organic semiconductor thin films, which introduces the effect of dielectric on the growth of the deposited semiconductor thin films and hence only can explore the indirect effects. Here, we introduce pregrown organic single crystals to eliminate the indirect effect (semiconductor growth) in the conventional studies and to undertake an investigation of the direct effect of dielectric on carrier transport. It is shown that the matching of the polar and dispersive components of surface energy between semiconductor and dielectric is favorable for higher mobility. This new empirical finding may show the direct relationship between dielectric and carrier transport for the optimized mobility of organic field-effect transistors and hence show a promising potential for the development of next-generation high-performance organic electronic devices.

  12. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2013-01-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry

  13. Extraordinary Magnetoresistance Effect in Semiconductor/Metal Hybrid Structure

    KAUST Repository

    Sun, Jian

    2013-06-27

    In this dissertation, the extraordinary magnetoresistance (EMR) effect in semiconductor/metal hybrid structures is studied to improve the performance in sensing applications. Using two-dimensional finite element simulations, the geometric dependence of the output sensitivity, which is a more relevant parameter for EMR sensors than the magnetoresistance (MR), is studied. The results show that the optimal geometry in this case is different from the geometry reported before, where the MR ratio was optimized. A device consisting of a semiconductor bar with length/width ratio of 5~10 and having only 2 contacts is found to exhibit the highest sensitivity. A newly developed three-dimensional finite element model is employed to investigate parameters that have been neglected with the two dimensional simulations utilized so far, i.e., thickness of metal shunt and arbitrary semiconductor/metal interface. The simulations show the influence of those parameters on the sensitivity is up to 10 %. The model also enables exploring the EMR effect in planar magnetic fields. In case of a bar device, the sensitivity to planar fields is about 15 % to 20 % of the one to perpendicular fields. 5 A “top-contacted” structure is proposed to reduce the complexity of fabrication, where neither patterning of the semiconductor nor precise alignment is required. A comparison of the new structure with a conventionally fabricated device shows that a similar magnetic field resolution of 24 nT/√Hz is obtained. A new 3-contact device is developed improving the poor low-field sensitivity observed in conventional EMR devices, resulting from its parabolic magnetoresistance response. The 3-contact device provides a considerable boost of the low field response by combining the Hall effect with the EMR effect, resulting in an increase of the output sensitivity by 5 times at 0.01 T compared to a 2-contact device. The results of this dissertation provide new insights into the optimization of EMR devices

  14. Precipitation of heterogeneous nanostructures: Metal nanoparticles and dielectric nanocrystallites

    International Nuclear Information System (INIS)

    Masai, Hirokazu; Takahashi, Yoshihiro; Fujiwara, Takumi; Tokuda, Yomei; Yoko, Toshinobu

    2010-01-01

    Heterogeneous precipitation of nanocrystallites of metallic Bi and anatase was observed in CaO-Bi 2 O 3 -B 2 O 3 -Al 2 O 3 -TiO 2 glass-ceramics. Addition of AlN reduced the Bi 2 O 3 to Bi metal nanoparticles, which were uniformly dispersed in the glass. After heat-treatment of the Bi-precipitated glass around the glass transition temperature, nanocrystalline anatase precipitated out without aggregation of the Bi metal particles. It was found that the anatase nanocrystal size was affected by the distance between a nanocrystal and a precipitated Bi nanoparticle. The glass-ceramic produced is a functional material containing a random dispersion of different types of nanoparticles with different dielectric constants.

  15. Purcell effect for finite-length metal-coated and metal nanowires

    DEFF Research Database (Denmark)

    Filonenko, Konstantin V.; Willatzen, Morten; Bordo, Vladimir G.

    2014-01-01

    We investigate the modification (enhancement and suppression) of the spontaneous emission rate of a dipole emitter in two configurations: inside a finite-length semiconductor nanowire surrounded by bulk metal and in the vicinity of a finite metal nanowire. Our analysis is based on a first......-principle approach, which is reduced to a seminumeric one in the limit of large nanowire aspect ratios. The numerical calculations are carried out for an emitter in a GaAs nanowire embedded in Ag or Au and for that nearby an Ag or Au nanowire in vacuum or dielectric. We consider in detail the Purcell and β factors...

  16. Optical response of hybrid semiconductor quantum dot-metal nanoparticle system: Beyond the dipole approximation

    Science.gov (United States)

    Mohammadzadeh, Atefeh; Miri, MirFaez

    2018-01-01

    We study the response of a semiconductor quantum dot-metal nanoparticle system to an external field E 0 cos ( ω t ) . The borders between Fano, double peaks, weak transition, strong transition, and bistability regions of the phase diagram move considerably as one regards the multipole effects. The exciton-induced transparency is an artifact of the dipole approximation. The absorption of the nanoparticle, the population inversion of the quantum dot, the upper and lower limits of intensity where bistability occurs, the characteristic time to reach the steady state, and other features of the hybrid system change due to the multipole effects. The phase diagrams corresponding to the fields parallel and perpendicular to the axis of system are quite distinguishable. Thus, both the intensity and the polarization of the incident field can be used to control the system. In particular, the incident polarization can be used to switch on and switch off the bistable behavior. For applications such as miniaturized bistable devices and nanosensors sensitive to variations of the dielectric constant of the surrounding medium, multipole effects must be considered.

  17. MgNiO-based metal-semiconductor- metal ultraviolet photodetector

    International Nuclear Information System (INIS)

    Zhao Yanmin; Zhang Jiying; Jiang Dayong; Shan Chongxin; Zhang Zhenzhong; Yao Bin; Zhao Dongxu; Shen Dezhen

    2009-01-01

    In this study, we report the growth of Mg x Ni 1-x O thin films on quartz substrates by electron beam evaporation. The absorption edge shows a blue shift from 340 nm to 260 nm with increase in the Mg content from 0.2 to 0.8. A metal-semiconductor-metal structured photodetector is fabricated from the Mg 0.2 Ni 0.8 O film. At a bias of 5 V, the dark current of the photodetector is about 70 nA. The maximum responsivity is about 147.3 μA W -1 at 320 nm. In addition, the ultraviolet (UV) (320 nm) to visible (400 nm) rejection ratio is nearly two orders of magnitude. Based on these results, it is proposed that Mg x Ni 1-x O is a potential candidate for application in UV photodetectors. (fast track communication)

  18. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  19. Metal-insulator phase transition in a VO2 thin film observed with terahertz spectroscopy

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd; Fischer, Bernd M.; Thoman, Andreas

    2006-01-01

    We investigate the dielectric properties of a thin VO2 film in the terahertz frequency range in the vicinity of the semiconductor-metal phase transition. Phase-sensitive broadband spectroscopy in the frequency region below the phonon bands of VO2 gives insight into the conductive properties...... of the film during the phase transition. We compare our experimental data with models proposed for the evolution of the phase transition. The experimental data show that the phase transition occurs via the gradual growth of metallic domains in the film, and that the dielectric properties of the film...

  20. Plasmon mediated inverse Faraday effect in a graphene-dielectric-metal structure.

    Science.gov (United States)

    Bychkov, Igor V; Kuzmin, Dmitry A; Tolkachev, Valentine A; Plaksin, Pavel S; Shavrov, Vladimir G

    2018-01-01

    This Letter shows the features of inverse Faraday effect (IFE) in a graphene-dielectric-metal (GDM) structure. The constants of propagation and attenuation of the surface plasmon-polariton modes are calculated. The effective magnetic field induced by surface plasmon modes in the dielectric due to the IFE is estimated to reach above 1 tesla. The possibility to control the distribution of the magnetic field by chemical potential of graphene is shown. The concept of strain-driven control of the IFE in the structure has been proposed and investigated.

  1. High voltage semiconductor devices and methods of making the devices

    Energy Technology Data Exchange (ETDEWEB)

    Matocha, Kevin; Chatty, Kiran; Banerjee, Sujit

    2018-01-23

    A multi-cell MOSFET device including a MOSFET cell with an integrated Schottky diode is provided. The MOSFET includes n-type source regions formed in p-type well regions which are formed in an n-type drift layer. A p-type body contact region is formed on the periphery of the MOSFET. The source metallization of the device forms a Schottky contact with an n-type semiconductor region adjacent the p-type body contact region of the device. Vias can be formed through a dielectric material covering the source ohmic contacts and/or Schottky region of the device and the source metallization can be formed in the vias. The n-type semiconductor region forming the Schottky contact and/or the n-type source regions can be a single continuous region or a plurality of discontinuous regions alternating with discontinuous p-type body contact regions. The device can be a SiC device. Methods of making the device are also provided.

  2. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    Science.gov (United States)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  3. Two-dimensional ferromagnet/semiconductor transition metal dichalcogenide contacts: p-type Schottky barrier and spin-injection control

    KAUST Repository

    Gan, Liyong; Cheng, Yingchun; Schwingenschlö gl, Udo; Zhang, Qingyun

    2013-01-01

    We study the ferromagnet/semiconductor contacts formed by transition metal dichalcogenide monolayers, focusing on semiconducting MoS2 and WS2 and ferromagnetic VS2. We investigate the degree of p-type doping and demonstrate tuning of the Schottky barrier height by vertical compressive pressure. An analytical model is presented for the barrier heights that accurately describes the numerical findings and is expected to be of general validity for all transition metal dichalcogenide metal/semiconductor contacts. Furthermore, magnetic proximity effects induce a 100% spin polarization at the Fermi level in the semiconductor where the spin splitting increases up to 0.70 eV for increasing pressure.

  4. Two-dimensional ferromagnet/semiconductor transition metal dichalcogenide contacts: p-type Schottky barrier and spin-injection control

    KAUST Repository

    Gan, Liyong

    2013-09-26

    We study the ferromagnet/semiconductor contacts formed by transition metal dichalcogenide monolayers, focusing on semiconducting MoS2 and WS2 and ferromagnetic VS2. We investigate the degree of p-type doping and demonstrate tuning of the Schottky barrier height by vertical compressive pressure. An analytical model is presented for the barrier heights that accurately describes the numerical findings and is expected to be of general validity for all transition metal dichalcogenide metal/semiconductor contacts. Furthermore, magnetic proximity effects induce a 100% spin polarization at the Fermi level in the semiconductor where the spin splitting increases up to 0.70 eV for increasing pressure.

  5. 100% spin accumulation in non-half-metallic ferromagnet-semiconductor junctions

    International Nuclear Information System (INIS)

    Petukhov, A G; Niggemann, J; Smelyanskiy, V N; Osipov, V V

    2007-01-01

    We show that the spin polarization of electron density in non-magnetic degenerate semiconductors can achieve 100%. The effect of 100% spin accumulation does not require a half-metallic ferromagnetic contact and can be realized in ferromagnet-semiconductor FM-n + -n junctions even at moderate spin selectivity of the FM-n + contact when the electrons with spin 'up' are extracted from n semiconductor through the heavily doped n + layer into the ferromagnet and the electrons with spin 'down' are accumulated near the n + -n interface. We derived a general equation relating spin polarization of the current to that of the electron density in non-magnetic semiconductors. We found that the effect of complete spin polarization is achieved near the n + -n interface when the concentration of the spin 'up' electrons tends to zero in this region while the diffusion current of these electrons remains finite

  6. Growth of metal and semiconductor nanostructures using localized photocatalysts

    Energy Technology Data Exchange (ETDEWEB)

    Shelnutt, John A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Wang, Zhongchun [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Medforth, Craig J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2006-03-08

    Our overall goal has been to understand and develop a light-driven approach to the controlled growth of novel metal and semiconductor nanostructures and nanomaterials. In this photochemical process, bio-inspired porphyrin-based photocatalysts reduce metal salts in aqueous solutions at ambient temperatures when exposed to visible light, providing metal nucleation and growth centers. The photocatalyst molecules are pre-positioned at the nanoscale to control the location of the deposition of metal and therefore the morphology of the nanostructures that are grown. Self-assembly, chemical confinement, and molecular templating are some of the methods we are using for nanoscale positioning of the photocatalyst molecules. When exposed to light, each photocatalyst molecule repeatedly reduces metal ions from solution, leading to deposition near the photocatalyst and ultimately the synthesis of new metallic nanostructures and nanostructured materials. Studies of the photocatalytic growth process and the resulting nanostructures address a number of fundamental biological, chemical, and environmental issues and draw on the combined nanoscience characterization and multi-scale simulation capabilities of the new DOE Center for Integrated Nanotechnologies at Sandia National Laboratories and the University of Georgia. Our main goals are to elucidate the processes involved in the photocatalytic growth of metal nanomaterials and provide the scientific basis for controlled nanosynthesis. The nanomaterials resulting from these studies have applications in nanoelectronics, photonics, sensors, catalysis, and micromechanical systems. Our specific goals for the past three years have been to understand the role of photocatalysis in the synthesis of dendritic metal (Pt, Pd, Au) nanostructures grown from aqueous surfactant solutions under ambient conditions and the synthesis of photocatalytic porphyrin nanostructures (e.g., nanotubes) as templates for fabrication of photo-active metal

  7. Weak ferromagnetism and temperature dependent dielectric properties of Zn{sub 0.9}Ni{sub 0.1}O diluted magnetic semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, Raju [Department of Electrical and Electronic Engineering, Shahjalal University of Science and Technology, Sylhet 3114 (Bangladesh); Department of Applied Physics, Electronics and Communication Engineering, University of Dhaka, Dhaka 1000 (Bangladesh); Moslehuddin, A.S.M.; Mahmood, Zahid Hasan [Department of Applied Physics, Electronics and Communication Engineering, University of Dhaka, Dhaka 1000 (Bangladesh); Hossain, A.K.M. Akther, E-mail: akmhossain@phy.buet.ac.bd [Department of Physics, Bangladesh University of Engineering and Technology, Dhaka 1000 (Bangladesh)

    2015-03-15

    Highlights: • Single phase wurtzite structure was confirmed from XRD analysis. • Weak ferromagnetic behaviour at room temperature. • Pure semiconducting properties confirmed from temperature dependent conductivity. • Smaller dielectric properties at higher frequency. • Possible potential application in high frequency spintronic devices. - Abstract: In this study the room temperature ferromagnetic behaviour and dielectric properties of ZnO based diluted magnetic semiconductor (DMS) have been investigated using nominal chemical composition Zn{sub 0.9}Ni{sub 0.1}O. The X-ray diffraction analysis confirmed formation of single phase hexagonal wurtzite structure. An increase in grain size with increasing sintering temperature was observed from scanning electron microscopy. Field dependent DC magnetization values indicated dominant paramagnetic ordering along with a slight ferromagnetic behaviour at room temperature. Frequency dependent complex initial permeability showed some positive values around 12 at room temperature. In dielectric measurement, an increasing trend of complex permittivity, loss tangent and ac conductivity with increasing temperature were observed. The temperature dependent dispersion curves of dielectric properties revealed clear relaxation at higher temperature. Frequency dependent ac conductivity was found to increase with frequency whereas complex permittivity and loss tangent showed an opposite trend.

  8. Electrostatic analysis of n-doped SrTiO3 metal-insulator-semiconductor systems

    International Nuclear Information System (INIS)

    Kamerbeek, A. M.; Banerjee, T.; Hueting, R. J. E.

    2015-01-01

    Electron doped SrTiO 3 , a complex-oxide semiconductor, possesses novel electronic properties due to its strong temperature and electric-field dependent permittivity. Due to the high permittivity, metal/n-SrTiO 3 systems show reasonably strong rectification even when SrTiO 3 is degenerately doped. Our experiments show that the insertion of a sub nanometer layer of AlO x in between the metal and n-SrTiO 3 interface leads to a dramatic reduction of the Schottky barrier height (from around 0.90 V to 0.25 V). This reduces the interface resistivity by 4 orders of magnitude. The derived electrostatic analysis of the metal-insulator-semiconductor (n-SrTiO 3 ) system is consistent with this trend. When compared with a Si based MIS system, the change is much larger and mainly governed by the high permittivity of SrTiO 3 . The non-linear permittivity of n-SrTiO 3 leads to unconventional properties such as a temperature dependent surface potential non-existent for semiconductors with linear permittivity such as Si. This allows tuning of the interfacial band alignment, and consequently the Schottky barrier height, in a much more drastic way than in conventional semiconductors

  9. MgNiO-based metal-semiconductor- metal ultraviolet photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Zhao Yanmin; Zhang Jiying; Jiang Dayong; Shan Chongxin; Zhang Zhenzhong; Yao Bin; Zhao Dongxu; Shen Dezhen, E-mail: zhangjy53@yahoo.com.c [Key Laboratory of Excited State Processes, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130033 (China)

    2009-05-07

    In this study, we report the growth of Mg{sub x}Ni{sub 1-x}O thin films on quartz substrates by electron beam evaporation. The absorption edge shows a blue shift from 340 nm to 260 nm with increase in the Mg content from 0.2 to 0.8. A metal-semiconductor-metal structured photodetector is fabricated from the Mg{sub 0.2}Ni{sub 0.8}O film. At a bias of 5 V, the dark current of the photodetector is about 70 nA. The maximum responsivity is about 147.3 {mu}A W{sup -1} at 320 nm. In addition, the ultraviolet (UV) (320 nm) to visible (400 nm) rejection ratio is nearly two orders of magnitude. Based on these results, it is proposed that Mg{sub x}Ni{sub 1-x}O is a potential candidate for application in UV photodetectors. (fast track communication)

  10. A 75 GHz silicon metal-semiconductor-metal Schottky photodiode

    International Nuclear Information System (INIS)

    Alexandrou, S.; Wang, C.; Hsiang, T.Y.; Liu, M.Y.; Chou, S.Y.

    1993-01-01

    The ultrafast characteristics of crystalline-silicon metal-semiconductor-metal (MSM) photodiodes with 300 nm finger width and spacing were measured with a subpicosecond electro-optic sampling system. Electrical responses with full width at half maximum as short as 5.5 and 11 ps, at corresponding 3 dB bandwidths of 75 and 38 GHz, were generated by violet and red photons, respectively. The difference is attributed to the photon penetration depth which is much larger than the diode finger spacing at red, but smaller at violet. Light-intensity dependence was also examined at different wavelengths, indicating a linear relation and a higher sensitivity in the violet. These results not only demonstrated the fastest silicon photodetector reported to date, but also pinpointed the dominant speed-limiting factor of silicon MSM photodiodes. A configuration is suggested to improve the speed of these detectors at long wavelengths

  11. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  12. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  13. Nonequilibrium carrier dynamics in transition metal dichalcogenide semiconductors

    Science.gov (United States)

    Steinhoff, A.; Florian, M.; Rösner, M.; Lorke, M.; Wehling, T. O.; Gies, C.; Jahnke, F.

    2016-09-01

    When exploring new materials for their potential in (opto)electronic device applications, it is important to understand the role of various carrier interaction and scattering processes. In atomically thin transition metal dichalcogenide semiconductors, the Coulomb interaction is known to be much stronger than in quantum wells of conventional semiconductors like GaAs, as witnessed by the 50 times larger exciton binding energy. The question arises, whether this directly translates into equivalently faster carrier-carrier Coulomb scattering of excited carriers. Here we show that a combination of ab initio band-structure and many-body theory predicts Coulomb-mediated carrier relaxation on a sub-100 fs time scale for a wide range of excitation densities, which is less than an order of magnitude faster than in quantum wells.

  14. Spectra of magnetoplasma polaritons in a semiconductor layer on a metallic substrate

    International Nuclear Information System (INIS)

    Beletsekii, N.N.; Gasan, E.A.; Yakovenko, V.M.

    1988-01-01

    The dispersion properties of volume and surface magnetoplasma polaritons in a three-layer metal-semiconductor-insulator structure are studied. It is predicted that surface magnetoplasma polaritons propagating on the two boundaries of the semiconductor layer interact resonantly. It is shown that for a certain direction of propagation the dispersion curves of surface and volume magnetoplasma polaritons contain sections with negative dispersion. Nonreciprocal propagation of volume magnetoplasma polaritons has been observed. Losses in the semiconductor layer split the starting spectral lines into dispersion curves of two types, corresponding to forward and backward waves

  15. Mathematical Modeling of Dielectric Characteristics of the Metallic Band Inclusion Composite

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    Full Text Available Among the desirable properties of functional materials used in various electrical and radio physical equipment and devices, dielectric characteristics, including relative permittivity (hereinafter, permittivity are of importance. The permittivity requirements can be met when a composite with a particular combination of its matrix characteristics and inclusions [1, 2, 3] is used as a functional material. The use of metallic inclusions extends a variation range of dielectric characteristics of the composite, and thereby enhances its application. The composite structure, form of inclusions, and their volume concentration has a significant impact on the permittivity.One of the composite structure embodiments is a dispersion system when in the dispersion medium (in this case | in the composite matrix a dispersed phase (inclusions with highly extended interface between them [4] is distributed. There can be various forms of dispersed inclusions. Band is one of the possible forms of inclusion when its dimensions in three orthogonal directions are significantly different among themselves. For such inclusion, a tri-axial ellipsoid can be taken as an acceptable geometric model to describe its form. This model can be used, in particular, to describe the form of nanostructured elements, which recently are considered as inclusions for advanced composites for various purposes [5].With raising volume concentration of metal inclusions in the dielectric matrix composite there is an increasing probability of direct contact between the inclusions resulting in continuous conductive cluster [3, 6]. In this paper, it is assumed that metal band inclusions are covered with a sufficiently thin layer of the electrically insulating material, eliminating the possibility of direct contact and precluding consideration of the so-called percolation effect [2, 7] in the entire interval of the expectedly changing volume concentration of electrically ellipsoidal inclusions. The

  16. Effects of radiation and temperature on gallium nitride (GaN) metal-semiconductor-metal ultraviolet photodetectors

    Science.gov (United States)

    Chiamori, Heather C.; Angadi, Chetan; Suria, Ateeq; Shankar, Ashwin; Hou, Minmin; Bhattacharya, Sharmila; Senesky, Debbie G.

    2014-06-01

    The development of radiation-hardened, temperature-tolerant materials, sensors and electronics will enable lightweight space sub-systems (reduced packaging requirements) with increased operation lifetimes in extreme harsh environments such as those encountered during space exploration. Gallium nitride (GaN) is a ceramic, semiconductor material stable within high-radiation, high-temperature and chemically corrosive environments due to its wide bandgap (3.4 eV). These material properties can be leveraged for ultraviolet (UV) wavelength photodetection. In this paper, current results of GaN metal-semiconductor-metal (MSM) UV photodetectors behavior after irradiation up to 50 krad and temperatures of 15°C to 150°C is presented. These initial results indicate that GaN-based sensors can provide robust operation within extreme harsh environments. Future directions for GaN-based photodetector technology for down-hole, automotive and space exploration applications are also discussed.

  17. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  18. Radiation sensors based on the generation of mobile protons in organic dielectrics.

    Science.gov (United States)

    Kapetanakis, Eleftherios; Douvas, Antonios M; Argitis, Panagiotis; Normand, Pascal

    2013-06-26

    A sensing scheme based on mobile protons generated by radiation, including ionizing radiation (IonR), in organic gate dielectrics is investigated for the development of metal-insulator-semiconductor (MIS)-type dosimeters. Application of an electric field to the gate dielectric moves the protons and thereby alters the flat band voltage (VFB) of the MIS device. The shift in the VFB is proportional to the IonR-generated protons and, therefore, to the IonR total dose. Triphenylsulfonium nonaflate (TPSNF) photoacid generator (PAG)-containing poly(methyl methacrylate) (PMMA) polymeric films was selected as radiation-sensitive gate dielectrics. The effects of UV (249 nm) and gamma (Co-60) irradiations on the high-frequency capacitance versus the gate voltage (C-VG) curves of the MIS devices were investigated for different total dose values. Systematic improvements in sensitivity can be accomplished by increasing the concentration of the TPSNF molecules embedded in the polymeric matrix.

  19. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    Science.gov (United States)

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  20. PARAMETERS OPTIMIZATION OF METAL-DIELECTRIC NANOSTRUCTURES FOR SENSOR APPLICATIONS

    Directory of Open Access Journals (Sweden)

    V. I. Egorov

    2014-07-01

    Full Text Available We present calculation results of optical properties of silver nanoparticles with dielectric shell in relation to their applications in chemical and biosensors. Absorption cross-section calculation for spherical silver nanoparticles was performed by quasi static dipole approximation. It is shown that dielectric shell thickness equal to 2-3 nm and its refraction index equal to 1,5-1,75 are optimal. Calculation results were compared to experimental data. Experimental investigation of metal-dielectric nanostructures sensitivity to external refraction index was performed. Synthesis of silver nanoparticles with dielectric shell on glass surface was performed by nanosecond laser ablation method in near-surface glass layer at 1,06 μm wavelength (Solar LQ129. Synthesis of silver nanoparticles without a shell on the glass surface with silver ions was performed using thermal treatment in wet atmosphere. Spectrophotometer Cary 500 (Varyan was used for spectral measurements. In case of laser ablation method application, external refraction index changes from 1 (the air to 1,33 (water and plasmon resonance band shift for 6 nm occurs. In case of another method application at the same conditions the registered shift was equal to 13 nm. However, in the latter case the particles can be easily removed from the substrate surface. Obtained results will be useful for developing chemical and biological sensors based on plasmon resonance band shift.

  1. STM and synchrotron radiation studies of prototypical metal/semiconductor systems

    DEFF Research Database (Denmark)

    Lay, G. le; Aristov, V.Y.; Seehofer, L.

    1994-01-01

    Since the origin of surface science noble metal/elemental semiconductor couples have been considered as ''prototypical'' systems. After three decades of research their structural and electronic properties remain an intriguing maze despite recent advances made, especially thanks to the development...

  2. Barrier height enhancement of metal/semiconductor contact by an enzyme biofilm interlayer

    Science.gov (United States)

    Ocak, Yusuf Selim; Gul Guven, Reyhan; Tombak, Ahmet; Kilicoglu, Tahsin; Guven, Kemal; Dogru, Mehmet

    2013-06-01

    A metal/interlayer/semiconductor (Al/enzyme/p-Si) MIS device was fabricated using α-amylase enzyme as a thin biofilm interlayer. It was observed that the device showed an excellent rectifying behavior and the barrier height value of 0.78 eV for Al/α-amylase/p-Si was meaningfully larger than the one of 0.58 eV for conventional Al/p-Si metal/semiconductor (MS) contact. Enhancement of the interfacial potential barrier of Al/p-Si MS diode was realized using enzyme interlayer by influencing the space charge region of Si semiconductor. The electrical properties of the structure were executed by the help of current-voltage and capacitance-voltage measurements. The photovoltaic properties of the structure were executed under a solar simulator with AM1.5 global filter between 40 and 100 mW/cm2 illumination conditions. It was also reported that the α-amylase enzyme produced from Bacillus licheniformis had a 3.65 eV band gap value obtained from optical method.

  3. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  4. Electron dynamics in metals and semiconductors in strong THz fields

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd

    2017-01-01

    Semiconductors and metals respond to strong electric fields in a highly nonlinear fashion. Using single-cycle THz field transients it is possible to investigate this response in regimes not accessible by transport-based measurements. Extremely high fields can be applied without material damage...

  5. Low leakage stoichiometric SrTiO{sub 3} dielectric for advanced metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Popovici, Mihaela; Kaczer, Ben; Redolfi, Augusto; Elshocht, Sven van; Jurczak, Malgorzata [imec Belgium, Leuven (Belgium); Afanas' ev, Valeri V. [Department of Physics and Astronomy, KU Leuven (Belgium); Sereni, Gabriele [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); Larcher, Luca [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); MDLab, Saint Christophe (Italy)

    2016-05-15

    Metal-insulator-metal capacitors (MIMCAP) with stoichiometric SrTiO{sub 3} dielectric were deposited stacking two strontium titanate (STO) layers, followed by intermixing the grain determining Sr-rich STO seed layer, with the Ti-rich STO top layer. The resulted stoichiometric SrTiO{sub 3} would have a structure with less defects as demonstrated by internal photoemission experiments. Consequently, the leakage current density is lower compared to Sr-rich STO which allow further equivalent oxide thickness downscaling. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Towards the accurate electronic structure descriptions of typical high-constant dielectrics

    Science.gov (United States)

    Jiang, Ting-Ting; Sun, Qing-Qing; Li, Ye; Guo, Jiao-Jiao; Zhou, Peng; Ding, Shi-Jin; Zhang, David Wei

    2011-05-01

    High-constant dielectrics have gained considerable attention due to their wide applications in advanced devices, such as gate oxides in metal-oxide-semiconductor devices and insulators in high-density metal-insulator-metal capacitors. However, the theoretical investigations of these materials cannot fulfil the requirement of experimental development, especially the requirement for the accurate description of band structures. We performed first-principles calculations based on the hybrid density functionals theory to investigate several typical high-k dielectrics such as Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2. The band structures of these materials are well described within the framework of hybrid density functionals theory. The band gaps of Al2O3, HfO2, ZrSiO4, HfSiO4, La2O3 and ZrO2are calculated to be 8.0 eV, 5.6 eV, 6.2 eV, 7.1 eV, 5.3 eV and 5.0 eV, respectively, which are very close to the experimental values and far more accurate than those obtained by the traditional generalized gradient approximation method.

  7. Organic Field-Effect Transistors Based on a Liquid-Crystalline Polymeric Semiconductor using SU-8 Gate Dielectrics onFlexible Substrates.

    Science.gov (United States)

    Tetzner, Kornelius; Bose, Indranil R; Bock, Karlheinz

    2014-10-29

    In this work, the insulating properties of poly(4-vinylphenol) (PVP) and SU-8 (MicroChem, Westborough, MA, USA) dielectrics are analyzed and compared with each other. We further investigate the performance behavior of organic field-effect transistors based on a semiconducting liquid-crystal polymer (LCP) using both dielectric materials and evaluate the results regarding the processability. Due to the lower process temperature needed for the SU-8 deposition, the realization of organic transistors on flexible substrates is demonstrated showing comparable charge carrier mobilities to devices using PVP on glass. In addition, a µ-dispensing procedure of the LCP on SU-8 is presented, improving the switching behavior of the organic transistors, and the promising stability data of the SU-8/LCP stack are verified after storing the structures for 60 days in ambient air showing negligible irreversible degradation of the organic semiconductor.

  8. Tuning the p-type Schottky barrier in 2D metal/semiconductor interface:boron-sheet on MoSe2, and WSe2

    Science.gov (United States)

    Couto, W. R. M.; Miwa, R. H.; Fazzio, A.

    2017-10-01

    Van der Waals (vdW) metal/semiconductor heterostructures have been investigated through first-principles calculations. We have considered the recently synthesized borophene (Mannix et al 2015 Science 350 1513), and the planar boron sheets (S1 and S2) (Feng et al 2016 Nat. Chem. 8 563) as the 2D metal layer, and the transition metal dichalcogenides (TMDCs) MoSe2, and WSe2 as the semiconductor monolayer. We find that the energetic stability of those 2D metal/semiconductor heterojunctions is mostly ruled by the vdW interactions; however, chemical interactions also take place in borophene/TMDC. The electronic charge transfer at the metal/semiconductor interface has been mapped, where we find a a net charge transfer from the TMDCs to the boron sheets. Further electronic structure calculations reveal that the metal/semiconductor interfaces, composed by planar boron sheets S1 and S2, present a p-type Schottky barrier which can be tuned to a p-type ohmic contact by an external electric field.

  9. Photon-pair generation in nonlinear metal-dielectric one-dimensional photonic structures

    Czech Academy of Sciences Publication Activity Database

    Javůrek, D.; Svozilík, J.; Peřina ml., Jan

    2014-01-01

    Roč. 90, č. 5 (2014), "053813-1"-"053813-14" ISSN 1050-2947 R&D Projects: GA ČR GAP205/12/0382 Institutional support: RVO:68378271 Keywords : photon pairs * nonlinear metal-dielectric * one-dimensional photonic structures Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.808, year: 2014

  10. Collective modes and dielectric and superconducting properties of electronic systems in confined geometries

    International Nuclear Information System (INIS)

    Ulloa, S.E.

    1984-01-01

    The dielectric response function of electronic systems in restricted geometries is studied as well as some of the consequences, using the self-consistent field method. These consequences include: 1) existence of multiple branches of longitudinal slender acoustic plasma oscillations (SAP) in thin wires; 2) a new superconductivity mechanism in thin wires via the exchange of SAPs by the electrons forming the Cooper pairs, and 3) reduction of the static screening offered by the valence electrons in a thin semiconductor film with respect to the bulk case. The SAP modes are collective modes shown to exist only in thin wires and neither in a bulk system nor in a thin film. They have linear dispersion relations with phase velocities smaller than the Fermi velocity of the system and are not Landau-damped. Numerical examples of these SAP modes in metallic and semiconductor wires are presented, showing that they sould be more easily observable in semiconductor structures. The SAP-induced mechanism of superconductivity is shown to possibly give higher critical temperature T/sub c/ than the phonon mechanism in thin wires. The author presents a semi-rigorous calculation of T/sub c/ and shows that by increasing the frequency of the SAP modes and having a small effective electron mass one would be able to increase T/sub c/. He also shows that the dielectric function of a thin semiconductor slab is wavenumber dependent even at long wavelengths and is not a constant as in the bulk case

  11. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  12. Giant Geometrically Amplified Piezoresistance in Metal-Semiconductor Hybrid Resistors

    DEFF Research Database (Denmark)

    Hansen, Ole; Reck, Kasper; Thomsen, Erik Vilain

    2008-01-01

    We show that very high geometrically amplified piezoresistance can indeed be obtained in microstructured metal-semiconductor hybrid devices, even significantly higher amplification factors than the factor of approximately 8 demonstrated recently by Rowe and co-workers may be achieved. However, we...... than the sensitivity of conventional piezoresistors fabricated in the same piezoresistive material. ©2008 American Institute of Physics...

  13. Dielectric nanoresonators for light manipulation

    Science.gov (United States)

    Yang, Zhong-Jian; Jiang, Ruibin; Zhuo, Xiaolu; Xie, Ya-Ming; Wang, Jianfang; Lin, Hai-Qing

    2017-07-01

    Nanostructures made of dielectric materials with high or moderate refractive indexes can support strong electric and magnetic resonances in the optical region. They can therefore function as nanoresonators. In addition to plasmonic metal nanostructures that have been widely investigated, dielectric nanoresonators provide a new type of building blocks for realizing powerful and versatile nanoscale light manipulation. In contrast to plasmonic metal nanostructures, nanoresonators made of appropriate dielectric materials are low-cost, earth-abundant and have very small or even negligible light energy losses. As a result, they will find potential applications in a number of photonic devices, especially those that require low energy losses. In this review, we describe the recent progress on the experimental and theoretical studies of dielectric nanoresonators. We start from the basic theory of the electromagnetic responses of dielectric nanoresonators and their fabrication methods. The optical properties of individual dielectric nanoresonators are then elaborated, followed by the coupling behaviors between dielectric nanoresonators, between dielectric nanoresonators and substrates, and between dielectric nanoresonators and plasmonic metal nanostructures. The applications of dielectric nanoresonators are further described. Finally, the challenges and opportunities in this field are discussed.

  14. Long-term research in Japan: amorphous metals, metal oxide varistors, high-power semiconductors and superconducting generators

    Energy Technology Data Exchange (ETDEWEB)

    Hane, G.J.; Yorozu, M.; Sogabe, T.; Suzuki, S.

    1985-04-01

    The review revealed that significant activity is under way in the research of amorphous metals, but that little fundamental work is being pursued on metal oxide varistors and high-power semiconductors. Also, the investigation of long-term research program plans for superconducting generators reveals that activity is at a low level, pending the recommendations of a study currently being conducted through Japan's Central Electric Power Council.

  15. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    Science.gov (United States)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  16. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  17. Single-Molecule Photocurrent at a Metal-Molecule-Semiconductor Junction.

    Science.gov (United States)

    Vezzoli, Andrea; Brooke, Richard J; Higgins, Simon J; Schwarzacher, Walther; Nichols, Richard J

    2017-11-08

    We demonstrate here a new concept for a metal-molecule-semiconductor nanodevice employing Au and GaAs contacts that acts as a photodiode. Current-voltage traces for such junctions are recorded using a STM, and the "blinking" or "I(t)" method is used to record electrical behavior at the single-molecule level in the dark and under illumination, with both low and highly doped GaAs samples and with two different types of molecular bridge: nonconjugated pentanedithiol and the more conjugated 1,4-phenylene(dimethanethiol). Junctions with highly doped GaAs show poor rectification in the dark and a low photocurrent, while junctions with low doped GaAs show particularly high rectification ratios in the dark (>10 3 for a 1.5 V bias potential) and a high photocurrent in reverse bias. In low doped GaAs, the greater thickness of the depletion layer not only reduces the reverse bias leakage current, but also increases the volume that contributes to the photocurrent, an effect amplified by the point contact geometry of the junction. Furthermore, since photogenerated holes tunnel to the metal electrode assisted by the HOMO of the molecular bridge, the choice of the latter has a strong influence on both the steady state and transient metal-molecule-semiconductor photodiode response. The control of junction current via photogenerated charge carriers adds new functionality to single-molecule nanodevices.

  18. Floating dielectric slab optical interconnection between metal-dielectric interface surface plasmon polariton waveguides.

    Science.gov (United States)

    Kang, Minsu; Park, Junghyun; Lee, Il-Min; Lee, Byoungho

    2009-01-19

    A simple and effective optical interconnection which connects two distanced single metal-dielectric interface surface plasmon waveguides by a floating dielectric slab waveguide (slab bridge) is proposed. Transmission characteristics of the suggested structure are numerically studied using rigorous coupled wave analysis, and design rules based on the study are given. In the wave-guiding part, if the slab bridge can support more than the fundamental mode, then the transmission efficiency of the interconnection shows strong periodic dependency on the length of the bridge, due to the multi-mode interference (MMI) effect. Otherwise, only small fluctuation occurs due to the Fabry-Pérot effect. In addition, light beating happens when the slab bridge is relatively short. In the wave-coupling part, on the other hand, gap-assisted transmission occurs at each overlapping region as a consequence of mode hybridization. Periodic dependency on the length of the overlap region also appears due to the MMI effect. According to these results, we propose design principles for achieving both high transmission efficiency and stability with respect to the variation of the interconnection distance, and we show how to obtain the transmission efficiency of 68.3% for the 1mm-long interconnection.

  19. Photon detector composed of metal and semiconductor nanoparticles

    International Nuclear Information System (INIS)

    Takahashi, Atsuo; Minoura, Norihiko; Karube, Isao

    2005-01-01

    Applying the function of the single electron transistor, a novel photon detector consisting of a self-assembled structure of metal and semiconductor nanoparticles and an organic insulating layer was developed. It showed coulomb blockade behavior under dark conditions and remarkable increase in current corresponding to light intensity under light irradiation. Ultraweak photon emission of about 600 counts per second in the ultraviolet region could be detected at room temperature by this photon counter

  20. Organic Field-Effect Transistors Based on a Liquid-Crystalline Polymeric Semiconductor using SU-8 Gate Dielectrics onFlexible Substrates

    Directory of Open Access Journals (Sweden)

    Kornelius Tetzner

    2014-10-01

    Full Text Available In this work, the insulating properties of poly(4-vinylphenol (PVP and SU-8 (MicroChem, Westborough, MA, USA dielectrics are analyzed and compared with each other. We further investigate the performance behavior of organic field-effect transistors based on a semiconducting liquid-crystal polymer (LCP using both dielectric materials and evaluate the results regarding the processability. Due to the lower process temperature needed for the SU-8 deposition, the realization of organic transistors on flexible substrates is demonstrated showing comparable charge carrier mobilities to devices using PVP on glass. In addition, a µ-dispensing procedure of the LCP on SU-8 is presented, improving the switching behavior of the organic transistors, and the promising stability data of the SU-8/LCP stack are verified after storing the structures for 60 days in ambient air showing negligible irreversible degradation of the organic semiconductor.

  1. Organic Field-Effect Transistors Based on a Liquid-Crystalline Polymeric Semiconductor using SU-8 Gate Dielectrics on Flexible Substrates

    Science.gov (United States)

    Tetzner, Kornelius; Bose, Indranil R.; Bock, Karlheinz

    2014-01-01

    In this work, the insulating properties of poly(4-vinylphenol) (PVP) and SU-8 (MicroChem, Westborough, MA, USA) dielectrics are analyzed and compared with each other. We further investigate the performance behavior of organic field-effect transistors based on a semiconducting liquid-crystal polymer (LCP) using both dielectric materials and evaluate the results regarding the processability. Due to the lower process temperature needed for the SU-8 deposition, the realization of organic transistors on flexible substrates is demonstrated showing comparable charge carrier mobilities to devices using PVP on glass. In addition, a µ-dispensing procedure of the LCP on SU-8 is presented, improving the switching behavior of the organic transistors, and the promising stability data of the SU-8/LCP stack are verified after storing the structures for 60 days in ambient air showing negligible irreversible degradation of the organic semiconductor. PMID:28788243

  2. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  3. Electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP

    Science.gov (United States)

    Ferrandis, Philippe; Billaud, Mathilde; Duvernay, Julien; Martin, Mickael; Arnoult, Alexandre; Grampeix, Helen; Cassé, Mikael; Boutry, Hervé; Baron, Thierry; Vinet, Maud; Reimbold, Gilles

    2018-04-01

    To overcome the Fermi-level pinning in III-V metal-oxide-semiconductor capacitors, attention is usually focused on the choice of dielectric and surface chemical treatments prior to oxide deposition. In this work, we examined the influence of the III-V material surface cleaning and the semiconductor growth technique on the electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP(100) substrates. By means of the capacitance-voltage measurements, we demonstrated that samples do not have the same total oxide charge density depending on the cleaning solution used [(NH4)2S or NH4OH] prior to oxide deposition. The determination of the interface trap density revealed that a Fermi-level pinning occurs for samples grown by metalorganic chemical vapor deposition but not for similar samples grown by molecular beam epitaxy. Deep level transient spectroscopy analysis explained the Fermi-level pinning by an additional signal for samples grown by metalorganic chemical vapor deposition, attributed to the tunneling effect of carriers trapped in oxide toward interface states. This work emphasizes that the choice of appropriate oxide and cleaning treatment is not enough to prevent a Fermi-level pinning in III-V metal-oxide-semiconductor capacitors. The semiconductor growth technique needs to be taken into account because it impacts the trapping properties of the oxide.

  4. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  5. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  6. Electronic transport and dielectric properties of low-dimensional structures of layered transition metal dichalcogenides

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ashok, E-mail: ashok.1777@yahoo.com; Ahluwalia, P.K., E-mail: pk_ahluwalia7@yahoo.com

    2014-02-25

    Graphical abstract: We present electronic transport and dielectric response of layered transition metal dichalcogenides nanowires and nanoribbons. Illustration 1: Conductance (G) and corresponding local density of states(LDOS) for LTMDs wires at applied bias. I–V characterstics are shown in lowermost panels. Highlights: • The studied configurations show metallic/semiconducting nature. • States around the Fermi energy are mainly contributed by the d orbitals of metal atoms. • The studied configurations show non-linear current–voltage (I–V) characteristics. • Additional plasmonic features at low energy have been observed for both wires and ribbons. • Dielectric functions for both wires and ribbons are anisotropic (isotropic) at low (high) energy range. -- Abstract: We present first principle study of the electronic transport and dielectric properties of nanowires and nanoribbons of layered transition metal dichalcogenides (LTMDs), MX{sub 2} (M = Mo, W; X = S, Se, Te). The studied configuration shows metallic/semiconducting nature and the states around the Fermi energy are mainly contributed by the d orbitals of metal atoms. Zero-bias transmission show 1G{sub 0} conductance for the ribbons of MoS{sub 2} and WS{sub 2}; 2G{sub 0} conductance for MoS{sub 2}, WS{sub 2}, WSe{sub 2} wires, and ribbons of MoTe{sub 2} and WTe{sub 2}; and 3G{sub 0} conductance for WSe{sub 2} ribbon. The studied configurations show non-linear current–voltage (I–V) characteristics. Negative differential conductance (NDC) has also been observed for the nanoribbons of the selenides and tellurides of both Mo and W. Furthermore, additional plasmonic features below 5 eV energy have been observed for both wires and ribbons as compared to the corresponding monolayers, which is found to be red-shifted on going from nanowires to nanoribbons.

  7. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  8. Perhydropolysilazane spin-on dielectrics for inter-layer-dielectric applications of sub-30 nm silicon technology

    International Nuclear Information System (INIS)

    Kim, Sam-Dong; Ko, Pil-Seok; Park, Kyoung-Seok

    2013-01-01

    Various material properties of the perhydropolysilazane spin-on dielectric (PHPS SOD) were examined and analyzed in this study as potential inter-layer dielectrics (ILDs) integrated for Si circuits of 30 nm technology or beyond. The spin-coated PHPS (18.5 wt%) layers converted at 650 °C showed comparable but less perfect thermal conversion to silica than the films converted at 1000 °C, however exhibiting excellent gap filling (15 nm gap opening, aspect ratio (AR) of ∼23) and planarization (degree of planarization (DOP) = ∼73% for 800 nm initial step height, cusp angle = ∼16°) sufficient for the Si integration. PHPS SOD layers cured at 650 °C were integrated ILDs in the 0.18 µm Si front-end-of-the-line process, and the estimated hot-carrier reliability of n-channel metal oxide semiconductor transistors (ten years at a drain voltage of 1.68 V) had no significant difference from that of the transistors integrated with the conventional borophosposilicate glass ILDs. A modified contact pre-cleaning scheme using N 2 O plasma treatment also produced uniform and stable contact chain resistances from the SOD ILDs. (paper)

  9. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  10. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  11. Electric-Field-Driven Dual Vacancies Evolution in Ultrathin Nanosheets Realizing Reversible Semiconductor to Half-Metal Transition.

    Science.gov (United States)

    Lyu, Mengjie; Liu, Youwen; Zhi, Yuduo; Xiao, Chong; Gu, Bingchuan; Hua, Xuemin; Fan, Shaojuan; Lin, Yue; Bai, Wei; Tong, Wei; Zou, Youming; Pan, Bicai; Ye, Bangjiao; Xie, Yi

    2015-12-02

    Fabricating a flexible room-temperature ferromagnetic resistive-switching random access memory (RRAM) device is of fundamental importance to integrate nonvolatile memory and spintronics both in theory and practice for modern information technology and has the potential to bring about revolutionary new foldable information-storage devices. Here, we show that a relatively low operating voltage (+1.4 V/-1.5 V, the corresponding electric field is around 20,000 V/cm) drives the dual vacancies evolution in ultrathin SnO2 nanosheets at room temperature, which causes the reversible transition between semiconductor and half-metal, accompanyied by an abrupt conductivity change up to 10(3) times, exhibiting room-temperature ferromagnetism in two resistance states. Positron annihilation spectroscopy and electron spin resonance results show that the Sn/O dual vacancies in the ultrathin SnO2 nanosheets evolve to isolated Sn vacancy under electric field, accounting for the switching behavior of SnO2 ultrathin nanosheets; on the other hand, the different defect types correspond to different conduction natures, realizing the transition between semiconductor and half-metal. Our result represents a crucial step to create new a information-storage device realizing the reversible transition between semiconductor and half-metal with flexibility and room-temperature ferromagnetism at low energy consumption. The as-obtained half-metal in the low-resistance state broadens the application of the device in spintronics and the semiconductor to half-metal transition on the basis of defects evolution and also opens up a new avenue for exploring random access memory mechanisms and finding new half-metals for spintronics.

  12. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  13. Aerosol based direct-write micro-additive fabrication method for sub-mm 3D metal-dielectric structures

    Science.gov (United States)

    Rahman, Taibur; Renaud, Luke; Heo, Deuk; Renn, Michael; Panat, Rahul

    2015-10-01

    The fabrication of 3D metal-dielectric structures at sub-mm length scale is highly important in order to realize low-loss passives and GHz wavelength antennas with applications in wearable and Internet-of-Things (IoT) devices. The inherent 2D nature of lithographic processes severely limits the available manufacturing routes to fabricate 3D structures. Further, the lithographic processes are subtractive and require the use of environmentally harmful chemicals. In this letter, we demonstrate an additive manufacturing method to fabricate 3D metal-dielectric structures at sub-mm length scale. A UV curable dielectric is dispensed from an Aerosol Jet system at 10-100 µm length scale and instantaneously cured to build complex 3D shapes at a length scale  <1 mm. A metal nanoparticle ink is then dispensed over the 3D dielectric using a combination of jetting action and tilted dispense head, also using the Aerosol Jet technique and at a length scale 10-100 µm, followed by the nanoparticle sintering. Simulation studies are carried out to demonstrate the feasibility of using such structures as mm-wave antennas. The manufacturing method described in this letter opens up the possibility of fabricating an entirely new class of custom-shaped 3D structures at a sub-mm length scale with potential applications in 3D antennas and passives.

  14. Aerosol based direct-write micro-additive fabrication method for sub-mm 3D metal-dielectric structures

    International Nuclear Information System (INIS)

    Rahman, Taibur; Panat, Rahul; Renaud, Luke; Heo, Deuk; Renn, Michael

    2015-01-01

    The fabrication of 3D metal-dielectric structures at sub-mm length scale is highly important in order to realize low-loss passives and GHz wavelength antennas with applications in wearable and Internet-of-Things (IoT) devices. The inherent 2D nature of lithographic processes severely limits the available manufacturing routes to fabricate 3D structures. Further, the lithographic processes are subtractive and require the use of environmentally harmful chemicals. In this letter, we demonstrate an additive manufacturing method to fabricate 3D metal-dielectric structures at sub-mm length scale. A UV curable dielectric is dispensed from an Aerosol Jet system at 10–100 µm length scale and instantaneously cured to build complex 3D shapes at a length scale  <1 mm. A metal nanoparticle ink is then dispensed over the 3D dielectric using a combination of jetting action and tilted dispense head, also using the Aerosol Jet technique and at a length scale 10–100 µm, followed by the nanoparticle sintering. Simulation studies are carried out to demonstrate the feasibility of using such structures as mm-wave antennas. The manufacturing method described in this letter opens up the possibility of fabricating an entirely new class of custom-shaped 3D structures at a sub-mm length scale with potential applications in 3D antennas and passives. (technical note)

  15. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Effect of barrier height on friction behavior of the semiconductors silicon and gallium arsenide in contact with pure metals

    Science.gov (United States)

    Mishina, H.; Buckley, D. H.

    1984-01-01

    Friction experiments were conducted for the semiconductors silicon and gallium arsenide in contact with pure metals. Polycrystalline titanium, tantalum, nickel, palladium, and platinum were made to contact a single crystal silicon (111) surface. Indium, nickel, copper, and silver were made to contact a single crystal gallium arsenide (100) surface. Sliding was conducted both in room air and in a vacuum of 10 to the minus 9th power torr. The friction of semiconductors in contact with metals depended on a Schottky barrier height formed at the metal semiconductor interface. Metals with a higher barrier height on semiconductors gave lower friction. The effect of the barrier height on friction behavior for argon sputtered cleaned surfaces in vacuum was more specific than that for the surfaces containing films in room air. With a silicon surface sliding on titanium, many silicon particles back transferred. In contrast, a large quantity of indium transferred to the gallium arsenide surface.

  17. Organic semiconductor growth and morphology considerations for organic thin-film transistors.

    Science.gov (United States)

    Virkar, Ajay A; Mannsfeld, Stefan; Bao, Zhenan; Stingelin, Natalie

    2010-09-08

    Analogous to conventional inorganic semiconductors, the performance of organic semiconductors is directly related to their molecular packing, crystallinity, growth mode, and purity. In order to achieve the best possible performance, it is critical to understand how organic semiconductors nucleate and grow. Clever use of surface and dielectric modification chemistry can allow one to control the growth and morphology, which greatly influence the electrical properties of the organic transistor. In this Review, the nucleation and growth of organic semiconductors on dielectric surfaces is addressed. The first part of the Review concentrates on small-molecule organic semiconductors. The role of deposition conditions on film formation is described. The modification of the dielectric interface using polymers or self-assembled mono-layers and their effect on organic-semiconductor growth and performance is also discussed. The goal of this Review is primarily to discuss the thin-film formation of organic semiconducting species. The patterning of single crystals is discussed, while their nucleation and growth has been described elsewhere (see the Review by Liu et. al).([¹]) The second part of the Review focuses on polymeric semiconductors. The dependence of physico-chemical properties, such as chain length (i.e., molecular weight) of the constituting macromolecule, and the influence of small molecular species on, e.g., melting temperature, as well as routes to induce order in such macromolecules, are described.

  18. Superconductivity suppression near metal-dielectric in transition highly disordered systems

    International Nuclear Information System (INIS)

    Kuchinskij, Eh.Z.; Sadovskij, M.V.; Ehrkabaev, M.A.

    1997-01-01

    The effects of temperature suppression of superconducting transition T c within wide limits of disorders values from low-disordered to highly-disordered ones caused by formation of the Coulomb gap in the states density are studied on the bases of the earlier proposed self consistent theory on the metal-dielectric. It is shown that the proposed theory gives satisfactory description of experimental data for a number of the systems under study

  19. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    Science.gov (United States)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  20. Bulk and interface dielectric functions: New results within the tight-binding approximation

    International Nuclear Information System (INIS)

    Elvira, V.D.; Duran, J.C.

    1991-01-01

    A tight-binding approach is used to analyze the dielectric behaviour of bulk semiconductors and semiconductor interfaces. This time interactions between second nearest neighbours are taken into account and several electrostatic models are proposed for the induced charge density around the atoms. The bulk dielectric function of different semiconductors (Si, Ge, GaAs and AlAs) are obtained and compared with other theoretical and experimental results. Finally, the energy band offset for GaAs-AlAs(1,0,0) interface is obtained and related to bulk properties of both semiconductors. The results presented in this paper show how the use of very simple but more realistic electrostatic models improve the analysis of the screening properties in semiconductors, giving a new support to the consistent tight-binding method for studying characteristics related to those properties. (Author)

  1. Bimodal gate-dielectric deposition for improved performance of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Pang Liang; Kim, Kyekyoon

    2012-01-01

    A bimodal deposition scheme combining radiofrequency magnetron sputtering and plasma enhanced chemical vapour deposition (PECVD) is proposed as a means for improving the performance of GaN-based metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs). High-density sputtered-SiO 2 is utilized to reduce the gate leakage current and enhance the breakdown voltage while low-density PECVD-SiO 2 is employed to buffer the sputtering damage and further increase the drain current by engineering the stress-induced-polarization. Thus-fabricated MOSHEMT exhibited a low leakage current of 4.21 × 10 -9 A mm -1 and high breakdown voltage of 634 V for a gate-drain distance of 6 µm, demonstrating the promise of bimodal-SiO 2 deposition scheme for the development of GaN-based MOSHEMTs for high-power application. (paper)

  2. Structure and magnetism of transition-metal implanted dilute magnetic semiconductors

    CERN Document Server

    Pereira, Lino; Temst, K; Araújo, JP; Wahl, U

    The discovery of a dilute magnetic semiconductor (DMS) in which ferromagnetism is carrier-mediated and persists above room temperature is a critical step towards the development of semiconductor-based spintronics. Among the many types of DMS materials which have been investigated, the current research interest can be narrowed down to two main classes of materials: (1) narrow-gap III-V semiconductors, mostly GaAs and InAs, doped with Mn; (2) wide-gap oxides and nitrides doped with 3d transition metals, mostly Mn- and Co-doped ZnO and Mn-doped GaN. With a number of interesting functionalities deriving from the carrier-mediated ferromagnetism and demonstrated in various proof-of-concept devices, Mn-doped GaAs has become, among DMS materials, one of the best candidates for technological application. However, despite major developments over the last 15 years, the maximum Curie temperature (185 K) remains well below room temperature. On the other hand, wide-gap DMS materials appear to exhibit ferromagnetic behavior...

  3. Interface Schottky barrier engineering via strain in metal-semiconductor composites

    Science.gov (United States)

    Ma, Xiangchao; Dai, Ying; Yu, Lin; Huang, Baibiao

    2016-01-01

    The interfacial carrier transfer property, which is dominated by the interface Schottky barrier height (SBH), plays a crucial role in determining the performance of metal-semiconductor heterostructures in a variety of applications. Therefore, artificially controlling the interface SBH is of great importance for their industrial applications. As a model system, the Au/TiO2 (001) heterostructure is studied using first-principles calculations and the tight-binding method in the present study. Our investigation demonstrates that strain can be an effective way to decrease the interface SBH and that the n-type SBH can be more effectively decreased than the p-type SBH. Astonishingly, strain affects the interface SBH mainly by changing the intrinsic properties of Au and TiO2, whereas the interfacial potential alignment is almost independent of strain due to two opposite effects, which are induced by strain at the interfacial region. These observed trends can be understood on the basis of the general free-electron gas model of typical metals, the tight-binding theory and the crystal-field theory, which suggest that similar trends may be generalized for many other metal-semiconductor heterostructures. Given the commonness and tunability of strain in typical heterostructures, we anticipate that the tunability of the interface SBH with strain described here can provide an alternative effective way for realizing more efficient applications of relevant heterostructures.The interfacial carrier transfer property, which is dominated by the interface Schottky barrier height (SBH), plays a crucial role in determining the performance of metal-semiconductor heterostructures in a variety of applications. Therefore, artificially controlling the interface SBH is of great importance for their industrial applications. As a model system, the Au/TiO2 (001) heterostructure is studied using first-principles calculations and the tight-binding method in the present study. Our investigation

  4. Metallization and superconductivity in a multizone doped semiconductor: boron-doped diamond

    International Nuclear Information System (INIS)

    Loktev, V.M.; Pogorelov, Yu.G.

    2005-01-01

    Within the framework of Anderson's s - d hybride model, metallization of a semiconductor at collectivization of impurity states is discussed. Taking in mind the description of boron-doped diamond CB x , the model is generalized for the case of the multiband initial spectrum and cluster acceptor states, due to the pairs of the nearest neighbor impurities ('impurity dumbbells'). The parameters of the calculated band of collective impurity states are compared to those observed in metallized and superconducting CB x

  5. Ferromagnetic semiconductor-metal transition in europium monoxide

    International Nuclear Information System (INIS)

    Arnold, M.

    2007-10-01

    We present a microscopical model to describe the simultaneous para-to-ferromagnetic and semiconductor-to-metal transition in electron-doped EuO. The physical properties of the model are systematically studied, whereas the main remark is on the interplay between magnetic order and the transport properties. The theory correctly describes detailed experimental features of the conductivity and of the magnetization, obtained for EuO 1-x or Gd-doped Gd x Eu 1-x 0. In particular the doping dependence of the Curie temperature is reproduced The existence of correlation-induced local moments on the impurity sites is essential for this description. (orig.)

  6. Measuring the lateral charge-carrier mobility in metal-insulator-semiconductor capacitors via Kelvin-probe.

    Science.gov (United States)

    Milotti, Valeria; Pietsch, Manuel; Strunk, Karl-Philipp; Melzer, Christian

    2018-01-01

    We report a Kelvin-probe method to investigate the lateral charge-transport properties of semiconductors, most notably the charge-carrier mobility. The method is based on successive charging and discharging of a pre-biased metal-insulator-semiconductor stack by an alternating voltage applied to one edge of a laterally confined semiconductor layer. The charge carriers spreading along the insulator-semiconductor interface are directly measured by a Kelvin-probe, following the time evolution of the surface potential. A model is presented, describing the device response for arbitrary applied biases allowing the extraction of the lateral charge-carrier mobility from experimentally measured surface potentials. The method is tested using the organic semiconductor poly(3-hexylthiophene), and the extracted mobilities are validated through current voltage measurements on respective field-effect transistors. Our widely applicable approach enables robust measurements of the lateral charge-carrier mobility in semiconductors with weak impact from the utilized contact materials.

  7. Measuring the lateral charge-carrier mobility in metal-insulator-semiconductor capacitors via Kelvin-probe

    Science.gov (United States)

    Milotti, Valeria; Pietsch, Manuel; Strunk, Karl-Philipp; Melzer, Christian

    2018-01-01

    We report a Kelvin-probe method to investigate the lateral charge-transport properties of semiconductors, most notably the charge-carrier mobility. The method is based on successive charging and discharging of a pre-biased metal-insulator-semiconductor stack by an alternating voltage applied to one edge of a laterally confined semiconductor layer. The charge carriers spreading along the insulator-semiconductor interface are directly measured by a Kelvin-probe, following the time evolution of the surface potential. A model is presented, describing the device response for arbitrary applied biases allowing the extraction of the lateral charge-carrier mobility from experimentally measured surface potentials. The method is tested using the organic semiconductor poly(3-hexylthiophene), and the extracted mobilities are validated through current voltage measurements on respective field-effect transistors. Our widely applicable approach enables robust measurements of the lateral charge-carrier mobility in semiconductors with weak impact from the utilized contact materials.

  8. Passive UHF RFID Tags with Specific Printed Antennas for Dielectric and Metallic Objects Applications

    Directory of Open Access Journals (Sweden)

    K. Siakavara

    2017-09-01

    Full Text Available Design process and respective results for the synthesis of specific Radiofrequency Identification(RFID tag antennas, suitable for dielectric and metallic objects, are presented. The antennas were designed for the UHF(865MHz-869MHz band and their basic configuration is that of the printed spiral type. Six modification steps to the classical spiral layout are proposed and it was proved that they can lead to tags with high readability and reading distances up to 10m when designed for dielectric object and up to 7m in the case of metallic objects. The results of the measurements of the fabricated tags are explained via theoretical evaluations which take into account reflection phenomena, that are present in a real environment at which the tags are used.

  9. Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process

    International Nuclear Information System (INIS)

    Wang Yan-Rong; Yang Hong; Xu Hao; Wang Xiao-Lei; Luo Wei-Chun; Qi Lu-Wei; Zhang Shu-Xiang; Wang Wen-Wu; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2015-01-01

    A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device’s performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the deposition/annealing (D and A) cycles, the D and A time, and the total annealing time. The results show that the increases of the number of D and A cycles (from 1 to 2) and D and A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D and A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1 Å and the TTF of PMOS worsen. Moreover, different D and A times and numbers of D and A cycles induce different breakdown mechanisms. (paper)

  10. Ferromagnetic semiconductor-metal transition in heterostructures of electron doped europium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Stollenwerk, Tobias

    2013-09-15

    In the present work, we develop and solve a self-consistent theory for the description of the simultaneous ferromagnetic semiconductor-metal transition in electron doped Europium monoxide. We investigate two different types of electron doping, Gadolinium impurities and Oxygen vacancies. Besides the conduction band occupation, we can identify low lying spin fluctuations on magnetic impurities as the driving force behind the doping induced enhancement of the Curie temperature. Moreover, we predict the signatures of these magnetic impurities in the spectra of scanning tunneling microscope experiments. By extending the theory to allow for inhomogeneities in one spatial direction, we are able to investigate thin films and heterostructures of Gadolinium doped Europium monoxide. Here, we are able to reproduce the experimentally observed decrease of the Curie temperature with the film thickness. This behavior is attributed to missing coupling partners of the localized 4f moments as well as to an electron depletion at the surface which leads to a reduction of the number of itinerant electrons. By investigating the influence of a metallic substrate onto the phase transition in Gadolinium doped Europium monoxide, we find that the Curie temperature can be increased up to 20%. However, as we show, the underlying mechanism of metal-interface induced charge carrier accumulation is inextricably connected to a suppression of the semiconductor-metal transition.

  11. Ferromagnetic semiconductor-metal transition in heterostructures of electron doped europium monoxide

    International Nuclear Information System (INIS)

    Stollenwerk, Tobias

    2013-09-01

    In the present work, we develop and solve a self-consistent theory for the description of the simultaneous ferromagnetic semiconductor-metal transition in electron doped Europium monoxide. We investigate two different types of electron doping, Gadolinium impurities and Oxygen vacancies. Besides the conduction band occupation, we can identify low lying spin fluctuations on magnetic impurities as the driving force behind the doping induced enhancement of the Curie temperature. Moreover, we predict the signatures of these magnetic impurities in the spectra of scanning tunneling microscope experiments. By extending the theory to allow for inhomogeneities in one spatial direction, we are able to investigate thin films and heterostructures of Gadolinium doped Europium monoxide. Here, we are able to reproduce the experimentally observed decrease of the Curie temperature with the film thickness. This behavior is attributed to missing coupling partners of the localized 4f moments as well as to an electron depletion at the surface which leads to a reduction of the number of itinerant electrons. By investigating the influence of a metallic substrate onto the phase transition in Gadolinium doped Europium monoxide, we find that the Curie temperature can be increased up to 20%. However, as we show, the underlying mechanism of metal-interface induced charge carrier accumulation is inextricably connected to a suppression of the semiconductor-metal transition.

  12. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Tian-Li, E-mail: Tian-Li.Wu@imec.be; Groeseneken, Guido [imec, Kapeldreef 75, 3001 Leuven (Belgium); Department of Electrical Engineering, KU Leuven, Leuven (Belgium); Marcon, Denis; De Jaeger, Brice; Lin, H. C.; Franco, Jacopo; Stoffels, Steve; Van Hove, Marleen; Decoutere, Stefaan [imec, Kapeldreef 75, 3001 Leuven (Belgium); Bakeroot, Benoit [imec, Kapeldreef 75, 3001 Leuven (Belgium); Centre for Microsystems Technology, Ghent University, 9052 Gent (Belgium); Roelofs, Robin [ASM, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-08-31

    In this paper, three electrical techniques (frequency dependent conductance analysis, AC transconductance (AC-g{sub m}), and positive gate bias stress) were used to evaluate three different gate dielectrics (Plasma-Enhanced Atomic Layer Deposition Si{sub 3}N{sub 4}, Rapid Thermal Chemical Vapor Deposition Si{sub 3}N{sub 4}, and Atomic Layer Deposition (ALD) Al{sub 2}O{sub 3}) for AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors. From these measurements, the interface state density (D{sub it}), the amount of border traps, and the threshold voltage (V{sub TH}) shift during a positive gate bias stress can be obtained. The results show that the V{sub TH} shift during a positive gate bias stress is highly correlated to not only interface states but also border traps in the dielectric. A physical model is proposed describing that electrons can be trapped by both interface states and border traps. Therefore, in order to minimize the V{sub TH} shift during a positive gate bias stress, the gate dielectric needs to have a lower interface state density and less border traps. However, the results also show that the commonly used frequency dependent conductance analysis technique to extract D{sub it} needs to be cautiously used since the resulting value might be influenced by the border traps and, vice versa, i.e., the g{sub m} dispersion commonly attributed to border traps might be influenced by interface states.

  13. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  14. Existence conditions for bulk large-wavevector waves in metal-dielectric and graphene-dielectric multilayer hyperbolic metamaterials

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Lavrinenko, Andrei

    2014-01-01

    We theoretically investigate general existence conditions for broadband bulk large-wavevector (high-k) propagating waves (such as volume plasmon polaritons in hyperbolic metamaterials) in arbitrary subwavelength periodic multilayers structures. Treating the elementary excitation in the unit cell...... of the structure as a generalized resonance pole of reflection coefficient and using Bloch's theorem, we derive analytical expressions for the band of large-wavevector propagating solutions. We apply our formalism to determine the high-k band existence in two important cases: the well-known metal-dielectric...

  15. Thermoelectric Performance Enhancement by Surrounding Crystalline Semiconductors with Metallic Nanoparticles

    Science.gov (United States)

    Kim, Hyun-Jung; King, Glen C.; Park, Yeonjoon; Lee, Kunik; Choi, Sang H.

    2011-01-01

    Direct conversion of thermal energy to electricity by thermoelectric (TE) devices may play a key role in future energy production and utilization. However, relatively poor performance of current TE materials has slowed development of new energy conversion applications. Recent reports have shown that the dimensionless Figure of Merit, ZT, for TE devices can be increased beyond the state-of-the-art level by nanoscale structuring of materials to reduce their thermal conductivity. New morphologically designed TE materials have been fabricated at the NASA Langley Research Center, and their characterization is underway. These newly designed materials are based on semiconductor crystal grains whose surfaces are surrounded by metallic nanoparticles. The nanoscale particles are used to tailor the thermal and electrical conduction properties for TE applications by altering the phonon and electron transport pathways. A sample of bismuth telluride decorated with metallic nanoparticles showed less thermal conductivity and twice the electrical conductivity at room temperature as compared to pure Bi2Te3. Apparently, electrons cross easily between semiconductor crystal grains via the intervening metallic nanoparticle bridges, but phonons are scattered at the interfacing gaps. Hence, if the interfacing gap is larger than the mean free path of the phonon, thermal energy transmission from one grain to others is reduced. Here we describe the design and analysis of these new materials that offer substantial improvements in thermoelectric performance.

  16. General atomistic approach for modeling metal-semiconductor interfaces using density functional theory and nonequilibrium Green's function

    DEFF Research Database (Denmark)

    Stradi, Daniele; Martinez, Umberto; Blom, Anders

    2016-01-01

    Metal-semiconductor contacts are a pillar of modern semiconductor technology. Historically, their microscopic understanding has been hampered by the inability of traditional analytical and numerical methods to fully capture the complex physics governing their operating principles. Here we introduce...... an atomistic approach based on density functional theory and nonequilibrium Green's function, which includes all the relevant ingredients required to model realistic metal-semiconductor interfaces and allows for a direct comparison between theory and experiments via I-Vbias curve simulations. We apply...... interfaces as it neglects electron tunneling, and that finite-size atomistic models have problems in describing these interfaces in the presence of doping due to a poor representation of space-charge effects. Conversely, the present method deals effectively with both issues, thus representing a valid...

  17. Voltammetric determination of metal impurities on semiconductor surface

    International Nuclear Information System (INIS)

    Knyazeva, E.P.; Mokrousov, G.M.; Volkova, V.N.

    1995-01-01

    A modification of voltamperometric method used for analysis of semiconductor surfaces which make it possible to exclude a contact between surface and background solution. This technique is based on solubility of elemental metal forms in low melting electroconductor systems (e.g., in mercury. The voltampere characteristics of amalgams formed are then studied. The suggested method is simple, rapid, and makes it possible to perform a nondestructive qualitative analysis of the sample surface area measuring about 10 -3 cm -2 and more. 4 refs.; 2 figs

  18. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  19. Structural Design Principle of Small-Molecule Organic Semiconductors for Metal-Free, Visible-Light-Promoted Photocatalysis.

    Science.gov (United States)

    Wang, Lei; Huang, Wei; Li, Run; Gehrig, Dominik; Blom, Paul W M; Landfester, Katharina; Zhang, Kai A I

    2016-08-08

    Herein, we report on the structural design principle of small-molecule organic semiconductors as metal-free, pure organic and visible light-active photocatalysts. Two series of electron-donor and acceptor-type organic semiconductor molecules were synthesized to meet crucial requirements, such as 1) absorption range in the visible region, 2) sufficient photoredox potential, and 3) long lifetime of photogenerated excitons. The photocatalytic activity was demonstrated in the intermolecular C-H functionalization of electron-rich heteroaromates with malonate derivatives. A mechanistic study of the light-induced electron transport between the organic photocatalyst, substrate, and the sacrificial agent are described. With their tunable absorption range and defined energy-band structure, the small-molecule organic semiconductors could offer a new class of metal-free and visible light-active photocatalysts for chemical reactions. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  1. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  2. The interface of the ferromagnetic metal CoS2 and the nonmagnetic semiconductor FeS2

    KAUST Repository

    Nazir, S.; Schwingenschlö gl, Udo

    2010-01-01

    semiconductor shows a metallic character. The CoS2 stays close to half-metallicity at the interface, while the FeS2 becomes metallic. The magnetic moment of the Co atoms at the interface slightly decreases as compared to the bulk value and a small moment

  3. Theoretical and Experimental Studies of New Polymer-Metal High-Dielectric Constant Nanocomposites

    Science.gov (United States)

    Ginzburg, Valeriy; Elwell, Michael; Myers, Kyle; Cieslinski, Robert; Malowinski, Sarah; Bernius, Mark

    2006-03-01

    High-dielectric-constant (high-K) gate materials are important for the needs of electronics industry. Most polymers have dielectric constant in the range 2 materials with K > 10 it is necessary to combine polymers with ceramic or metal nanoparticles. Several formulations based on functionalized Au-nanoparticles (R ˜ 5 -— 10 nm) and PMMA matrix polymer are prepared. Nanocomposite films are subsequently cast from solution. We study the morphology of those nanocomposites using theoretical (Self-Consistent Mean-Field Theory [SCMFT]) and experimental (Transmission Electron Microscopy [TEM]) techniques. Good qualitative agreement between theory and experiment is found. The study validates the utility of SCMFT as screening tool for the preparation of stable (or at least metastable) polymer/nanoparticle mixtures.

  4. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Science.gov (United States)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  5. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Directory of Open Access Journals (Sweden)

    Abd Rahim Alhan Farhanah

    2017-01-01

    Full Text Available In this paper, an investigation of design and simulation of silicon germanium (SiGe islands on silicon (Si was presented for potential visible metal semiconductor metal (MSM photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD tools. The different structures of the silicon germanium (SiGe island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM photodetector was evaluated by photo and dark current-voltage (I-V characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  6. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  7. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  8. Electronic Transport Parameter of Carbon Nanotube Metal-Semiconductor On-Tube Heterojunction

    Directory of Open Access Journals (Sweden)

    Sukirno

    2009-03-01

    Full Text Available Carbon Nanotubes research is one of the top five hot research topics in physics since 2006 because of its unique properties and functionalities, which leads to wide-range applications. One of the most interesting potential applications is in term of nanoelectronic device. It has been modeled carbon nanotubes heterojunction, which was built from two different carbon nanotubes, that one is metallic and the other one is semiconducting. There are two different carbon nanotubes metal-semiconductor heterojunction. The first one is built from CNT(10,10 as metallic carbon nanotube and CNT (17,0 as semiconductor carbon nanotube. The other one is built from CNT (5,5 as metallic carbon nanotube and CNT (8,0. All of the semiconducting carbon nanotubes are assumed to be a pyridine-like N-doped. Those two heterojunctions are different in term of their structural shape and diameter. It has been calculated their charge distribution and potential profile, which would be useful for the simulation of their electronic transport properties. The calculations are performed by using self-consistent method to solve Non-Homogeneous Poisson’s Equation with aid of Universal Density of States calculation method for Carbon Nanotubes. The calculations are done by varying the doping fraction of the semiconductor carbon nanotubes The electron tunneling transmission coefficient, for low energy region, also has been calculated by using Wentzel-Kramer-Brillouin (WKB approximation. From the calculation results, it is obtained that the charge distribution as well as the potential profile of this device is doping fraction dependent. It is also inferred that the WKB method is fail to be used to calculate whole of the electron tunneling coefficient in this system. It is expected that further calculation for electron tunneling coefficient in higher energy region as well as current-voltage characteristic of this system will become an interesting issue for this carbon nanotube based

  9. Ferromagnetic semiconductor-metal transition in europium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Arnold, M.

    2007-10-15

    We present a microscopical model to describe the simultaneous para-to-ferromagnetic and semiconductor-to-metal transition in electron-doped EuO. The physical properties of the model are systematically studied, whereas the main remark is on the interplay between magnetic order and the transport properties. The theory correctly describes detailed experimental features of the conductivity and of the magnetization, obtained for EuO{sub 1-x} or Gd-doped Gd{sub x}Eu{sub 1-x}0. In particular the doping dependence of the Curie temperature is reproduced The existence of correlation-induced local moments on the impurity sites is essential for this description. (orig.)

  10. Design of nanophotonic, hot-electron solar-blind ultraviolet detectors with a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Wang, Zhiyuan; Wang, Xiaoxin; Liu, Jifeng

    2014-01-01

    Solar-blind ultraviolet (UV) detection refers to photon detection specifically in the wavelength range of 200 nm–320 nm. Without background noises from solar radiation, it has broad applications from homeland security to environmental monitoring. The most commonly used solid state devices for this application are wide band gap (WBG) semiconductor photodetectors (Eg > 3.5 eV). However, WBG semiconductors are difficult to grow and integrate with Si readout integrated circuits (ROICs). In this paper, we design a nanophotonic metal-oxide-semiconductor structure on Si for solar-blind UV detectors. Instead of using semiconductors as the active absorber, we use Sn nano-grating structures to absorb UV photons and generate hot electrons for internal photoemission across the Sn/SiO 2 interfacial barrier, thereby generating photocurrent between the metal and the n-type Si region upon UV excitation. Moreover, the transported hot electron has an excess kinetic energy >3 eV, large enough to induce impact ionization and generate another free electron in the conduction band of n-Si. This process doubles the quantum efficiency. On the other hand, the large metal/oxide interfacial energy barrier (>3.5 eV) also enables solar-blind UV detection by blocking the less energetic electrons excited by visible photons. With optimized design, ∼75% UV absorption and hot electron excitation can be achieved within the mean free path of ∼20 nm from the metal/oxide interface. This feature greatly enhances hot electron transport across the interfacial barrier to generate photocurrent. The simple geometry of the Sn nano-gratings and the MOS structure make it easy to fabricate and integrate with Si ROICs compared to existing solar-blind UV detection schemes. The presented device structure also breaks through the conventional notion that photon absorption by metal is always a loss in solid-state photodetectors, and it can potentially be extended to other active metal photonic devices. (paper)

  11. Polarization-induced transport in organic field-effect transistors: the role of ferroelectric dielectrics

    Science.gov (United States)

    Guha, Suchismita; Laudari, Amrit

    2017-08-01

    The ferroelectric nature of polymer ferroelectrics such as poly(vinylidene fluoride) (PVDF) has been known for over 45 years. However, its role in interfacial transport in organic/polymeric field-effect transistors (FETs) is not that well understood. Dielectrics based on PVDF and its copolymers are a perfect test-bed for conducting transport studies where a systematic tuning of the dielectric constant with temperature may be achieved. The charge transport mechanism in an organic semiconductor often occurs at the intersection of band-like coherent motion and incoherent hopping through localized states. By choosing two small molecule organic semiconductors - pentacene and 6,13 bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene) - along with a copolymer of PVDF (PVDF-TrFe) as the dielectric layer, the transistor characteristics are monitored as a function of temperature. A negative coefficient of carrier mobility is observed in TIPS-pentacene upwards of 200 K with the ferroelectric dielectric. In contrast, TIPS-pentacene FETs show an activated transport with non-ferroelectric dielectrics. Pentacene FETs, on the other hand, show a weak temperature dependence of the charge carrier mobility in the ferroelectric phase of PVDF-TrFE, which is attributed to polarization fluctuation driven transport resulting from a coupling of the charge carriers to the surface phonons of the dielectric layer. Further, we show that there is a strong correlation between the nature of traps in the organic semiconductor and interfacial transport in organic FETs, especially in the presence of a ferroelectric dielectric.

  12. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  13. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer

    International Nuclear Information System (INIS)

    Koh, D.; Kwon, H. M.; Kim, T.-W.; Veksler, D.; Gilmer, D.; Kirsch, P. D.; Kim, D.-H.; Hudnall, Todd W.; Bielawski, Christopher W.; Maszara, W.; Banerjee, S. K.

    2014-01-01

    In this study, we have fabricated nanometer-scale channel length quantum-well (QW) metal-oxide-semiconductor field effect transistors (MOSFETs) incorporating beryllium oxide (BeO) as an interfacial layer. BeO has high thermal stability, excellent electrical insulating characteristics, and a large band-gap, which make it an attractive candidate for use as a gate dielectric in making MOSFETs. BeO can also act as a good diffusion barrier to oxygen owing to its small atomic bonding length. In this work, we have fabricated In 0.53 Ga 0.47 As MOS capacitors with BeO and Al 2 O 3 and compared their electrical characteristics. As interface passivation layer, BeO/HfO 2 bilayer gate stack presented effective oxide thickness less 1 nm. Furthermore, we have demonstrated In 0.7 Ga 0.3 As QW MOSFETs with a BeO/HfO 2 dielectric, showing a sub-threshold slope of 100 mV/dec, and a transconductance (g m,max ) of 1.1 mS/μm, while displaying low values of gate leakage current. These results highlight the potential of atomic layer deposited BeO for use as a gate dielectric or interface passivation layer for III–V MOSFETs at the 7 nm technology node and/or beyond

  14. Dielectric effect on electric fields in the vicinity of the metal–vacuum–dielectric junction

    International Nuclear Information System (INIS)

    Chung, M.S.; Mayer, A.; Miskovsky, N.M.; Weiss, B.L.; Cutler, P.H.

    2013-01-01

    The dielectric effect was theoretically investigated in order to describe the electric field in the vicinity of a junction of a metal, dielectric, and vacuum. The assumption of two-dimensional symmetry of the junction leads to a simple analytic form and to a systematic numerical calculation for the field. The electric field obtained for the triple junction was found to be enhanced or reduced according to a certain criterion determined by the contact angles and dielectric constant. Further numerical calculations of the dielectric effect show that an electric field can experience a larger enhancement or reduction for a quadruple junction than that achieved for the triple junction. It was also found that even though it changes slowly in comparison with the shape effect, the dielectric effect was noticeably large over the entire range of the shape change. - Highlights: ► This work explains how a very strong electric field can be produced due to the dielectric in the vicinity of metal–dielectric contact. ► This work deals with configurations which enhance electric fields using the dielectric effect. The configuration is a type of junction at which metal, vacuum and dielectric meet. ► This work suggests the criterion to determine whether field enhancement occurs or not in the triple junction of metal, vacuum and dielectric. ► This work suggests that a quadruple junction is more effective in enhancing the electric field than a triple junction. The quadruple junction is formed by an additional vacuum portion to the triple junction. ► This work suggests that a triple junction can be a breakthrough candidate for a cold electron source

  15. Excited State Dynamics and Semiconductor-to-Metallic Phase Transition of VO2 Thin Film

    National Research Council Canada - National Science Library

    Liu, Huimin

    2004-01-01

    .... Vanadium dioxide shows an ultrafast, passive phase transition (PT) from a monoclinic semiconductor phase to a metallic tetragonal rutile structure when the sample temperature is above 68 degrees C...

  16. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  17. Temperature dependent electrical characterisation of Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diodes

    Energy Technology Data Exchange (ETDEWEB)

    Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J. [Electrical Communication Engineering, Indian Institute of Science, Bangalore, India 560012 (India); Roul, Basanta; Mukundan, Shruti; Mohan, Lokesh; Chandan, Greeshma; Krupanidhi, S. B. [Materials Research Centre, Indian Institute of Science, Bangalore, India 560012 (India)

    2015-09-15

    This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolution X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.

  18. Extraordinary refraction and self-collimation properties of multilayer metallic-dielectric stratified structures

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liwei, E-mail: zlwhpu@hotmail.com [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Chen, Liang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Zhang, Zhengren [School of Science, Chongqing Jiaotong University, Chongqing 400074 (China); Wang, Wusong [Guizhou Aerospace Institute of Measuring and Testing Technology, Guiyang 550009 (China); Zhao, Yuhuan; Song, Kechao; Kang, Chaoyang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China)

    2015-01-15

    The extraordinary refraction with negative or zero refraction angle of the layered metamaterial consisting of alternating dielectric and plasmonic layers is theoretically studied. It is shown that the electromagnetic properties can be tuned by the filling factor, the permittivity of the dielectric layer and the plasma frequency of the metallic layer. At different frequency, the layered structures possess different refraction properties with positive, zero or negative refraction angle. By choosing appropriate parameters, positive-to-zero-to-negative-to positive refraction at the desired frequency can be realized. At the frequency with flat equal frequency contour, self-collimation and slow light properties are also found. Such properties can be used in the performance of negative refraction, subwavelength imaging and information propagation.

  19. Laser Doppler perfusion imaging with a complimentary metal oxide semiconductor image sensor

    NARCIS (Netherlands)

    Serov, Alexander; Steenbergen, Wiendelt; de Mul, F.F.M.

    2002-01-01

    We utilized a complimentary metal oxide semiconductor video camera for fast f low imaging with the laser Doppler technique. A single sensor is used for both observation of the area of interest and measurements of the interference signal caused by dynamic light scattering from moving particles inside

  20. Temperature dependence of frequency dispersion in III–V metal-oxide-semiconductor C-V and the capture/emission process of border traps

    Energy Technology Data Exchange (ETDEWEB)

    Vais, Abhitosh, E-mail: Abhitosh.Vais@imec.be; Martens, Koen; DeMeyer, Kristin [Department of Electrical Engineering, KU Leuven, B-3000 Leuven (Belgium); IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Lin, Han-Chung; Ivanov, Tsvetan; Collaert, Nadine; Thean, Aaron [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Dou, Chunmeng [Frontier Research Center, Tokyo Institute of Technology, Yokohama 226-8502 (Japan); Xie, Qi; Maes, Jan [ASM International, B-3001 Leuven (Belgium); Tang, Fu; Givens, Michael [ASM International, Phoenix, Arizona 85034-7200 (United States); Raskin, Jean-Pierre [Institute of Information and Communication Technologies, Electronics and Applied Mathematics, Universiteé Catholique de Louvain, B-1348 Louvain-la-Neuve (Belgium)

    2015-08-03

    This paper presents a detailed investigation of the temperature dependence of frequency dispersion observed in capacitance-voltage (C-V) measurements of III-V metal-oxide-semiconductor (MOS) devices. The dispersion in the accumulation region of the capacitance data is found to change from 4%–9% (per decade frequency) to ∼0% when the temperature is reduced from 300 K to 4 K in a wide range of MOS capacitors with different gate dielectrics and III-V substrates. We show that such significant temperature dependence of C-V frequency dispersion cannot be due to the temperature dependence of channel electrostatics, i.e., carrier density and surface potential. We also show that the temperature dependence of frequency dispersion, and hence, the capture/emission process of border traps can be modeled by a combination of tunneling and a “temperature-activated” process described by a non-radiative multi-phonon model, instead of a widely believed single-step elastic tunneling process.

  1. Effect of annealing temperatures on the electrical conductivity and dielectric properties of Ni1.5Fe1.5O4 spinel ferrite prepared by chemical reaction at different pH values

    Science.gov (United States)

    Aneesh Kumar, K. S.; Bhowmik, R. N.

    2017-12-01

    The electrical conductivity and dielectric properties of Ni1.5Fe1.5O4 ferrite has been controlled by varying the annealing temperature of the chemical routed samples. The frequency activated conductivity obeyed Jonscher’s power law and universal scaling suggested semiconductor nature. An unusual metal like state has been revealed in the measurement temperature scale in between two semiconductor states with different activation energy. The metal like state has been affected by thermal annealing of the material. The analysis of electrical impedance and modulus spectra has confirmed non-Debye dielectric relaxation with contributions from grains and grain boundaries. The dielectric relaxation process is thermally activated in terms of measurement temperature and annealing temperature of the samples. The hole hopping process, due to presence of Ni3+ ions in the present Ni rich ferrite, played a significant role in determining the thermal activated conduction mechanism. This work has successfully applied the technique of a combined variation of annealing temperature and pH value during chemical reaction for tuning electrical parameters in a wide range; for example dc limit of conductivity ~10-4-10-12 S cm-1, and unusually high activation energy ~0.17-1.36 eV.

  2. Characterization of vertical strain silicon MOSFET incorporating dielectric pocket (SDP-VMOSFET)

    Energy Technology Data Exchange (ETDEWEB)

    Napiah, Z. A. F. M., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Makhtar, N., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Othman, M. A., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Idris, M. I., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Arith, F., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Yasin, N. Y. M., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com; Taib, S. N., E-mail: zulatfyi@utem.edu.my, E-mail: nazirah6969@gmail.com, E-mail: azlishah@utem.edu.my, E-mail: idzdihar@utem.edu.my, E-mail: faiz.arith@utem.edu.my, E-mail: yashidar@yahoo.com, E-mail: sitinabilahtaib@gmail.com [Centre for Telecommunication Research and Innovation (CeTRI), Faculty of Electronic and Computer Engineering, Universiti Teknikal Malaysia Melaka, Hang Tuah Jaya, 76100 Durian Tunggal, Melaka (Malaysia)

    2014-02-24

    The vertical Metal-Oxide-Semiconductor Field-Effect-Transistor (MOSFET) leads to a double channel width that can increase the packaging density. The strained silicon MOSFET was introduced to modify the carrier transport properties of silicon in order to enhance transport of both electrons and holes within strained layer. Dielectric pocket was act to control encroachment of the drain doping into the channel and reduce short channel effects (SCE). SDP-VMOSFET which was a combination of those advantages was proposed to overcome the SCE in term of leakage current, threshold voltage roll-off also Drain Induce Barrier Lowering (DIBL). As a result, SDP-VMOSFET produces a better threshold voltage and DIBL compared to related structures. Meanwhile, it gives slightly increased for leakage current compared to Vertical MOSFET Incorporating Dielectric Pocket. The characteristics of the SDP-VMOSFET are analyzed in order to optimize the performance of the device and leading to the next generation of IC technology.

  3. Characterization of vertical strain silicon MOSFET incorporating dielectric pocket (SDP-VMOSFET)

    International Nuclear Information System (INIS)

    Napiah, Z. A. F. M.; Makhtar, N.; Othman, M. A.; Idris, M. I.; Arith, F.; Yasin, N. Y. M.; Taib, S. N.

    2014-01-01

    The vertical Metal-Oxide-Semiconductor Field-Effect-Transistor (MOSFET) leads to a double channel width that can increase the packaging density. The strained silicon MOSFET was introduced to modify the carrier transport properties of silicon in order to enhance transport of both electrons and holes within strained layer. Dielectric pocket was act to control encroachment of the drain doping into the channel and reduce short channel effects (SCE). SDP-VMOSFET which was a combination of those advantages was proposed to overcome the SCE in term of leakage current, threshold voltage roll-off also Drain Induce Barrier Lowering (DIBL). As a result, SDP-VMOSFET produces a better threshold voltage and DIBL compared to related structures. Meanwhile, it gives slightly increased for leakage current compared to Vertical MOSFET Incorporating Dielectric Pocket. The characteristics of the SDP-VMOSFET are analyzed in order to optimize the performance of the device and leading to the next generation of IC technology

  4. Method of forming a nanocluster comprising dielectric layer and device comprising such a layer

    NARCIS (Netherlands)

    2009-01-01

    A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a

  5. New mechanism of semiconductor polarization at the interface with an organic insulator

    International Nuclear Information System (INIS)

    Yafyasov, A. M.; Bogevolnov, V. B.; Ryumtsev, E. I.; Kovshik, A. P.; Mikhailovski, V. Yu.

    2017-01-01

    A semiconductor—organic-insulator system with spatially distributed charge is created with a uniquely low density of fast surface states (N_s_s) at the interface. A system with N_s_s ≈ 5 × 10"1"0 cm"–"2 is obtained for the example of n-Ge and the physical characteristics of the interface are measured for this system with liquid and metal field electrodes. For a system with an organic insulator, the range of variation of the surface potential from enrichment of the space-charge region of the semiconductor to the inversion state is first obtained without changing the mechanism of interaction between the adsorbed layer and the semiconductor surface. The effect of enhanced polarization of the space-charge region of the semiconductor occurs due to a change in the spatial structure of mobile charge in the organic dielectric layer. The system developed in the study opens up technological opportunities for the formation of a new generation of electronic devices based on organic film structures and for experimental modeling of the electronic properties of biological membranes.

  6. New mechanism of semiconductor polarization at the interface with an organic insulator

    Energy Technology Data Exchange (ETDEWEB)

    Yafyasov, A. M., E-mail: yafyasov@gmail.com; Bogevolnov, V. B.; Ryumtsev, E. I.; Kovshik, A. P. [St. Petersburg State University (Russian Federation); Mikhailovski, V. Yu. [Interdisciplinary Resource Center for Nanotechnology at St. Petersburg University (Russian Federation)

    2017-02-15

    A semiconductor—organic-insulator system with spatially distributed charge is created with a uniquely low density of fast surface states (N{sub ss}) at the interface. A system with N{sub ss} ≈ 5 × 10{sup 10} cm{sup –2} is obtained for the example of n-Ge and the physical characteristics of the interface are measured for this system with liquid and metal field electrodes. For a system with an organic insulator, the range of variation of the surface potential from enrichment of the space-charge region of the semiconductor to the inversion state is first obtained without changing the mechanism of interaction between the adsorbed layer and the semiconductor surface. The effect of enhanced polarization of the space-charge region of the semiconductor occurs due to a change in the spatial structure of mobile charge in the organic dielectric layer. The system developed in the study opens up technological opportunities for the formation of a new generation of electronic devices based on organic film structures and for experimental modeling of the electronic properties of biological membranes.

  7. Inertial polarization of dielectrics

    OpenAIRE

    Zavodovsky, A. G.

    2011-01-01

    It was proved that accelerated motion of a linear dielectric causes its polarization. Accelerated translational motion of a dielectric's plate leads to the positive charge of the surface facing the direction of motion. Metal plates of a capacitor were used to register polarized charges on a dielectric's surface. Potential difference between the capacitor plates is proportional to acceleration, when acceleration is constant potential difference grows with the increase of a dielectric's area, o...

  8. Electromagnetic field enhancement effects in group IV semiconductor nanowires. A Raman spectroscopy approach

    Science.gov (United States)

    Pura, J. L.; Anaya, J.; Souto, J.; Prieto, A. C.; Rodríguez, A.; Rodríguez, T.; Periwal, P.; Baron, T.; Jiménez, J.

    2018-03-01

    Semiconductor nanowires (NWs) are the building blocks of future nanoelectronic devices. Furthermore, their large refractive index and reduced dimension make them suitable for nanophotonics. The study of the interaction between nanowires and visible light reveals resonances that promise light absorption/scattering engineering for photonic applications. Micro-Raman spectroscopy has been used as a characterization tool for semiconductor nanowires. The light/nanowire interaction can be experimentally assessed through the micro-Raman spectra of individual nanowires. As compared to both metallic and dielectric nanowires, semiconductor nanowires add additional tools for photon engineering. In particular, one can grow heterostructured nanowires, both axial and radial, and also one could modulate the doping level and the surface condition among other factors than can affect the light/NW interaction. We present herein a study of the optical response of group IV semiconductor nanowires to visible photons. The study is experimentally carried out through micro-Raman spectroscopy of different group IV nanowires, both homogeneous and axially heterostructured (SiGe/Si). The results are analyzed in terms of the electromagnetic modelling of the light/nanowire interaction using finite element methods. The presence of axial heterostructures is shown to produce electromagnetic resonances promising new photon engineering capabilities of semiconductor nanowires.

  9. Studies of permittivity and permeability of dielectric matrix with cuboid metallic inclusions in different orientations

    Directory of Open Access Journals (Sweden)

    W. M. Wu

    2014-10-01

    Full Text Available In this paper, we investigate the possibility of using the heterogeneous materials, with cuboid metallic inclusions inside a dielectric substrate (host to control the effective permittivity. We find that in the gigahertz range, such a material demonstrates a significantly larger permittivity compared to the pure dielectric substrate. Three principal orientations of microscale cuboid inclusions have been taken into account in this study. The highest permittivity is observed when the orientation provides the largest polarization (electric dipole moment. The detrimental side effect of the metallic inclusion, which leads to the decrease of the effective magnetic permeability, can be suppressed by the proper choice of shape and orientation of the inclusions. This choice can in fact reduce the induced current and hence maximize the permeability. The dissipative losses are shown to be negligible in the relevant range of frequencies and cuboid dimensions.

  10. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  11. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  12. Ambipolar transport in CVD grown MoSe2 monolayer using an ionic liquid gel gate dielectric

    Directory of Open Access Journals (Sweden)

    Deliris N. Ortiz

    2018-03-01

    Full Text Available CVD grown MoSe2 monolayers were electrically characterized at room temperature in a field effect transistor (FET configuration using an ionic liquid (IL as the gate dielectric. During the growth, instead of using MoO3 powder, ammonium heptamolybdate was used for better Mo control of the source and sodium cholate added for lager MoSe2 growth areas. In addition, a high specific capacitance (∼7 μF/cm2 IL was used as the gate dielectric to significantly reduce the operating voltage. The device exhibited ambipolar charge transport at low voltages with enhanced parameters during n- and p-FET operation. IL gating thins the Schottky barrier at the metal/semiconductor interface permitting efficient charge injection into the channel and reduces the effects of contact resistance on device performance. The large specific capacitance of the IL was also responsible for a much higher induced charge density compared to the standard SiO2 dielectric. The device was successfully tested as an inverter with a gain of ∼2. Using a common metal for contacts simplifies fabrication of this ambipolar device, and the possibility of radiative recombination of holes and electrons could further extend its use in low power optoelectronic applications.

  13. Investigations on Substrate Temperature-Induced Growth Modes of Organic Semiconductors at Dielectric/semiconductor Interface and Their Correlation with Threshold Voltage Stability in Organic Field-Effect Transistors.

    Science.gov (United States)

    Padma, Narayanan; Maheshwari, Priya; Bhattacharya, Debarati; Tokas, Raj B; Sen, Shashwati; Honda, Yoshihide; Basu, Saibal; Pujari, Pradeep Kumar; Rao, T V Chandrasekhar

    2016-02-10

    Influence of substrate temperature on growth modes of copper phthalocyanine (CuPc) thin films at the dielectric/semiconductor interface in organic field effect transistors (OFETs) is investigated. Atomic force microscopy (AFM) imaging at the interface reveals a change from 'layer+island' to "island" growth mode with increasing substrate temperatures, further confirmed by probing the buried interfaces using X-ray reflectivity (XRR) and positron annihilation spectroscopic (PAS) techniques. PAS depth profiling provides insight into the details of molecular ordering while positron lifetime measurements reveal the difference in packing modes of CuPc molecules at the interface. XRR measurements show systematic increase in interface width and electron density correlating well with the change from layer + island to coalesced huge 3D islands at higher substrate temperatures. Study demonstrates the usefulness of XRR and PAS techniques to study growth modes at buried interfaces and reveals the influence of growth modes of semiconductor at the interface on hole and electron trap concentrations individually, thereby affecting hysteresis and threshold voltage stability. Minimum hole trapping is correlated to near layer by layer formation close to the interface at 100 °C and maximum to the island formation with large voids between the grains at 225 °C.

  14. Dielectric Meta-Holograms Enabled with Dual Magnetic Resonances in Visible Light.

    Science.gov (United States)

    Li, Zile; Kim, Inki; Zhang, Lei; Mehmood, Muhammad Q; Anwar, Muhammad S; Saleem, Murtaza; Lee, Dasol; Nam, Ki Tae; Zhang, Shuang; Luk'yanchuk, Boris; Wang, Yu; Zheng, Guoxing; Rho, Junsuk; Qiu, Cheng-Wei

    2017-09-26

    Efficient transmission-type meta-holograms have been demonstrated using high-index dielectric nanostructures based on Huygens' principle. It is crucial that the geometry size of building blocks be judiciously optimized individually for spectral overlap of electric and magnetic dipoles. In contrast, reflection-type meta-holograms using the metal/insulator/metal scheme and geometric phase can be readily achieved with high efficiency and small thickness. Here, we demonstrate a general platform for design of dual magnetic resonance based meta-holograms based on the geometric phase using silicon nanostructures that are quarter wavelength thick for visible light. Significantly, the projected holographic image can be unambiguously observed without a receiving screen even under the illumination of natural light. Within the well-developed semiconductor industry, our ultrathin magnetic resonance-based meta-holograms may have promising applications in anticounterfeiting and information security.

  15. Formation of metal and dielectric liners using a solution process for deep trench capacitors.

    Science.gov (United States)

    Ham, Yong-Hyun; Kim, Dong-Pyo; Baek, Kyu-Ha; Park, Kun-Sik; Kim, Moonkeun; Kwon, Kwang-Ho; Shin, Hong-Sik; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We demonstrated the feasibility of metal and dielectric liners using a solution process for deep trench capacitor application. The deep Si trench via with size of 10.3 microm and depth of 71 microm were fabricated by Bosch process in deep reactive ion etch (DRIE) system. The aspect ratio was about 7. Then, nano-Ag ink and poly(4-vinylphenol) (PVPh) were used to form metal and dielectric liners, respectively. The thicknesses of the Ag and PVPh liners were about 144 and 830 nm, respectively. When the curing temperature of Ag film increased from 120 to 150 degrees C, the sheet resistance decreased rapidly from 2.47 to 0.72 Omega/sq and then slightly decreased to 0.6 Omega/sq with further increasing the curing temperature beyond 150 degrees C. The proposed liner formation method using solution process is a simple and cost effective process for the high capacity of deep trench capacitor.

  16. Dielectric waveguide amplifiers and lasers

    NARCIS (Netherlands)

    Pollnau, Markus

    The performance of semiconductor amplifiers and lasers has made them the preferred choice for optical gain on a micro-chip. In the past few years, we have demonstrated that also rare-earth-ion-doped dielectric waveguides show remarkable performance, ranging from a small-signal gain per unit length

  17. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  18. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  19. The Impact of HCl Precleaning and Sulfur Passivation on the Al2O3/Ge Interface in Ge Metal-Oxide-Semiconductor Capacitors

    International Nuclear Information System (INIS)

    Xue Bai-Qing; Chang Hu-Dong; Sun Bing; Wang Sheng-Kai; Liu Hong-Gang

    2012-01-01

    Surface treatment for Ge substrates using hydrogen chlorine cleaning and chemical passivation are investigated on AuTi/Al 2 O 3 /Ge metal-oxide-semiconductor capacitors. After hydrogen chlorine cleaning, a smooth Ge surface almost free from native oxide is demonstrated by atomic force microscopy and x-ray photoelectron spectroscopy observations. Passivation using a hydrogen chlorine solution is found to form a chlorine-terminated surface, while aqueous ammonium sulfide pretreatment results in a surface terminated by Ge-S bonding. Compared with chlorine-passivated samples, the sulfur-passivated ones show less frequency dispersion and better thermal stability based on capacitance-voltage characterizations. The samples with HCl pre-cleaning and (NH 4 ) 2 S passivation show less frequency dispersion than the HF pre-cleaning and (NH 4 ) 2 S passivated ones. The surface treatment process using hydrogen chlorine cleaning followed by aqueous ammonium sulfide passivation demonstrates a promising way to improve gate dielectric/Ge interface quality. (condensed matter: structure, mechanical and thermal properties)

  20. Tunable omnidirectional absorber and mode splitter based on semiconductor photonic crystal

    International Nuclear Information System (INIS)

    Ding, Guo-Wen; Liu, Shao-Bin; Zhang, Hai-Feng; Kong, Xiang-Kun; Li, Hai-Ming

    2015-01-01

    In this paper, the properties of one-dimensional (1D) photonic crystals (PCs) composed of the semiconductor (GaAs) and dielectric layers are theoretically investigated by the transfer matrix method (TMM). The absorption of semiconductor layers is investigated theoretically. Due to the magneto-optical Voigt effect, the dielectric constant of the semiconductor is modified differently in different modes and frequency ranges. If the frequency range of the incident wave is larger than the plasma frequency, TE and TM modes of the incident wave will be absorbed in a wide incident angle. TM wave will be absorbed but TE wave will be reflected while the frequency range is less than the plasma frequency. The absorption of semiconductor can also be tuned by varying the external magnetic field. The proposed PCs have a reconfigurable application to design a tunable omnidirectional absorber and mode splitter at same time

  1. Effect of surface states on electrical characteristic of metal - insulator - semiconductor (MIS) diodes

    International Nuclear Information System (INIS)

    Altindal, S.; Doekme, I.; Tataroglu, A.; Sahingoez, R.

    2002-01-01

    The current-voltage (I-V) characteristics of Metal-Insulator-Semiconductor (MIS) Schottky barrier diodes which is consider distribution of interface states in equilibrium with semiconductor were determined at two (low and high) temperature. The interface states were responsible for non-ideal behavior of the forward I-V characteristic of diodes. Both diodes (n and p type Si) showed non-ideal behavior with an ideality factor 1.6 and 1.85 respectively at room temperature. The higher values of n-type Si were attributed to an order of magnitude higher density of interface states in the both diodes. The effect of an interfacial insulator layer between the metal and semiconductor are also studied. The high density of interface states also caused a reduction in the barrier height of the MIS diode. It is shown that by using Norde function at low and high temperature, barrier height □ b , series resistance R s and ideality factor n can be determined even in the case 1 s obtained from Norde function strongly depend on temperature, and decrease with increasing temperature. In addition, the potential barrier height increases with increasing temperature. The mean density of interface states N ss decreases with increasing temperature. Particularly at low temperature the I-V characteristics are controlled by interface states density

  2. Emission and Dynamics of Charge Carriers in Uncoated and Organic/Metal Coated Semiconductor Nanowires

    Science.gov (United States)

    Kaveh Baghbadorani, Masoud

    In this dissertation, the dynamics of excitons in hybrid metal/organic/nanowire structures possessing nanometer thick deposited molecular and metal films on top of InP and GaAs nanowire (NW) surfaces were investigated. Optical characterizations were carried out as a function of the semiconductor NW material, design, NW size and the type and thickness of the organic material and metal used. Hybrid organic and plasmonic semiconductor nanowire heterostructures were fabricated using organic molecular beam deposition technique. I investigated the photon emission of excitons in 150 nm diameter polytype wurtzite/zincblende InP NWs and the influence of a few ten nanometer thick organic and metal films on the emission using intensity- and temperature-dependent time-integrated and time resolved (TR) photoluminescence (PL). The plasmonic NWs were coated with an Aluminum quinoline (Alq3) interlayer and magnesium-silver (Mg0.9:Ag0.1) top layer. In addition, the nonlinear optical technique of heterodyne four-wave mixing was used (in collaboration with Prof. Wolfgang Langbein, University of Cardiff) to study incoherent and coherent carrier relaxation processes on bare nanowires on a 100 femtosecond time-scale. Alq3 covered NWs reveal a stronger emission and a longer decay time of exciton transitions indicating surface state passivation at the Alq3/NW interface. Alq3/Mg:Ag NWs reveal a strong quenching of the exciton emission which is predominantly attributed to Forster energy-transfer from excitons to plasmon oscillations in the metal cluster film. Changing the Mg:Ag to gold and the organic Alq3 spacer layer to PTCDA leads to a similar behavior, but the PL quenching is strongly increased. The observed behavior is attributed to a more continuous gold deposition leading to an increased Forster energy transfer and to a metal induced band-bending. I also investigated ensembles of bare and gold/Alq3 coated GaAs-AlGaAs-GaAs core shell NWs of 130 nm diameter. Plasmonic NWs with Au

  3. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  4. Dielectric/metal/dielectric alternative transparent electrode: observations on stability/degradation

    Science.gov (United States)

    Cattin, L.; Jouad, El; Stephant, N.; Louarn, G.; Morsli, M.; Hssein, M.; Mouchaal, Y.; Thouiri, S.; Addou, M.; Khelil, A.; Bernède, J. C.

    2017-09-01

    The use of indium-free transparent conductive electrodes is of great interest for organic optoelectronic devices. Among the possible replacements for ITO, dielectric/metal/dielectric (D/M/D) multilayer structures have already proven to be quite efficient. One issue with organic devices is their lifetime, which depends not only on the organic molecules used but also on the electrodes. Therefore we study the variation, with elapsed time, of the electrical and optical properties of different D/M/D structures, with M  =  Ag or Cu/Ag. Six years after realization, it has been shown that if some structures retained an acceptable conductivity, some others became non-conductive. For a sample which remains conductive, in the case of a PET/MoO3/Ag/MoO3 multilayer structure, the sheet resistance changes from 5 Ω/sq-17 Ω/sq after six years. This evolution can be compared to that of a PET/ITO electrode that varies from 25 Ω/sq-900 Ω/sq after six years. It means that not only are the PET/MoO3/Ag/MoO3 multilayer structures more flexible than PET/ITO, but they can also be more stable. Nevertheless, if some PET/MoO3/Ag/MoO3 multilayer structures are quite stable, some others are not. This possible degradation appears to be caused primarily by the physical agglomeration of Ag, which can result in Ag film disruption. This Ag diffusion seems to be caused by humidity-induced degradation in these Ag-based D/M/D structures. Initially, defects begin to grow at a ‘nucleus’, usually a microscopic particle (or pinhole, etc), and then they spread radially outward to form a nearly circular pattern. For a critical density of such defects, the structure becomes non-conductive. Moreover the effect of humidity promotes Ag electrochemical reactions that produce Ag+ ions and enhances surface diffusivity with AgCl formation.

  5. Dielectric/metal/dielectric alternative transparent electrode: observations on stability/degradation

    International Nuclear Information System (INIS)

    Cattin, L; Stephant, N; Louarn, G; Hssein, M; Jouad, El; Mouchaal, Y; Thouiri, S; Bernède, J C; Morsli, M; Addou, M; Khelil, A

    2017-01-01

    The use of indium-free transparent conductive electrodes is of great interest for organic optoelectronic devices. Among the possible replacements for ITO, dielectric/metal/dielectric (D/M/D) multilayer structures have already proven to be quite efficient. One issue with organic devices is their lifetime, which depends not only on the organic molecules used but also on the electrodes. Therefore we study the variation, with elapsed time, of the electrical and optical properties of different D/M/D structures, with M  =  Ag or Cu/Ag. Six years after realization, it has been shown that if some structures retained an acceptable conductivity, some others became non-conductive. For a sample which remains conductive, in the case of a PET/MoO 3 /Ag/MoO 3 multilayer structure, the sheet resistance changes from 5 Ω/sq–17 Ω/sq after six years. This evolution can be compared to that of a PET/ITO electrode that varies from 25 Ω/sq–900 Ω/sq after six years. It means that not only are the PET/MoO 3 /Ag/MoO 3 multilayer structures more flexible than PET/ITO, but they can also be more stable. Nevertheless, if some PET/MoO 3 /Ag/MoO 3 multilayer structures are quite stable, some others are not. This possible degradation appears to be caused primarily by the physical agglomeration of Ag, which can result in Ag film disruption. This Ag diffusion seems to be caused by humidity-induced degradation in these Ag-based D/M/D structures. Initially, defects begin to grow at a ‘nucleus’, usually a microscopic particle (or pinhole, etc), and then they spread radially outward to form a nearly circular pattern. For a critical density of such defects, the structure becomes non-conductive. Moreover the effect of humidity promotes Ag electrochemical reactions that produce Ag + ions and enhances surface diffusivity with AgCl formation. (paper)

  6. Quasi-one-dimensional metals on semiconductor surfaces with defects

    International Nuclear Information System (INIS)

    Hasegawa, Shuji

    2010-01-01

    Several examples are known in which massive arrays of metal atomic chains are formed on semiconductor surfaces that show quasi-one-dimensional metallic electronic structures. In this review, Au chains on Si(557) and Si(553) surfaces, and In chains on Si(111) surfaces, are introduced and discussed with regard to the physical properties determined by experimental data from scanning tunneling microscopy (STM), angle-resolved photoemission spectroscopy (ARPES) and electrical conductivity measurements. They show quasi-one-dimensional Fermi surfaces and parabolic band dispersion along the chains. All of them are known from STM and ARPES to exhibit metal-insulator transitions by cooling and charge-density-wave formation due to Peierls instability of the metallic chains. The electrical conductivity, however, reveals the metal-insulator transition only on the less-defective surfaces (Si(553)-Au and Si(111)-In), but not on a more-defective surface (Si(557)-Au). The latter shows an insulating character over the whole temperature range. Compared with the electronic structure (Fermi surfaces and band dispersions), the transport property is more sensitive to the defects. With an increase in defect density, the conductivity only along the metal atomic chains was significantly reduced, showing that atomic-scale point defects decisively interrupt the electrical transport along the atomic chains and hide the intrinsic property of transport in quasi-one-dimensional systems.

  7. Spin Injection from Ferromagnetic Metal Directly into Non-Magnetic Semiconductor under Different Injection Currents

    International Nuclear Information System (INIS)

    Ning, Deng; Lei, Zhang; Shu-Chao, Zhang; Pei-Yi, Chen; Jian-Shi, Tang

    2010-01-01

    For ferromagnetic metal (FM)/semiconductor (SC) structure with ohmic contact, the effect of carrier polarization in the semiconductor combined with drift part of injection current on current polarization is investigated. Based on the general model we established here, spin injection efficiency under different injection current levels is calculated. Under a reasonable high injection current, current polarization in the semiconductor is actually much larger than that predicted by the conductivity mismatch model because the effect of carrier polarization is enhanced by the increasing drift current. An appreciable current polarization of 1% could be achieved for the FM/SC structure via ohmic contact, which means that efficient spin injection from FM into SC via ohmic contact is possible. The reported dependence of current polarization on temperature is verified quantitatively. To achieve even larger spin injection efficiency, a gradient doping semiconductor is suggested to enhance the drift current effect

  8. Highly Enhanced Many-Body Interactions in Anisotropic 2D Semiconductors.

    Science.gov (United States)

    Sharma, Ankur; Yan, Han; Zhang, Linglong; Sun, Xueqian; Liu, Boqing; Lu, Yuerui

    2018-05-15

    Atomically thin two-dimensional (2D) semiconductors have presented a plethora of opportunities for future optoelectronic devices and photonics applications, made possible by the strong light matter interactions at the 2D quantum limit. Many body interactions between fundamental particles in 2D semiconductors are strongly enhanced compared with those in bulk semiconductors because of the reduced dimensionality and, thus, reduced dielectric screening. These enhanced many body interactions lead to the formation of robust quasi-particles, such as excitons, trions, and biexcitons, which are extremely important for the optoelectronics device applications of 2D semiconductors, such as light emitting diodes, lasers, and optical modulators, etc. Recently, the emerging anisotropic 2D semiconductors, such as black phosphorus (termed as phosphorene) and phosphorene-like 2D materials, such as ReSe 2 , 2D-perovskites, SnS, etc., show strong anisotropic optical and electrical properties, which are different from conventional isotropic 2D semiconductors, such as transition metal dichalcogenide (TMD) monolayers. This anisotropy leads to the formation of quasi-one-dimensional (quasi-1D) excitons and trions in a 2D system, which results in even stronger many body interactions in anisotropic 2D materials, arising from the further reduced dimensionality of the quasi-particles and thus reduced dielectric screening. Many body interactions have been heavily investigated in TMD monolayers in past years, but not in anisotropic 2D materials yet. The quasi-particles in anisotropic 2D materials have fractional dimensionality which makes them perfect candidates to serve as a platform to study fundamental particle interactions in fractional dimensional space. In this Account, we present our recent progress related to 2D phosphorene, a 2D system with quasi-1D excitons and trions. Phosphorene, because of its unique anisotropic properties, provides a unique 2D platform for investigating the

  9. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    Science.gov (United States)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  10. Positron annihilation study of the semiconductor to metal transition in Ti2O3

    International Nuclear Information System (INIS)

    Tao, S.J.; Rao, C.N.R.

    1977-01-01

    An increase of positron mean life is found to accompany the semiconductor-metal transition in Ti 2 O 3 . This agrees well with the recent finding that the unit cell volume increases during the transition. (orig.) [de

  11. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  12. Cosmic Ray Measurements by Scintillators with Metal Resistor Semiconductor Avalanche Photo Diodes

    Science.gov (United States)

    Blanco, Francesco; La Rocca, Paola; Riggi, Francesco; Akindinov, Alexandre; Mal'kevich, Dmitry

    2008-01-01

    An educational set-up for cosmic ray physics experiments is described. The detector is based on scintillator tiles with a readout through metal resistor semiconductor (MRS) avalanche photo diode (APD) arrays. Typical measurements of the cosmic angular distribution at sea level and a study of the East-West asymmetry obtained by such a device are…

  13. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    Directory of Open Access Journals (Sweden)

    Lai Chin Yung

    Full Text Available The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID and light emitting diode (LED industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  14. Broadband terahertz generation using the semiconductor-metal transition in VO2

    Directory of Open Access Journals (Sweden)

    Nicholas A. Charipar

    2016-01-01

    Full Text Available We report the design, fabrication, and characterization of broadband terahertz emitters based on the semiconductor-metal transition in thin film VO2 (vanadium dioxide. With the appropriate geometry, picosecond electrical pulses are generated by illuminating 120 nm thick VO2 with 280 fs pulses from a femtosecond laser. These ultrafast electrical pulses are used to drive a simple dipole antenna, generating broadband terahertz radiation.

  15. Vacancy induced half-metallicity in half-Heusler semiconductors

    KAUST Repository

    Zhu, Zhiyong

    2011-09-28

    First-principles calculations are performed to investigate the effect of vacancies on the electronic structure and magnetic properties of the two prototypical half-Heusler semiconductors NiTiSn and CoTiSb. The spin degeneracy of the host materials is broken for all types of isolated vacancies under consideration, except for Ni-deficient NiTiSn. A half-metallic character is identified in Sn-deficient NiTiSn and Co/Ti/Sb-deficient CoTiSb. We can explain our findings by introducing an extending Slater-Pauling rule for systems with defects. A ferromagnetic ordering of the local moments due to double exchange appears to be likely.

  16. Method of reducing tungsten selectivity to a contact sidewall

    International Nuclear Information System (INIS)

    Smith, G.C.

    1990-01-01

    This patent describes a method for forming a contact plug on a surface of a semiconductor body. It comprises: forming a dielectric layer over the surface of the semiconductor body, the dielectric layer having an aperture therethrough with sidewalls comprising silicon nitride; depositing a metal into the aperture in such a manner that the metal deposits upon the silicon nitride of the sidewalls of the aperture at a substantially greater rate than upon the surface of the dielectric layer

  17. Light programmable organic transistor memory device based on hybrid dielectric

    Science.gov (United States)

    Ren, Xiaochen; Chan, Paddy K. L.

    2013-09-01

    We have fabricated the transistor memory devices based on SiO2 and polystyrene (PS) hybrid dielectric. The trap states densities with different semiconductors have been investigated and a maximum 160V memory window between programming and erasing is realized. For DNTT based transistor, the trapped electron density is limited by the number of mobile electrons in semiconductor. The charge transport mechanism is verified by light induced Vth shift effect. Furthermore, in order to meet the low operating power requirement of portable electronic devices, we fabricated the organic memory transistor based on AlOx/self-assembly monolayer (SAM)/PS hybrid dielectric, the effective capacitance of hybrid dielectric is 210 nF cm-2 and the transistor can reach saturation state at -3V gate bias. The memory window in transfer I-V curve is around 1V under +/-5V programming and erasing bias.

  18. Gate-voltage control of equal-spin Andreev reflection in half-metal/semiconductor/superconductor junctions

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Xiuqiang, E-mail: xianqiangzhe@126.com [National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Meng, Hao, E-mail: menghao1982@shu.edu.cn [School of Physics and Telecommunication Engineering, Shanxi University of Technology, Hanzhong 723001 (China)

    2016-04-22

    With the Blonder–Tinkham–Klapwijk (BTK) approach, we investigate conductance spectrum in Ferromagnet/Semiconductor/Superconductor (FM/Sm/SC) double tunnel junctions where strong Rashba spin–orbit interaction (RSOI) is taken into account in semiconductors. For the half-metal limit, we find that the in-gap conductance becomes finite except at zero voltage when inserting a ferromagnetic insulator (FI) at the Sm/SC interface, which means that the appearance of a long-range triplet states in the half-metal. This is because of the emergence of the unconventional equal-spin Andreev reflection (ESAR). When the FI locates at the FM/Sm interface, however, we find the vanishing in-gap conductance due to the absence of the ESAR. Moreover, the non-zero in-gap conductance shows a nonmonotonic dependence on RSOI which can be controlled by applying an external gate voltage. Our results can be used to generate and manipulate the long-range spin triplet correlation in the nascent field of superconducting spintronics. - Highlights: • We study the equal-spin Andreev reflection in half-metal/semiconductor/superconductor (HM/Sm/SC) junctions. • The equal-spin Andreev reflection appearance when inserting a ferromagnetic insulator at the Sm/SC interface. • The finite in-gap conductance is attributed to the emergence of the equal-spin Andreev reflection. • The finite in-gap conductance shows a nonmonotonic dependence on Rashba spin–orbit interaction. • The finite in-gap conductance can be controlled by applying an external gate voltage.

  19. Electronic properties of InAs-based metal-insulator-semiconductor structures

    CERN Document Server

    Kuryshev, G L; Valisheva, N A

    2001-01-01

    The peculiarities of electronic processes in InAs-based MIS structures operating in the charge injection device mode and using as photodetectors in spectral range 2.5-3.05 mu m are investigated. A two-layer system consisting of anodic oxide and low-temperature silicon dioxide is used as an insulator. It is shown that fluoride-containing components that is introduced into the electrolyte decreases the value of the built-in charge and the surface state static density down to minimal measurable values <= 2 x 10 sup 1 sup 0 cm sup - sup 2 eV sup - sup 2. Physical and chemical characteristics of the surface states at the InAs-dielectric interface are discussed on the basis of data on phase composition of anodic oxides obtained by means of X-ray photoelectronic spectroscopy. Anomalous field generation was also observed under the semiconductor non-equilibrium depletion. The processes of tunnel generation and the noise behavior of MIS structures under non-equilibrium depletion are investigated

  20. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  1. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  2. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  3. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  4. Colloidal crystal formation in a semiconductor quantum plasma

    International Nuclear Information System (INIS)

    Zeba, I.; Uzma, Ch.; Jamil, M.; Salimullah, M.; Shukla, P. K.

    2010-01-01

    The static shielding and the far-field dynamical oscillatory wake potentials in an ion-implanted piezoelectric semiconductor with colloid ions as test particles have been investigated in detail. The dielectric response function of the semiconductor is contributed by the quantum effect of electrons through the Bohm potential and lattice electron-phonon coupling effects. It is found that the quantum effect causes tighter binding of the electrons reducing the quantum Debye shielding length and the effective length of the wake potential to several angstroms. Hence, a quasiquantum lattice of colloid ions can be formed in the semiconductor in the quantum scales giving rise to drastic modifications of the ion-implanted semiconductor properties.

  5. Fano-like resonance and scattering in dielectric(core)–metal(shell) composites embedded in active host matrices

    CSIR Research Space (South Africa)

    Jule, L

    2015-07-01

    Full Text Available We investigate light scattering by core–shell consisting of metal/dielectric composites considering spherical and cylindrical nanoinclusions, within the framework of the conventional Rayleigh approximation. By writing the electric potential...

  6. Introductory semiconductor device physics

    CERN Document Server

    Parker, Greg

    2004-01-01

    ATOMS AND BONDINGThe Periodic TableIonic BondingCovalent BondingMetallic bondingvan der Waals BondingStart a DatabaseENERGY BANDS AND EFFECTIVE MASSSemiconductors, Insulators and MetalsSemiconductorsInsulatorsMetalsThe Concept of Effective MassCARRIER CONCENTRATIONS IN SEMICONDUCTORSDonors and AcceptorsFermi-LevelCarrier Concentration EquationsDonors and Acceptors Both PresentCONDUCTION IN SEMICONDUCTORSCarrier DriftCarrier MobilitySaturated Drift VelocityMobility Variation with TemperatureA Derivation of Ohm's LawDrift Current EquationsSemiconductor Band Diagrams with an Electric Field Presen

  7. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  8. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  9. Raman scattering enhancement in photon-plasmon resonance mediated metal-dielectric microcavity

    International Nuclear Information System (INIS)

    Guddala, Sriram; Narayana Rao, D.; Dwivedi, Vindesh K.; Vijaya Prakash, G.

    2013-01-01

    Here, we report the photon-plasmon interaction scheme and enhanced field strengths resulted into the amplification of phonon in a novel microcavity. A metal-dielectric microcavity, with unified cavity photonic mode and localized surface plasmon resonances, is visualized by impregnating the gold nanoparticles into the deep see-through nano-sized pores of porous silicon microcavity. The intense optical field strengths resulting from the photon-plasmon interactions are probed by both resonant and non-resonant Raman scattering experiments. Due to photon-plasmon-phonon interaction mechanism, several orders of enhancement in the intensity of scattered Raman Stokes photon (at 500 cm −1 ) are observed. Our metal nanoparticle-microcavity hybrid system shows the potential to improve the sensing figure of merit as well as the applications of plasmonics for optoelectronics, photovoltaics, and related technologies

  10. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors.

    Science.gov (United States)

    Jie, Wenjing; Hao, Jianhua

    2014-06-21

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  11. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  12. Functional integrity of flexible n-channel metal-oxide-semiconductor field-effect transistors on a reversibly bistable platform

    Science.gov (United States)

    Alfaraj, Nasir; Hussain, Aftab M.; Torres Sevilla, Galo A.; Ghoneim, Mohamed T.; Rojas, Jhonathan P.; Aljedaani, Abdulrahman B.; Hussain, Muhammad M.

    2015-10-01

    Flexibility can bring a new dimension to state-of-the-art electronics, such as rollable displays and integrated circuit systems being transformed into more powerful resources. Flexible electronics are typically hosted on polymeric substrates. Such substrates can be bent and rolled up, but cannot be independently fixed at the rigid perpendicular position necessary to realize rollable display-integrated gadgets and electronics. A reversibly bistable material can assume two stable states in a reversible way: flexibly rolled state and independently unbent state. Such materials are used in cycling and biking safety wristbands and a variety of ankle bracelets for orthopedic healthcare. They are often wrapped around an object with high impulsive force loading. Here, we study the effects of cumulative impulsive force loading on thinned (25 μm) flexible silicon-based n-channel metal-oxide-semiconductor field-effect transistor devices housed on a reversibly bistable flexible platform. We found that the transistors have maintained their high performance level up to an accumulated 180 kN of impact force loading. The gate dielectric layers have maintained their reliability, which is evidenced by the low leakage current densities. Also, we observed low variation in the effective electron mobility values, which manifests that the device channels have maintained their carrier transport properties.

  13. Complaint liquid metal electrodes for dielectric elastomer actuators

    Science.gov (United States)

    Finkenauer, Lauren R.; Majidi, Carmel

    2014-03-01

    This work presents a liquid-phase metal electrode to be used with poly(dimethylsiloxane) (PDMS) for a dielectric elastomer actuator (DEA). DEAs are favorable for soft-matter applications where high efficiency and response times are desirable. A consistent challenge faced during the fabrication of these devices is the selection and deposition of electrode material. While numerous designs have been demonstrated with a variety of conductive elastomers and greases, these materials have significant and often intrinsic shortcomings, e.g. low conductivity, hysteresis, incapability of large deformations, and complex fabrication requirements. The liquid metal alloy eutectic Gallium-Indium (EGaIn) is a promising alternative to existing compliant electrodes, having both high conductivity and complete soft-matter functionality. The liquid electrode shares almost the same electrical conductivity as conventional metal wiring and provides no mechanical resistance to bending or stretching of the DEA. This research establishes a straightforward and effective method for quickly depositing EGaIn electrodes, which can be adapted for batch fabrication, and demonstrates the successful actuation of sample curved cantilever elastomer actuators using these electrodes. As with the vast majority of electrostatically actuated elastomer devices, the voltage requirements for these curved DEAs are still quite significant, though modifications to the fabrication process show some improved electrical properties. The ease and speed with which this method can be implemented suggests that the development of a more electronically efficient device is realistic and worthwhile.

  14. Electron-phonon coupling effect on wakefields in piezoelectric semiconductors

    International Nuclear Information System (INIS)

    Salimullah, M; Shukla, P K; Ghosh, S K; Nitta, H; Hayashi, Y

    2003-01-01

    Using an appropriate dielectric constant for an n-type piezoelectric semiconductor plasma and a moving test particle approach, it is shown that, besides the usual screened potential, there exists a non-Coulombian oscillatory potential or a wakefield behind a moving charged particle due to a strong resonant interaction between the charged particle and the electro-acoustic mode of the host semiconductor. With the concept of the wakefield, a possible lattice formation of colloids resulting from ion implantation in a current-carrying piezoelectric semiconductor has been examined

  15. Localization and absorption of light in 2D composite metal-dielectric films at the percolation threshold

    International Nuclear Information System (INIS)

    Zekri, L.; Bouamrane, R.; Zekri, N.; Brouers, F.

    1998-04-01

    We study in this paper the localization of light and the dielectric properties of thin metal-dielectric composites at the percolation threshold and around a resonant frequency where the conductivities of the two components are of the same order. In particular, the effect of the loss in metallic components are examined. To this end, such systems are modelized as random L-C networks, and the local field distribution as well as the effective conductivity are determined by using two different methods for comparison: an exact resolution of Kirchoff equations, and a real space renormalization group method. The latter method is found to give the general behavior of the effective conductivity but fails to determine the local field distribution. It is also found that the localization still persists for vanishing losses. This result seems to be in agreement with the anomalous absorption observed experimentally for such systems. (author)

  16. Fabrication of Metallic Hollow Nanoparticles

    Science.gov (United States)

    Kim, Jae-Woo (Inventor); Choi, Sr., Sang H. (Inventor); Lillehei, Peter T. (Inventor); Chu, Sang-Hyon (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2016-01-01

    Metal and semiconductor nanoshells, particularly transition metal nanoshells, are fabricated using dendrimer molecules. Metallic colloids, metallic ions or semiconductors are attached to amine groups on the dendrimer surface in stabilized solution for the surface seeding method and the surface seedless method, respectively. Subsequently, the process is repeated with additional metallic ions or semiconductor, a stabilizer, and NaBH.sub.4 to increase the wall thickness of the metallic or semiconductor lining on the dendrimer surface. Metallic or semiconductor ions are automatically reduced on the metallic or semiconductor nanoparticles causing the formation of hollow metallic or semiconductor nanoparticles. The void size of the formed hollow nanoparticles depends on the dendrimer generation. The thickness of the metallic or semiconductor thin film around the dendrimer depends on the repetition times and the size of initial metallic or semiconductor seeds.

  17. High-temperature MEMS Heater Platforms: Long-term Performance of Metal and Semiconductor Heater Materials

    Directory of Open Access Journals (Sweden)

    Theodor Doll

    2006-04-01

    Full Text Available Micromachined thermal heater platforms offer low electrical power consumptionand high modulation speed, i.e. properties which are advantageous for realizing non-dispersive infrared (NDIR gas- and liquid monitoring systems. In this paper, we report oninvestigations on silicon-on-insulator (SOI based infrared (IR emitter devices heated byemploying different kinds of metallic and semiconductor heater materials. Our resultsclearly reveal the superior high-temperature performance of semiconductor over metallicheater materials. Long-term stable emitter operation in the vicinity of 1300 K could beattained using heavily antimony-doped tin dioxide (SnO2:Sb heater elements.

  18. Dark Current And Voltage Measurements Of Metal-Organic-Semiconductor (M-Or-S) Diode

    International Nuclear Information System (INIS)

    Adianto

    1996-01-01

    . Some Metal-Organic-Semiconductor (M-Or-S) thin film diodes, constructed with an organic polymer (polymerized toluene) as an active component has been successfully fabricated. The thin film M-Or-S diodes were fabricated on an n-type silicon with resistivity of 250-500 Ocm and p type silicon with resistivity of 10-20 Ocm as a substrate with polymerized toluene used as insulator. When deposited on silicon wafers with electrode of evaporated Ni on the n-type silicon and evaporated Au as the electrode on the polymerized toluene film, the electronic devices of Metal-Organic- Semiconductor (M-Or-S) type can be produced with one of its characteristics is that their light sensitivity. A plasma ion deposition system was constructed and used to deposit organic monomeric substance (toluene) that functioned as an isolator between semiconductor and the evaporated metal electrodes. The current-voltage measurements for different configurations of M-Or-S devices were carried out to determine the current-voltage (1-V) characteristics for M-Or-S devices with different materials and thicknesses. In addition to the 1-V measurement mentioned before, 1-V measurements of the devices were also carried out by using a curve tracer oscilloscope, and the picture of the effective parameters of each of the device could be taken by using a polaroid camera. Since the devices are very sensitive to light, the devices were all tested in a black-box which was covered by a black cloth to make sure that there was no light coming through. The experimental results for p- and n-type silicon substrates showed that an M-Or-S diode with n-type gave a higher breakdown voltage than that p- type silicon. In addition, the reverse bias breakdown voltage increased as the thickness of the thin film increased in the range of 50 -2500 V/μm

  19. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  20. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  1. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Metal-dielectric superlenses for ultraviolet and visible light

    DEFF Research Database (Denmark)

    Nielsen, Rasmus Bundgaard

    show that a resolution of 80nm can be achieved at a wavelength of 365nm, which is well below the diffraction limit, and thus proves that the superlensing effect is occurring. The use of a superlens to translate an optical hotspot was tested. For this purpose, a silver superlens was used to image...... roughness lens consisting of alternating silver and silicon dioxide layers was fabricated. Unfortunately no clear conclusion could be reached, due to problems with the underlying chrome test structure. Finally, experiments were performed on the use of metal-dielectric composites as a superlensing material......, due to their tunable optical properties. The results show, that when using such composites, it is indeed possible to selectively alter the real part of the permittivity, as predicted by effective medium theory, but the loss is much higher than expected....

  3. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  4. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  5. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Directory of Open Access Journals (Sweden)

    Jong Woo Jin

    2016-08-01

    Full Text Available Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (VTH in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative VTH shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H2O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  6. Interpreting anomalies observed in oxide semiconductor TFTs under negative and positive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Jong Woo [LPICM, CNRS, Ecole Polytechnique, Université Paris Saclay, 91128, Palaiseau (France); Nathan, Arokia, E-mail: an299@cam.ac.uk [Engineering Department, University of Cambridge, Cambridge, CB3 0FA (United Kingdom); Barquinha, Pedro; Pereira, Luís; Fortunato, Elvira; Martins, Rodrigo [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Cobb, Brian [Holst Centre/TNO, Eindhoven, 5656 AE (Netherlands)

    2016-08-15

    Oxide semiconductor thin-film transistors can show anomalous behavior under bias stress. Two types of anomalies are discussed in this paper. The first is the shift in threshold voltage (V{sub TH}) in a direction opposite to the applied bias stress, and highly dependent on gate dielectric material. We attribute this to charge trapping/detrapping and charge migration within the gate dielectric. We emphasize the fundamental difference between trapping/detrapping events occurring at the semiconductor/dielectric interface and those occurring at gate/dielectric interface, and show that charge migration is essential to explain the first anomaly. We model charge migration in terms of the non-instantaneous polarization density. The second type of anomaly is negative V{sub TH} shift under high positive bias stress, with logarithmic evolution in time. This can be argued as electron-donating reactions involving H{sub 2}O molecules or derived species, with a reaction rate exponentially accelerated by positive gate bias and exponentially decreased by the number of reactions already occurred.

  7. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    Science.gov (United States)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  8. Biaxial stress driven tetragonal symmetry breaking and high-temperature ferromagnetic semiconductor from half-metallic CrO2

    Science.gov (United States)

    Xiao, Xiang-Bo; Liu, Bang-Gui

    2018-03-01

    It is highly desirable to combine the full spin polarization of carriers with modern semiconductor technology for spintronic applications. For this purpose, one needs good crystalline ferromagnetic (or ferrimagnetic) semiconductors with high Curie temperatures. Rutile CrO2 is a half-metallic spintronic material with Curie temperature 394 K and can have nearly full spin polarization at room temperature. Here, we find through first-principles investigation that when a biaxial compressive stress is applied on rutile CrO2, the density of states at the Fermi level decreases with the in-plane compressive strain, there is a structural phase transition to an orthorhombic phase at the strain of -5.6 % , and then appears an electronic phase transition to a semiconductor phase at -6.1 % . Further analysis shows that this structural transition, accompanying the tetragonal symmetry breaking, is induced by the stress-driven distortion and rotation of the oxygen octahedron of Cr, and the half-metal-semiconductor transition originates from the enhancement of the crystal field splitting due to the structural change. Importantly, our systematic total-energy comparison indicates the ferromagnetic Curie temperature remains almost independent of the strain, near 400 K. This biaxial stress can be realized by applying biaxial pressure or growing the CrO2 epitaxially on appropriate substrates. These results should be useful for realizing full (100%) spin polarization of controllable carriers as one uses in modern semiconductor technology.

  9. Problems of high temperature superconductivity in three-dimensional systems

    Energy Technology Data Exchange (ETDEWEB)

    Geilikman, B T

    1973-01-01

    A review is given of more recent papers on this subject. These papers have dealt mainly with two-dimensional systems. The present paper extends the treatment to three-dimensional systems, under the following headings: systems with collective electrons of one group and localized electrons of another group (compounds of metals with non-metals-dielectrics, organic substances, undoped semiconductors, molecular crystals); experimental investigations of superconducting compounds of metals with organic compounds, dielectrics, semiconductors, and semi-metals; and systems with two or more groups of collective electrons. Mechanics are considered and models are derived. 86 references.

  10. Photoresponse and photo-induced memory effect in the organic field-effect transistor based on AlOX nanoparticles at the interface of semiconductor/dielectric

    Science.gov (United States)

    Cheng, Yunfei; Wang, Wu

    2017-10-01

    In this work, the photoresponse and photo-induced memory effect were demonstrated in an organic field-effect transistor (OFET) with semiconductor pentacene and SiO2 as the active and gate dielectric layers, respectively. By inserting AlOX nanoparticles (NPs) at the interface of pentacene/SiO2, obvious enhancing photoresponse was obtained in the OFET with the maximum responsivity and photosensitivity of about 15 A/W and 100, respectively. Moreover, the stable photoinduced memory effect was achieved in the OFET, attributing to the photogenerated electrons captured by the interface traps of the AlOX NPs/SiO2.

  11. Excitation of plasmonic waves in metal-dielectric structures by a laser beam using holography principles

    Science.gov (United States)

    Ignatov, A. I.; Merzlikin, A. M.

    2018-03-01

    A method for development of gratings for effective excitation of surface plasmonic waves using holography principles has been proposed and theoretically analyzed. For the case of a plasmonic wave in a dielectric layer on metal, the proposed volume hologram is 1.7 times more effective than the simple grating of slits in the dielectric layer with the optimized period and slits' width. The advantage of the hologram over the optimized grating is in the refractive index distribution that accounts phase relationships between an exciting and an excited waves more correctly. The proposed holographic method is universal. As expected, this can be extended for effective excitation of different types of optical surface waves and modes of optical waveguides.

  12. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  13. Resonant photon tunneling via surface plasmon polaritons through one-dimensional metal-dielectric metamaterials

    OpenAIRE

    Tomita, Satoshi; Yokoyama, Takashi; Yanagi, Hisao; Wood, Ben; Pendry, John B.; Fujii, Minoru; Hayashi, Shinji

    2008-01-01

    We report resonant photon tunneling (RPT) through onedimensional metamaterials consisting of alternating layers of metal and dielectric. RPT via a surface plasmon polariton state permits evanescent light waves with large wavenumbers to be conveyed through the metamaterial. This is the mechanism for sub-wavelength imaging recently demonstrated with a super-lens. Furthermore, we find that the RPT peak is shifted from the reflectance dip with increasing the number of Al layers, indicating that t...

  14. Photocatalytic Hybrid Semiconductor-Metal Nanoparticles; from Synergistic Properties to Emerging Applications.

    Science.gov (United States)

    Waiskopf, Nir; Ben-Shahar, Yuval; Banin, Uri

    2018-04-14

    Hybrid semiconductor-metal nanoparticles (HNPs) manifest unique combined and often synergetic properties stemming from the materials combination. These structures exhibit spatial charge separation across the semiconductor-metal junction upon light absorption, enabling their use as photocatalysts. So far, the main impetus of photocatalysis research in HNPs addresses their functionality in solar fuel generation. Recently, it was discovered that HNPs are functional in efficient photocatalytic generation of reactive oxygen species (ROS). This has opened the path for their implementation in diverse biomedical and industrial applications where high spatially temporally resolved ROS formation is essential. Here, the latest studies on the synergistic characteristics of HNPs are summarized, including their optical, electrical, and chemical properties and their photocatalytic function in the field of solar fuel generation is briefly discussed. Recent studies are then focused concerning photocatalytic ROS formation with HNPs under aerobic conditions. The emergent applications of this capacity are then highlighted, including light-induced modulation of enzymatic activity, photodynamic therapy, antifouling, wound healing, and as novel photoinitiators for 3D-printing. The superb photophysical and photocatalytic properties of HNPs offer already clear advantages for their utility in scenarios requiring on-demand light-induced radical formation and the full potential of HNPs in this context is yet to be revealed. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  16. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Bratkovsky, A M [Hewlett-Packard Laboratories, 1501 Page Mill Road, MS 1123, Palo Alto, CA 94304 (United States)

    2008-02-15

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  17. Spintronic effects in metallic, semiconductor, metal-oxide and metal-semiconductor heterostructures

    International Nuclear Information System (INIS)

    Bratkovsky, A M

    2008-01-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field

  18. Spintronic effects in metallic, semiconductor, metal oxide and metal semiconductor heterostructures

    Science.gov (United States)

    Bratkovsky, A. M.

    2008-02-01

    Spintronics is a rapidly growing field focusing on phenomena and related devices essentially dependent on spin transport. Some of them are already an established part of microelectronics. We review recent theoretical and experimental advances in achieving large spin injection efficiency (polarization of current) and accumulated spin polarization. These include tunnel and giant magnetoresistance, spin-torque and spin-orbit effects on electron transport in various heterostructures. We give a microscopic description of spin tunneling through oxide and modified Schottky barriers between a ferromagnet (FM) and a semiconductor (S). It is shown that in such FM-S junctions electrons with a certain spin projection can be efficiently injected into (or extracted from) S, while electrons with the opposite spin can accumulate in S near the interface. The criterion for efficient injection is opposite to a known Rashba criterion, since the barrier should be rather transparent. In degenerate semiconductors, extraction of spin can proceed at low temperatures. We mention a few novel spin-valve ultrafast devices with small dissipated power: a magnetic sensor, a spin transistor, an amplifier, a frequency multiplier, a square-law detector and a source of polarized radiation. We also discuss effects related to spin-orbital interactions, such as the spin Hall effect (SHE) and a recently predicted positive magnetoresistance accompanying SHE. Some esoteric devices such as 'spinFET', interacting spin logic and spin-based quantum computing are discussed and problems with their realization are highlighted. We demonstrate that the so-called 'ferroelectric tunnel junctions' are unlikely to provide additional functionality because in all realistic situations the ferroelectric barrier would be split into domains by the depolarizing field.

  19. Toward superlensing with metal-dielectric composites and multilayers

    DEFF Research Database (Denmark)

    Nielsen, Rasmus Bundgaard; Thoreson, M.D.; Chen, W.

    2010-01-01

    We report on the fabrication of two types of adjustable, near-field superlens designs: metal–dielectric composites and metal–dielectric multilayer films. We fabricated a variety of films with different materials, thicknesses and compositions. These samples were characterized physically...... and optically to determine their film composition, quality, and optical responses. Our results on metal–dielectric composites indicate that although the real part of the effective permittivity generally follows effective medium theory predictions, the imaginary part does not and substantially higher losses...

  20. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  1. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Y.; Li, H.; Robertson, J. [Engineering Department, Cambridge University, Cambridge CB2 1PZ (United Kingdom)

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed to its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.

  2. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  3. Trap state passivation improved hot-carrier instability by zirconium-doping in hafnium oxide in a nanoscale n-metal-oxide semiconductor-field effect transistors with high-k/metal gate

    International Nuclear Information System (INIS)

    Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han

    2016-01-01

    This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.

  4. Novel Metal-Sulfur-Based Air-Stable Passivation of GaAs with Very Low Surface State Densities

    Energy Technology Data Exchange (ETDEWEB)

    Ashby, Carol I.H.; Baca, Albert G.; Chang, P.-C; Hafich, M.J.; Hammons, B.E.; Zavadil, Kevin R.

    1999-08-09

    A new air-stable electronic surface passivation for GaAs and other III-V compound semiconductors that employs sulfur and a suitable metal ion, e.g., Zn, and that is robust towards plasma dielectric deposition has been developed. Initial improvements in photoluminescence are twice that of S-only treatments and have been preserved for >11 months with SiO{sub x}N{sub y} dielectric encapsulation. Photoluminescence and X-ray photoelectron spectroscopies indicate that the passivation consists of two major components with one being stable for >2 years in air. This process improves heterojunction bipolar transistor current gain for both large and small area devices.

  5. Effects of fluorine contamination on spin-on dielectric thickness in semiconductor manufacturing

    Science.gov (United States)

    Kim, Hyoung-ryeun; Hong, Soonsang; Kim, Samyoung; Oh, Changyeol; Hwang, Sung Min

    2018-03-01

    In the recent semiconductor industry, as the device shrinks, spin-on dielectric (SOD) has been adopted as a widely used material because of its excellent gap-fill, efficient throughput on mass production. SOD film must be uniformly thin, homogeneous and free of particle defects because it has been perfectly perserved after chemical-mechanical polishing (CMP) and etching process. Spin coating is one of the most common techniques for applying SOD thin films to substrates. In spin coating process, the film thickness and uniformity are strong function of the solution viscosity, the final spin speed and the surface properties. Especially, airborne molecular contaminants (AMCs), such as HF, HCl and NH3, are known to change to surface wetting characteristics. In this work, we study the SOD film thickness as a function of fluorine contamination on the wafer surface. To examine the effects of airborne molecular contamination, the wafers are directly exposed to HF fume followed by SOD coating. It appears that the film thickness decreases by higher contact angle on the wafer surface due to fluorine contamination. The thickness of the SOD film decreased with increasing fluorine contamination on the wafer surface. It means that the wafer surface with more hydrophobic property generates less hydrogen bonding with the functional group of Si-NH in polysilazane(PSZ)-SOD film. Therefore, the wetting properties of silicon wafer surfaces can be degraded by inorganic contamination in SOD coating process.

  6. Designing Selectivity in Metal-Semiconductor Nanocrystals: Synthesis, Characterization, and Self-Assembly

    Science.gov (United States)

    Pavlopoulos, Nicholas George

    This dissertation contains six chapters detailing recent advances that have been made in the synthesis and characterization of metal-semiconductor hybrid nanocrystals (HNCs), and the applications of these materials. Primarily focused on the synthesis of well-defined II-VI semiconductor nanorod (NR) and tetrapod (TP) based constructs of interest for photocatalytic and solar energy applications, the research described herein discusses progress towards the realization of key design rules for the synthesis of functional semiconductor nanocrystals (NCs). As such, a blend of novel synthesis, advanced characterization, and direct application of heterostructured nanoparticles are presented. The first chapter is a review summarizing the design, synthesis, properties, and applications of multicomponent nanomaterials composed of disparate semiconductor and metal domains. By coupling two compositionally distinct materials onto a single nanocrystal, synergistic properties can arise that are not present in the isolated components, ranging from self-assembly to photocatalysis. For semiconductor nanomaterials, this was first realized in the ability to tune nanomaterial dimensions from 0-D quantum dot (QD) structures to cylindrical (NR) and branched (TP) structures by exploitation of advanced colloidal synthesis techniques and understandings of NC facet reactivities. The second chapter is focused on the synthesis and characterization of well-defined CdSe-seeded-CdS (CdSe CdS) NR systems synthesized by overcoating of wurtzite (W) CdSe quantum dots with W-CdS shells. 1-dimensional NRs have been interesting constructs for applications such as solar concentrators, optical gains, and photocatalysis. Through synthetic control over CdSe CdS NR systems, materials with small and large CdSe seeds were prepared, and for each seed size, multiple NR lengths were prepared. Through transient absorption studies, it was found that band alignment did not affect the efficiency of charge localization

  7. Dielectric relaxations in non-metallic materials related to Y-Ba-Cu-O superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bennani, H.; Pilet, J.C. (Lab. Instrumentation, Rennes-1 Univ., 35 (France)); Guilloux-Viry, M.; Perrin, C.; Perrin, A.; Sergent, M. (Lab. de Chimie Minerale B, C.N.R.S., 35 - Rennes (France))

    1990-10-15

    In relation with high Tc superconducting material studies, dielectric measurements have been carried out, in the frequency range 10 Hz - 100 kHz, on two powdered compounds belonging to the Y-Ba-Cu-O system. The non-metallic tetragonal phases YBa{sub 2}Cu{sub 3}O{sub 6+x} exhibit dielectric relaxations: for the studied samples (x<0.4) the activation energy U is observed in the range 0.5dielectric relaxation has been detected at higher temperature, near 400 K. Additional measurements to 77 K at 1 MHz give a value of dielectric constant {epsilon}'=3 and a low loss factor tg{delta}=10{sup -3}: this latter value is comparable to the one of lanthanum gallate recently proposed as a substrate for high frequency uses. This result enhances the previously reported potential interest of this material as substrate or buffer layer for preparation of superconducting thin films for high frequency applications. (orig.).

  8. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  9. Sub-surface laser nanostructuring in stratified metal/dielectric media: a versatile platform towards flexible, durable and large-scale plasmonic writing

    International Nuclear Information System (INIS)

    Siozios, A; Bellas, D V; Lidorikis, E; Patsalas, P; Kalfagiannis, N; Cranton, W M; Koutsogeorgis, D C; Bazioti, C; Dimitrakopulos, G P; Vourlias, G

    2015-01-01

    Laser nanostructuring of pure ultrathin metal layers or ceramic/metal composite thin films has emerged as a promising route for the fabrication of plasmonic patterns with applications in information storage, cryptography, and security tagging. However, the environmental sensitivity of pure Ag layers and the complexity of ceramic/metal composite film growth hinder the implementation of this technology to large-scale production, as well as its combination with flexible substrates. In the present work we investigate an alternative pathway, namely, starting from non-plasmonic multilayer metal/dielectric layers, whose growth is compatible with large scale production such as in-line sputtering and roll-to-roll deposition, which are then transformed into plasmonic templates by single-shot UV-laser annealing (LA). This entirely cold, large-scale process leads to a subsurface nanoconstruction involving plasmonic Ag nanoparticles (NPs) embedded in a hard and inert dielectric matrix on top of both rigid and flexible substrates. The subsurface encapsulation of Ag NPs provides durability and long-term stability, while the cold character of LA suits the use of sensitive flexible substrates. The morphology of the final composite film depends primarily on the nanocrystalline character of the dielectric host and its thermal conductivity. We demonstrate the emergence of a localized surface plasmon resonance, and its tunability depending on the applied fluence and environmental pressure. The results are well explained by theoretical photothermal modeling. Overall, our findings qualify the proposed process as an excellent candidate for versatile, large-scale optical encoding applications. (paper)

  10. AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique.

    Science.gov (United States)

    Liu, Xiao-Yong; Zhao, Sheng-Xun; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Zhang, Chun-Min; Lu, Hong-Liang; Wang, Peng-Fei; Zhang, David Wei

    2015-01-01

    Recently, AlN plasma-enhanced atomic layer deposition (ALD) passivation technique had been proposed and investigated for suppressing the dynamic on-resistance degradation behavior of high-electron-mobility transistors (HEMTs). In this paper, a novel gate dielectric and passivation technique for GaN-on-Si AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MISHEMTs) is presented. This technique features the AlN thin film grown by thermal ALD at 400°C without plasma enhancement. A 10.6-nm AlN thin film was grown upon the surface of the HEMT serving as the gate dielectric under the gate electrode and as the passivation layer in the access region at the same time. The MISHEMTs with thermal ALD AlN exhibit enhanced on/off ratio, reduced channel sheet resistance, reduction of gate leakage by three orders of magnitude at a bias of 4 V, reduced threshold voltage hysteresis of 60 mV, and suppressed current collapse degradation.

  11. Characteristic features of the magnetoresistance in the ferrimagnetic (Sr2FeMoO6-δ) - dielectric (SrMoO4) nanocomposite

    Science.gov (United States)

    Demyanov, S.; Kalanda, N.; Yarmolich, M.; Petrov, A.; Lee, S.-H.; Yu, S.-C.; Oh, S. K.; Kim, D.-H.

    2018-05-01

    Magnetic metal-oxide compounds with high values of magnetoresistance (MR) have attracted huge interest for spintronic applications, among which Sr2FeMoO6-δ (SFMO) has been relatively less known compared to the cobaltites and manganites, despite 100% electrons spin-polarization degree and a high Curie temperature. Here, stable fabrication and systematic analysis of nanocomposites based on SFMO with SrMoO4 dielectric sheaths are presented. SFMO-SrMoO4 nanocomposites were fabricated as follows: synthesis of the SFMO single-phase nanopowders by the modified citrate-gel technique; compaction under high pressure; thermal treatment for sheaths formation around grains. The nanocomposite is observed to exhibit a transitional behavior of conductivity from metallic, which is characteristic for the SFMO to semiconductor one in the temperature range 4 - 300K under magnetic fields up to 10T. A negative MR is observed due to the spin-polarized charge carriers tunneling through dielectric sheaths. MR value reaches 43% under 8T at 10κ. The dielectric sheaths thickness was determined to be about 10 nm by electric breakdown voltage value at current-voltage characteristics curves. The breakdown is found to be a reversible process determined by collisional ionization of dielectric atoms in strong electric field depending on knocked-out electrons from the SrMoO4. It was found that MR changes sign in electric breakdown region, revealing the giant magnetoresistive properties.

  12. Dimensional optimization of nanowire--complementary metal oxide--semiconductor inverter.

    Science.gov (United States)

    Hashim, Yasir; Sidek, Othman

    2013-01-01

    This study is the first to demonstrate dimensional optimization of nanowire-complementary metal-oxide-semiconductor inverter. Noise margins and inflection voltage of transfer characteristics are used as limiting factors in this optimization. Results indicate that optimization depends on both dimensions ratio and digital voltage level (Vdd). Diameter optimization reveals that when Vdd increases, the optimized value of (Dp/Dn) decreases. Channel length optimization results show that when Vdd increases, the optimized value of Ln decreases and that of (Lp/Ln) increases. Dimension ratio optimization reveals that when Vdd increases, the optimized value of Kp/Kn decreases, and silicon nanowire transistor with suitable dimensions (higher Dp and Ln with lower Lp and Dn) can be fabricated.

  13. Making the semiconductor-metal transition in a growth-dominant phase-change alloy InSb for double density blu-ray super-RENS-ROM disc

    Energy Technology Data Exchange (ETDEWEB)

    Hyot, Berangere [CEA, LETI, MINATEC, F- 38054 Grenoble (France)

    2012-10-15

    Phenomenologically, a semiconductor-metal transition is characterized by a sudden change in electrical properties but also in optical behaviours, as a consequence of a change in electron behaviour. The ability to induce a reversible semiconductor-metal transition in a material by varying conditions such as applied temperature or electrical field, results in attractive changes in properties that have fuelled the curiosity of scientists. In this paper, we discuss the interest of such materials exhibiting the reversible semiconductor-metal transition in the development of the next generation of optical Bly-ray discs (BD), the so-called super-resolution near field structure (super-RENS) discs and we show that InSb semiconductor material exhibits huge variations of its optical properties during the optically (thermally)-induced solid-to-liquid change corresponding to a semiconductor-metal transition. First success in the video playback on HDTV (High Definition TeleVision) display from 50 GB (BD capacity x 2) InSb-based super-RENS-ROM discs including a high definition video content with 1920 x 1080 pixels was realized in September 2009 by the super-RENS consortium joining three partners: AIST (National Institute of Advanced Industrial Science and Technology), Mitsubishi Electric Co. and LETI. Snapshot of high definition video content from InSb-based super-RENS-ROM disc corresponding to 50 GB per layer (BD capacity x 2) displayed on HDTV. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Evaluation of slot-to-slot coupling between dielectric slot waveguides and metal-insulator-metal slot waveguides.

    Science.gov (United States)

    Kong, Deqing; Tsubokawa, Makoto

    2015-07-27

    We numerically analyzed the power-coupling characteristics between a high-index-contrast dielectric slot waveguide and a metal-insulator-metal (MIM) plasmonic slot waveguide as functions of structural parameters. Couplings due mainly to the transfer of evanescent components in two waveguides generated high transmission efficiencies of 62% when the slot widths of the two waveguides were the same and 73% when the waveguides were optimized by slightly different widths. The maximum transmission efficiency in the slot-to-slot coupling was about 10% higher than that in the coupling between a normal slab waveguide and an MIM waveguide. Large alignment tolerance of the slot-to-slot coupling was also proved. Moreover, a small gap inserted into the interface between two waveguides effectively enhances the transmission efficiency, as in the case of couplings between a normal slab waveguide and an MIM waveguide. In addition, couplings with very wideband transmissions over a wavelength region of a few hundred nanometers were validated.

  15. Dielectric behaviors of lead zirconate titanate ceramics with coplanar electrodes

    International Nuclear Information System (INIS)

    Wang, Y.; Cheng, Y.L.; Zhang, Y.W.; Chan, H.L.W.; Choy, C.L.

    2003-01-01

    This paper reports on the dielectric behaviors of lead zirconate titanate (PZT) capacitors with coplanar electrodes. Usually a ferroelectric device has a metal-ferroelectric-metal configuration (parallel plate capacitor); when both the electrodes are on one side of a ceramic to form a coplanar capacitor, different dielectric behaviors will be anticipated because of the change in the distribution of the test field inside the dielectrics. This paper describes how the capacitance and dielectric loss of PZT-based coplanar capacitors change with electrode distance, area and test frequency

  16. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    Science.gov (United States)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  17. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.; Lin, Yenhung; Zhao, Kui; Li, Ruipeng; Thomas, Stuart R.; Semple, James; Androulidaki, Maria; Sygellou, Lamprini; McLachlan, Martyn A.; Stratakis, Emmanuel; Amassian, Aram; Anthopoulos, Thomas D.

    2015-01-01

    reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization

  18. Chip-scale fluorescence microscope based on a silo-filter complementary metal-oxide semiconductor image sensor.

    Science.gov (United States)

    Ah Lee, Seung; Ou, Xiaoze; Lee, J Eugene; Yang, Changhuei

    2013-06-01

    We demonstrate a silo-filter (SF) complementary metal-oxide semiconductor (CMOS) image sensor for a chip-scale fluorescence microscope. The extruded pixel design with metal walls between neighboring pixels guides fluorescence emission through the thick absorptive filter to the photodiode of a pixel. Our prototype device achieves 13 μm resolution over a wide field of view (4.8 mm × 4.4 mm). We demonstrate bright-field and fluorescence longitudinal imaging of living cells in a compact, low-cost configuration.

  19. Ternary rare-earth based alternative gate-dielectrics for future integration in MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Juergen; Lopes, Joao Marcelo; Durgun Oezben, Eylem; Luptak, Roman; Lenk, Steffi; Zander, Willi; Roeckerath, Martin [IBN 1-IT, Forschungszentrum Juelich, 52425 Juelich (Germany)

    2009-07-01

    The dielectric SiO{sub 2} has been the key to the tremendous improvements in Si-based metal-oxide-semiconductor (MOS) device performance over the past four decades. It has, however, reached its limit in terms of scaling since it exhibits a leakage current density higher than 1 A/cm{sup 2} and does not retain its intrinsic physical properties at thicknesses below 1.5 nm. In order to overcome these problems and keep Moore's law ongoing, the use of higher dielectric constant (k) gate oxides has been suggested. These high-k materials must satisfy numerous requirements such as the high k, low leakage currents, suitable band gap und offsets to silicon. Rare-earth based dielectrics are promising materials which fulfill these needs. We will review the properties of REScO{sub 3} (RE = La, Dy, Gd, Sm, Tb) and LaLuO{sub 3} thin films, grown with pulsed laser deposition, e-gun evaporation or molecular beam deposition, integrated in capacitors and transistors. A k > 20 for the REScO{sub 3} (RE = Dy, Gd) and around 30 for (RE = La, Sm, Tb) and LaLuO{sub 3} are obtained. Transistors prepared on SOI and sSOI show mobility values up to 380 cm{sup 2}/Vs on sSOI, which are comparable to such prepared with HfO{sub 2}.

  20. Near-field microwave detection of corrosion precursor pitting under thin dielectric coatings in metallic substrate

    International Nuclear Information System (INIS)

    Hughes, D.; Zoughi, R.; Austin, R.; Wood, N.; Engelbart, R.

    2003-01-01

    Detection of corrosion precursor pitting on metallic surfaces under various coatings and on bare metal is of keen interest in evaluation of aircraft fuselage. Near-field microwave nondestructive testing methods, utilizing open-ended rectangular waveguides and coaxial probes, have been used extensively for detection of surface flaws in metals, both on bare metal and under a dielectric coating. This paper presents the preliminary results of using microwave techniques to detect corrosion precursor pitting under paint and primer, applique and on bare metal. Machined pits of 500 μm diameter were detected using open-ended rectangular waveguides at V-Band under paint and primer and applique, and on bare metal. Using coaxial probes, machined pits with diameters down to 150 μm on bare metal were also detected. Relative pit size and density were shown on a corrosion-pitted sample using open-ended rectangular waveguides at frequencies of 35 GHz to 70 GHz. The use of Boeing's MAUS TM scanning systems provided improved results by alleviating standoff variation and scanning artifact. Typical results of this investigation are also presented

  1. Pressure study on the semiconductor-metal transition in a quantum well

    Energy Technology Data Exchange (ETDEWEB)

    Nithiananthi, P.; Jayakumar, K. [Department of Physics, Gandhigram Rural University, Tamilnadu (India)

    2009-06-15

    The effect of {gamma}-X band crossing due to the applied hydrostatic pressure on the semiconductor-metal transition in a quasi-two-dimensional system like GaAs/Al{sub x}Ga{sub 1-x}As quantum well has been shown through the drastic change in diamagnetic susceptibility of donors at critical concentration in the effective mass approximation using the variational principle. The nonparabolicity of the conduction band has been taken into account in the calculation. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Ultrasensitive mass sensor fully integrated with complementary metal-oxide-semiconductor circuitry

    DEFF Research Database (Denmark)

    Forsén, Esko Sebastian; Abadal, G.; Ghatnekar-Nilsson, S.

    2005-01-01

    Nanomechanical resonators have been monolithically integrated on preprocessed complementary metal-oxide-semiconductor (CMOS) chips. Fabricated resonator systems have been designed to have resonance frequencies up to 1.5 MHz. The systems have been characterized in ambient air and vacuum conditions...... and display ultrasensitive mass detection in air. A mass sensitivity of 4 ag/Hz has been determined in air by placing a single glycerine drop, having a measured weight of 57 fg, at the apex of a cantilever and subsequently measuring a frequency shift of 14.8 kHz. CMOS integration enables electrostatic...

  3. Comparative Study of the Photocatalytic Activity of Semiconductor Nanostructures and Their Hybrid Metal Nanocomposites on the Photodegradation of Malathion

    Directory of Open Access Journals (Sweden)

    Dina Mamdouh Fouad

    2012-01-01

    Full Text Available This work is devoted to synthesize different semiconductor nanoparticles and their metal-hybrid nanocomposites such as TiO2, Au/TiO2, ZnO, and Au/ZnO. The morphology and crystal structure of the prepared nanomaterials are characterized by the TEM and XRD, respectively. These materials are used as catalysts for the photodegradation of Malathion which is one of the most commonly used pesticides in the developing countries. The degradation of 10 ppm Malathion under ultraviolet (UV and visible light in the presence of the different synthesized nanocomposites was analyzed with high-performance liquid chromatography (HPLC and UV-Visible Spectra. A comprehensive study is carried out for the catalytic efficiency of the prepared nanoparticles. Different factors influencing the catalytic photodegradation are investigated, as different light source, surface coverage, and nature of the organic contaminants. The results indicate that hybrid nanocomposite of the semiconductor-metal hybrid serves as a better catalytic system compared with semiconductor nanoparticles themselves.

  4. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  5. Contribution to the study of rectification at the metal-semiconductor contact: analysis of aging in silicon Schottky diodes

    International Nuclear Information System (INIS)

    Ponpon, J.-P.

    1979-01-01

    The formation of the barrier height and the aging of metal-semiconductor contacts during exposure to air have been studied. The evolution of the electrical characteristics, especially the barrier height, of silicon Schottky diodes results from the diffusion of oxygen through the electrode and its accumulation at the interface. The diffusion coefficient of oxygen has been deduced for each metal used. In a first step the oxygen neutralize a fixed positive charge which remains at the semiconductor surface after etching; then, as silicon is oxidized, a MIS device is formed. Similar results have been obtained in the case of germanium, while no aging appears with cadmium telluride. In this case the barrier height seems to be determined by chemical reactions at the interface [fr

  6. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  7. Application of Metal-Semiconductor-Metal (MSM) Photodetectors for Transverse and Longitudinal Intra-Bunch Beam Diagnostics

    CERN Document Server

    Steinhagen, R J; Boland, M J; Lucas, T G; Rassool, R P

    2013-01-01

    The performance reach of modern accelerators is often governed by the ability to reliably measure and control the beam stability. In high-brightness lepton and high-energy hadron accelerators, the use of optical diagnostic techniques is becoming more widespread as the required bandwidth, resolution and high RF beam power level involved limit the use of traditional electro-magnetic RF pick-up based methods. This contribution discusses the use of fibre-coupled ultra-fast Metal-Semiconductor-Metal Photodetectors (MSM-PD) as an alternative, dependablemeans to measure signals derived from electro-optical and synchrotron-light based diagnostics systems. It describes the beam studies performed at CERN’s CLIC Test Facility (CTF3) and the Australian Synchrotron to assess the feasibility of this technology as a robust, wide-band and sensitive technique for measuring transverse intra-bunch and bunch-by-bunch beam oscillations, longitudinal beam profiles, un-bunched beam population and beam-halo profiles. The amplifica...

  8. Electrolytic charge inversion at the liquid-solid interface in a nanopore in a doped semiconductor membrane

    Energy Technology Data Exchange (ETDEWEB)

    Gracheva, Maria E [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Leburton, Jean-Pierre [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States)

    2007-04-11

    The electrostatics of a nanopore in a doped semiconductor membrane immersed in an electrolyte is studied with a numerical model. Unlike dielectric membranes that always attract excess positive ion charges at the electrolyte/membrane interface whenever a negative surface charge is present, semiconductor membranes exhibit more versatility in controlling the double layer at the membrane surface. The presence of dopant charge in the semiconductor membrane, the shape of the nanopore and the negative surface charge resulting from the pore fabrication process have competing influences on the double layer formation. The inversion of the electrolyte surface charge from negative to positive is observed for n-Si membranes as a function of the membrane surface charge density, while no such inversion occurs for dielectric and p-Si membranes.

  9. Polycrystalline diamond RF MOSFET with MoO3 gate dielectric

    Directory of Open Access Journals (Sweden)

    Zeyang Ren

    2017-12-01

    Full Text Available We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.

  10. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  11. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  12. Magneto-optical Faraday rotation of semiconductor nanoparticles embedded in dielectric matrices.

    Science.gov (United States)

    Savchuk, Andriy I; Stolyarchuk, Ihor D; Makoviy, Vitaliy V; Savchuk, Oleksandr A

    2014-04-01

    Faraday rotation has been studied for CdS, CdTe, and CdS:Mn semiconductor nanoparticles synthesized by colloidal chemistry methods. Additionally these materials were prepared in a form of semiconductor nanoparticles embedded in polyvinyl alcohol films. Transmission electron microscopy and atomic force microscopy analyses served as confirmation of nanocrystallinity and estimation of the average size of the nanoparticles. Spectral dependence of the Faraday rotation for the studied nanocrystals and nanocomposites is correlated with a blueshift of the absorption edge due to the confinement effect in zero-dimensional structures. Faraday rotation spectra and their temperature behavior in Mn-doped nanocrystals demonstrates peculiarities, which are associated with s, p-d exchange interaction between Mn²⁺ ions and band carriers in diluted magnetic semiconductor nanostructures.

  13. Towards low-voltage organic thin film transistors (OTFTs with solution-processed high-k dielectric and interface engineering

    Directory of Open Access Journals (Sweden)

    Yaorong Su

    2015-11-01

    Full Text Available Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs, the high operation voltage resulting from the low gate capacitance density of traditional SiO2 remains a severe limitation that hinders OTFTs'development in practical applications. In this regard, developing new materials with high-k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. Here, we introduce a simple solution-based technique to fabricate high-k metal oxide dielectric system (ATO at low-temperature, which can be used effectively to realize low-voltage operation of OTFTs. On the other hand, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. By optimizing the above two interfaces with octadecylphosphonic acid (ODPA self-assembled monolayer (SAM and properly modified low-cost Cu, obviously improved device performance is attained in our low-voltage OTFTs. Further more, organic electronic devices on flexible substrates have attracted much attention due to their low-cost, rollability, large-area processability, and so on. Basing on the above results, outstanding electrical performance is achieved in flexible devices. Our studies demonstrate an effective way to realize low-voltage, high-performance OTFTs at low-cost.

  14. Production of metal and dielectric films in a combined RF and Arc discharge

    International Nuclear Information System (INIS)

    Gasilin, V.V.; Kunchenko, V.V.; Taran, A.V.; Taran, V.S.

    2003-01-01

    The method of HF-cleaning used before the coatings deposition has been developed. Such method of surface cleaning has proved completely reliable in service and rather simple as compared to 'Bulat' one. HF cleaning allows to operate with metallic and dielectric surfaces without their being heated to the high temperatures. Various working gases (N 2 , O 2 , Ar) can be used during condensation. The combination of arc and HF-plasma sources provided low temperature coatings application (below 200 degree C) with the optimal adhesion properties

  15. Resonant photon tunneling via surface plasmon polaritons through one-dimensional metal-dielectric metamaterials.

    Science.gov (United States)

    Tomita, Satoshi; Yokoyama, Takashi; Yanagi, Hisao; Wood, Ben; Pendry, John B; Fujii, Minoru; Hayashi, Shinji

    2008-06-23

    We report resonant photon tunneling (RPT) through one-dimensional metamaterials consisting of alternating layers of metal and dielectric. RPT via a surface plasmon polariton state permits evanescent light waves with large wavenumbers to be conveyed through the metamaterial. This is the mechanism for sub-wavelength imaging recently demonstrated with a super-lens. Furthermore, we find that the RPT peak is shifted from the reflectance dip with increasing the number of Al layers, indicating that the shift is caused by the losses in the RPT.

  16. Direct observation of both contact and remote oxygen scavenging of GeO2 in a metal-oxide-semiconductor stack

    International Nuclear Information System (INIS)

    Fadida, S.; Shekhter, P.; Eizenberg, M.; Cvetko, D.; Floreano, L.; Verdini, A.; Nyns, L.; Van Elshocht, S.; Kymissis, I.

    2014-01-01

    In the path to incorporating Ge based metal-oxide-semiconductor into modern nano-electronics, one of the main issues is the oxide-semiconductor interface quality. Here, the reactivity of Ti on Ge stacks and the scavenging effect of Ti were studied using synchrotron X-ray photoelectron spectroscopy measurements, with an in-situ metal deposition and high resolution transmission electron microscopy imaging. Oxygen removal from the Ge surface was observed both in direct contact as well as remotely through an Al 2 O 3 layer. The scavenging effect was studied in situ at room temperature and after annealing. We find that the reactivity of Ti can be utilized for improved scaling of Ge based devices.

  17. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  18. First-principles calculation of electric field gradients in metals, semiconductors, and insulators

    Energy Technology Data Exchange (ETDEWEB)

    Zwanziger, J.W. [Dalhousie Univ, Dept Chem, Halifax, NS (Canada); Dalhousie Univ, Inst Res Mat, Halifax, NS (Canada); Torrent, M. [CEA Bruyeres-le-Chatel, Dept Phys Theor and Appl, Bruyeres 91 (France)

    2008-07-01

    A scheme for computing electric field gradients within the projector augmented wave (PAW) formalism of density functional theory is presented. On the basis of earlier work (M. Profeta, F. Mauri, C.J. Pickard, J. Am. Chem. Soc. 125, 541, 2003) the present implementation handles metallic cases as well as insulators and semiconductors with equal efficiency. Details of the implementation, as well as applications and the discussion of the limitations of the PAW method for computing electric field gradients are presented. (authors)

  19. Artificial magnetism and left-handed media from dielectric rings and rods

    International Nuclear Information System (INIS)

    Jelinek, L; Marques, R

    2010-01-01

    It is shown that artificial magnetism with relatively large frequency bandwidth can be obtained from periodic arrangements of dielectric rings. Combined with dielectric rods, dielectric rings can provide 3D isotropic left-handed metamaterials which are an advantageous alternative to metallic split ring resonators (SRRs) and/or metallic wires when undetectability by low frequency external magnetic fields is desired. Furthermore it is shown that, unlike conventional SRRs, dielectric rings can also be combined with natural plasma-like media to obtain a left-handed metamaterial.

  20. Artificial magnetism and left-handed media from dielectric rings and rods

    Energy Technology Data Exchange (ETDEWEB)

    Jelinek, L [Department of Electromagnetic Field, Czech Technical University in Prague, 166 27-Prague (Czech Republic); Marques, R, E-mail: l_jelinek@us.e [Departamento de Electronica y Electromagnetismo, Universidad de Sevilla, 41012-Sevilla (Spain)

    2010-01-20

    It is shown that artificial magnetism with relatively large frequency bandwidth can be obtained from periodic arrangements of dielectric rings. Combined with dielectric rods, dielectric rings can provide 3D isotropic left-handed metamaterials which are an advantageous alternative to metallic split ring resonators (SRRs) and/or metallic wires when undetectability by low frequency external magnetic fields is desired. Furthermore it is shown that, unlike conventional SRRs, dielectric rings can also be combined with natural plasma-like media to obtain a left-handed metamaterial.

  1. Analytic expressions for the dielectric screening function of strongly coupled electron liquids at metallic and lower densities

    International Nuclear Information System (INIS)

    Ishimaru, S.; Utsumi, K.

    1981-01-01

    We propose a fitting formula for the dielectric screening function of the degenerate electron liquids at metallic and lower densities which accurately reproduces the recent Monte Carlo results as well as those of the microscopic calculations, and which satisfies the self-consistency conditions in the compressibility sum rule and the short-range correlation

  2. Multispectral Detection with Metal-Dielectric Filters: An Investigation in Several Wavelength Bands with Temporal Coupled-Mode Theory

    Science.gov (United States)

    Lesmanne, Emeline; Espiau de Lamaestre, Roch; Boutami, Salim; Durantin, Cédric; Dussopt, Laurent; Badano, Giacomo

    2016-09-01

    Multispectral infrared (IR) detection is of great interest to enhance our ability to gather information from a scene. Filtering is a low-cost alternative to the complex multispectral device architectures to which the IR community has devoted much attention. Multilayer dielectric filters are standard in industry, but they require changing the thickness of at least one layer to tune the wavelength. Here, we pursue an approach based on apertures in a metallic layer of fixed thickness, in which the filtered wavelengths are selected by varying the aperture geometry. In particular, we study filters made of at least one sheet of resonating apertures in metal embedded in dielectrics. We will discuss two interesting problems that arise when one attempts to design such filters. First, metallic absorption must be taken into account. Second, the form and size of the pattern is limited by lithography. We will present some design examples and an attempt at explaining the filtering behavior based on the temporal coupled mode theory. That theory models the filter as a resonator interacting with the environment via loss channels. The transmission is solely determined by the loss rates associated with those channels. This model allows us to give a general picture of the filtering performance and compare their characteristics at different wavelength bands.

  3. Exploiting Stretchable Metallic Springs as Compliant Electrodes for Cylindrical Dielectric Elastomer Actuators (DEAs

    Directory of Open Access Journals (Sweden)

    Chien-Hao Liu

    2017-11-01

    Full Text Available In recent years, dielectric elastomer actuators (DEAs have been widely used in soft robots and artificial bio-medical applications. Most DEAs are composed of a thin dielectric elastomer layer sandwiched between two compliant electrodes. DEAs vary in their design to provide bending, torsional, and stretch/contraction motions under the application of high external voltages. Most compliant electrodes are made of carbon powders or thin metallic films. In situations involving large deformations or improper fabrication, the electrodes are susceptible to breakage and increased resistivity. The worst cases result in a loss of conductivity and functional failure. In this study, we developed a method by which to exploit stretchable metallic springs as compliant electrodes for cylindrical DEAs. This design was inspired by the extensibility of mechanical springs. The main advantage of this approach is the fact that the metallic spring-like compliant electrodes remain conductive and do not increase the stiffness as the tube-like DEAs elongate in the axial direction. This can be attributed to a reduction in thickness in the radial direction. The proposed cylindrical structure is composed of highly-stretchable VHB 4905 film folded within a hollow tube and then sandwiched between copper springs (inside and outside to allow for stretching and contraction in the axial direction under the application of high DC voltages. We fabricated a prototype and evaluated the mechanical and electromechanical properties of the device experimentally using a high-voltage source of 9.9 kV. This device demonstrated a non-linear increase in axial stretching with an increase in applied voltage, reaching a maximum extension of 0.63 mm (axial strain of 2.35% at applied voltage of 9.9 kV. Further miniaturization and the incorporation of compressive springs are expected to allow the implementation of the proposed method in soft micro-robots and bio-mimetic applications.

  4. Hydrogenated Graphene Nanoflakes: Semiconductor to Half-Metal Transition and Remarkable Large Magnetism

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Yungang; Wang, Zhiguo; Yang, Ping; Sun, Xin; Zu, Xiaotao; Gao, Fei

    2012-03-08

    The electronic and magnetic properties of graphene nanoflakes (GNFs) can be tuned by patterned adsorption of hydrogen. Controlling the H coverage from bare GNFs to half hydrogenated and then to fully hydrogenated GNFs, the transformation of small-gap semiconductor {yields} half-metal {yields} wide-gap semiconductor occurs, accompanied by a magnetic {yields} magnetic {yields} nonmagnetic transfer and a nonmagnetic {yields} magnetic {yields} nonmagnetic transfer for triangular and hexagonal nanoflakes, respectively. The half hydrogenated GNFs, associated with strong spin polarization around the Fermi level, exhibit the unexpected large spin moment that is scaled squarely with the size of flakes. The induced spin magnetizations of these nanoflakes align parallel and lead to a substantial collective character, enabling the half hydrogenated GNFs to be spin-filtering flakes. These hydrogenation-dependent behaviors are then used to realize an attractive approach to engineer the transport properties, which provides a new route to facilitate the design of tunable spin devices.

  5. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  6. Theory of the optical and microwave properties of metal-dielectric films

    International Nuclear Information System (INIS)

    Sarychev, A.K.; Bergman, D.J.; Yagil, Y.

    1995-01-01

    We present a detailed theoretical study of the high frequency response of thin, metal-dielectric inhomogeneous films. Semicontinuous metal films are normally prepared by thermal evaporation or sputtering of the metal on an insulating substrate. The optical properties of such films show anomalous phenomena, which are absent in both the bulk metal and the bulk insulator. Our approach is based upon a direct solution of Maxwell's equations, without having to invoke the quasi-static approximation. Electric and magnetic fields outside the film are related to the currents inside the film. The electromagnetic properties of semicontinuous films are described by two Ohmic parameters, in contrast with the usual description by a single complex conductivity. Our theory reproduces most of the known experimental data. For example, we are able to explain a prominent absorption band near the percolation threshold, which was observed previously in such systems, as well as some other peculiar features of the reflectance and transmittance. We find that metal-dieletric films can exhibit very interesting properties when there is a strong skin effect in the metal grains. The surface conductivity has a universal value c/(2π) at the percolation threshold. We predict that under such conditions the absorptance A, as a funciton of the metal concentration, is dome shaped with sharp edges. It has a maximum at the percolation threshold and its value at this point is universal, namely A=0.5, while the reflectance R and transmittance T have the equal universal value R=T=0.25. This approach can be extended to semicontinuous superconducting films. Such films are also expected to have a well defined absorption band near the percolation threshold. We believe that such a threshold can be approached not only by decreasing the superconductor concentration but also by increasing the temperature towards and above the critical temperature

  7. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    Science.gov (United States)

    Basu, Raktima; Magudapathy, P.; Sardar, Manas; Pandian, Ramanathaswamy; Dhara, Sandip

    2017-11-01

    Textured VO2(0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet-visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO2. The thermochromism in VO2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO2 applicable as advanced smart windows for overall heat management of a closure.

  8. VO2 microcrystals as an advanced smart window material at semiconductor to metal transition

    International Nuclear Information System (INIS)

    Basu, Raktima; Pandian, Ramanathaswamy; Dhara, Sandip; Magudapathy, P; Sardar, Manas

    2017-01-01

    Textured VO 2 (0 1 1) microcrystals are grown in the monoclinic, M1 phase which undergoes a reversible first order semiconductor to metal transition (SMT) accompanied by a structural phase transition to rutile tetragonal, R phase. Around the phase transition, VO 2 also experiences noticeable change in its optical and electrical properties. A change in color of the VO 2 micro crystals from white to cyan around the transition temperature is observed, which is further understood by absorption of red light using temperature dependent ultraviolet–visible spectroscopic analysis and photoluminescence studies. The absorption of light in the red region is explained by the optical transition between Hubbard states, confirming the electronic correlation as the driving force for SMT in VO 2 . The thermochromism in VO 2 has been studied for smart window applications so far in the IR region, which supports the opening of the band gap in semiconducting phase; whereas there is hardly any report in the management of visible light. The filtering of blue light along with reflection of infrared above the semiconductor to metal transition temperature make VO 2 applicable as advanced smart windows for overall heat management of a closure. (paper)

  9. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  10. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  11. Electrical characteristics of AlO{sub x}N{sub y} prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sang Hun; Jang, Hyeon Woo; Kim, Hyun Soo; Noh, Do Young; Hwang, Hyun Sang [Kwangju Institute of Science and Technology, Kwangju (Korea, Republic of)

    2000-12-01

    In this research, the feasibility of ultrathin AlO{sub x}N{sub y} prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO{sub x}N{sub y}, respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO{sub 2}. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO{sub 2}. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  12. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  13. Laser amplification in excited dielectrics

    DEFF Research Database (Denmark)

    Winkler, Thomas; Haahr-Lillevang, Lasse; Sarpe, Cristian

    2018-01-01

    Wide-bandgap dielectrics such as glasses or water are transparent at visible and infrared wavelengths. This changes when they are exposed to ultrashort and highly intense laser pulses. Different interaction mechanisms lead to the appearance of various transient nonlinear optical phenomena. Using...... these, the optical properties of dielectrics can be controlled from the transparent to the metal-like state. Here we expand this range by a yet unexplored mechanism in excited dielectrics: amplification. In a two-colour pump-probe experiment, we show that a 400nm femtosecond laser pulse is coherently...

  14. Massive photon properties in 3D photonic crystals, filled by dielectrics or metals

    International Nuclear Information System (INIS)

    Gorelik, V S

    2009-01-01

    The optical properties of 3D photonic crystals-artificial opals, consisting of monosized silica globules-have been investigated. The volume between globules was filled by various dielectrics or metals. The dispersion law of electromagnetic waves of this type of crystal has been obtained. It was shown that the sign of photonic mass in globular photonic crystals may be positive or negative for different points on dispersion curves. The value of the effective mass of photons depends on the refractive index of the substance infiltrated into the globular photonic crystal.

  15. Dielectric response and electric modulus of Y{sub 2}CrCoO{sub 6} perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Pecovska-Gjorgjevich, M., E-mail: mpecovska@gmail.com; Popeski-Dimovski, R. [Department of Physics, Faculty of Natural Sciences and Mathematics, “Ss. Cyril and Methodius” University, Arhimedova 3, 1000 Skopje, R. Macedonia (Macedonia, The Former Yugoslav Republic of); Dimitrovska-Lazova, S. [Department of Chemistry, Faculty of Natural Sciences and Mathematics, “Ss. Cyril and Methodius” University, Arhimedova 5, 1000 Skopje, R. Macedonia (Macedonia, The Former Yugoslav Republic of); Aleksovska, S. [Department of Chemistry, Faculty of Natural Sciences and Mathematics, “Ss. Cyril and Methodius” University, Arhimedova 5, 1000 Skopje, R. Macedonia (Macedonia, The Former Yugoslav Republic of); Research Center for Environment and Materials, Macedonian Academy of Sciences and Arts, Bul. “Krste Misirkov” 2, P.O. Box 428, 1000 Skopje, Republic of Macedonia (Macedonia, The Former Yugoslav Republic of)

    2016-03-25

    Y{sub 2}CrCoO{sub 6} perovskite prepared by solution combustion method and sintered at 1073 K has been characterized by dielectric spectroscopy and electric modulus formalism. Temperature and frequency dependent measurements of permitivitty reveal that observed relaxation might be related to the hopping conductivity, i.e. universal dielectric response. The presence of electrode polarization is dominant at low frequencies. The electric modulus dependencies enable us to distinguish and separate the relaxation processes connected to the conduction processes in the material. The presences of both grain and grain boundary effects are established, each dominant in different frequency and temperature range. The conductivity through grain boundaries obeys metalic behavior, while conductivity through grains shows semiconductor behavior. The electrical behavior of this material depends on the differences in (Cr-O) and (Co-O) bond lenghts, Co{sup 3+} being in the low-spin state, resulting in shorter Co-O and thus stronger π bonding e.g. more efficient overlapping of the Co{sup 3+} d-orbitals with oxygen p{sub π} orbitals.

  16. Using metal complex-labeled peptides for charge transfer-based biosensing with semiconductor quantum dots

    Science.gov (United States)

    Medintz, Igor L.; Pons, Thomas; Trammell, Scott A.; Blanco-Canosa, Juan B.; Dawson, Philip E.; Mattoussi, Hedi

    2009-02-01

    Luminescent colloidal semiconductor quantum dots (QDs) have unique optical and photonic properties and are highly sensitive to charge transfer in their surrounding environment. In this study we used synthetic peptides as physical bridges between CdSe-ZnS core-shell QDs and some of the most common redox-active metal complexes to understand the charge transfer interactions between the metal complexes and QDs. We found that QD emission underwent quenching that was highly dependent on the choice of metal complex used. We also found that quenching traces the valence or number of metal complexes brought into close proximity of the nanocrystal surface. Monitoring of the QD absorption bleaching in the presence of the metal complex provided insight into the charge transfer mechanism. The data suggest that two distinct charge transfer mechanisms can take place. One directly to the QD core states for neutral capping ligands and a second to surface states for negatively charged capping ligands. A basic understanding of the proximity driven charge-transfer and quenching interactions allowed us to construct proteolytic enzyme sensing assemblies with the QD-peptide-metal complex conjugates.

  17. Imaging optical fields below metal films and metal-dielectric waveguides by a scanning microscope

    Science.gov (United States)

    Zhu, Liangfu; Wang, Yong; Zhang, Douguo; Wang, Ruxue; Qiu, Dong; Wang, Pei; Ming, Hai; Badugu, Ramachandram; Rosenfeld, Mary; Lakowicz, Joseph R.

    2017-09-01

    Laser scanning confocal fluorescence microscopy (LSCM) is now an important method for tissue and cell imaging when the samples are located on the surfaces of glass slides. In the past decade, there has been extensive development of nano-optical structures that display unique effects on incident and transmitted light, which will be used with novel configurations for medical and consumer products. For these applications, it is necessary to characterize the light distribution within short distances from the structures for efficient detection and elimination of bulky optical components. These devices will minimize or possibly eliminate the need for free-space light propagation outside of the device itself. We describe the use of the scanning function of a LSCM to obtain 3D images of the light intensities below the surface of nano-optical structures. More specifically, we image the spatial distributions inside the substrate of fluorescence emission coupled to waveguide modes after it leaks through thin metal films or dielectric-coated metal films. The observed spatial distribution were in general agreement with far-field calculations, but the scanning images also revealed light intensities at angles not observed with classical back focal plane imaging. Knowledge of the subsurface optical intensities will be crucial in the combination of nano-optical structures with rapidly evolving imaging detectors.

  18. Tuning the dielectric properties of thiourea analog crystals for efficient nonlinear optical applications

    International Nuclear Information System (INIS)

    Sabari Girisun, T.C.; Dhanuskodi, S.

    2010-01-01

    Materials with low dielectric constant have attracted a great deal of interest in the field of nonlinear applications and microelectronic industry. Metal complexes of thiourea with group II transition metals (Zn, Cd) as central atom and period III elements (S, Cl) were synthesized by chemical reaction method and single crystals were grown from aqueous solution by slow evaporation method. By parallel plate capacitor technique, the dielectric response, dissipation factor, ac conductivity and impedance of virgin and metal complexes have been studied in the frequency (100 Hz to 5 MHz) and temperature (303-423 K) ranges. Metal complexes of thiourea with cadmium substitute have a low dielectric constant less than 10. Also the presence of chlorine in the metal complex induces noncentro symmetric structure. Hence the role of group II transition metals and period III elements in tuning the dielectric properties for efficient nonlinear applications has been studied.

  19. On the possibility of superluminal energy propagation in a hyperbolic metamaterial of metal-dielectric layers

    Directory of Open Access Journals (Sweden)

    Pi-Gang Luan

    2018-01-01

    Full Text Available The energy propagation of electromagnetic fields in the effective medium of a one-dimensional photonic crystal consisting of dielectric and metallic layers is investigated. We show that the medium behaves like Drude and Lorentz medium, respectively, when the electric field is parallel and perpendicular to the layers. For arbitrary time-varying electromagnetic fields in this medium, the energy density formula is derived. We prove rigorously that the group velocity of any propagating mode obeying the hyperbolic dispersion must be slower than the speed of light in vacuum, taking into account the frequency dependence of the permittivity tensor. That is, it is not possible to have superluminal propagation in this dispersive hyperbolic medium consisting of real dielectric and metallic material layers. The propagation velocity of a wave packet is also studied numerically. This packet velocity is very close to the velocity of the propagating mode having the central frequency and central wave vector of the wave packet. When the frequency spread of the wave packet is not narrow enough, small discrepancy between these two velocities manifests, which is caused by the non-penetration effect of the evanescent modes. This work reveals that no superluminal phenomenon can happen in a dispersive anisotropic metamaterial medium made of real materials.

  20. Exploring the Room-Temperature Ferromagnetism and Temperature-Dependent Dielectric Properties of Sr/Ni-Doped LaFeO3 Nanoparticles Synthesized by Reverse Micelle Method

    Science.gov (United States)

    Naseem, Swaleha; Khan, Shakeel; Husain, Shahid; Khan, Wasi

    2018-03-01

    This paper reports the thermal, microstructural, dielectric and magnetic properties of La0.75Sr0.25Fe0.65Ni0.35O3 nanoparticles (NPs) synthesized via reverse micelle technique. The thermogravimetric analysis of as-prepared NPs confirmed a good thermal stability of the sample. Powder x-ray diffraction data analyzed with a Rietveld refinement technique revealed single-phase and orthorhombic distorted perovskite crystal structure of the NPs having Pbnm space group. The transmission electron microscopy images show the crystalline nature and formation of nanostructures with a fairly uniform distribution of particles throughout the sample. Temperature-dependent dielectric properties of the NPs in accordance with the Kramers-Kronig transformation (KKT) model, universal dielectric response model and jump relaxation model have been discussed. Electrode or interface polarization is likely the cause of the observed dielectric behavior. Due to grain boundaries and Schottky barriers of the metallic electrodes of semiconductors, the depletion region is observed, which gives rise to Maxwell-Wagner relaxation and hence high dielectric constants. Magnetic studies revealed the ferromagnetic nature of the prepared NPs upon Sr and Ni doping in LaFeO3 perovskite at room temperature. Therefore, these NPs could be a potential candidate as electrode material in solid oxide fuel cells.

  1. Synthesis and characterization of a new organic semiconductor material

    Energy Technology Data Exchange (ETDEWEB)

    Tiffour, Imane [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); Dehbi, Abdelkader [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Mourad, Abdel-Hamid I., E-mail: ahmourad@uaeu.ac.ae [Mechanical Engineering Department, Faculty of Engineering, United Arab Emirates University, Al-Ain, P.O. Box 15551 (United Arab Emirates); Belfedal, Abdelkader [Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); LPCMME, Département de Physique, Université d' Oran Es-sénia, 3100 Oran (Algeria)

    2016-08-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε{sub r}, the activation energy E{sub a}, the optical transmittance T and the gap energy E{sub g} have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10{sup −5} S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10{sup −4} S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ{sub max}) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  2. Synthesis and characterization of a new organic semiconductor material

    International Nuclear Information System (INIS)

    Tiffour, Imane; Dehbi, Abdelkader; Mourad, Abdel-Hamid I.; Belfedal, Abdelkader

    2016-01-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε_r, the activation energy E_a, the optical transmittance T and the gap energy E_g have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10"−"5 S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10"−"4 S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ_m_a_x) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  3. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    Science.gov (United States)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  4. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  5. Experimental installation for excitation of semiconductors and dielectrics by picosecond pulsed electron beam and electric field

    International Nuclear Information System (INIS)

    Nasibov, A.S.; Berezhnoj, K.V.; Shapkin, P.V.; Reutova, A.G.; Shunajlov, S.A.; Yalandin, M.I.

    2009-01-01

    The experimental facility for shaping high-voltage pulses with amplitudes of 30-250 kV and durations of 100-500 ps and electron beams with a current density of up to 1000 A/cm -2 is described. The facility was built using the principle of energy compression of a pulse from a nanosecond high-voltage generator accompanied by the subsequent pulse sharpening and cutting. The setup is equipped with two test coaxial chambers for radiation excitation in semiconductor crystals by an electron beam or an electric field in air at atmospheric pressure and T = 300 K. Generation of laser radiation in the visible range under field and electron pumping was attained in ZnSSe, ZnSe, ZnCdS, and CdS (462, 480, 515, and 525 nm, respectively). Under the exposure to an electric field (up to 10 6 V x cm -1 ), the laser generation region is as large as 300-500 μm. The radiation divergence was within 5 Deg C. The maximum integral radiation power (6 kW at λ = 480 nm) was obtained under field pumping of a zinc selenide sample with a single dielectric mirror [ru

  6. Magneto-Induced ac Electrical Permittivity of Metal-Dielectric Composites with a Two Characteristic Length Scales Periodic Microstructure

    International Nuclear Information System (INIS)

    Strelniker, Y.M.; Bergman, D.J.

    1998-01-01

    A new effect was recently predicted in conducting composites that have a periodic microstructure: an induced strongly anisotropic dc magneto-resistance. This phenomenon is already verified on high mobility n-GaAs films. Here we discuss the possibility of observing analogous behavior in the ac electric permittivity of a metal-dielectric composite with a periodic microstructure in the presence of a strong magnetic field. We developed new analytical and numerical methods to treat the low-frequency magneto-optical properties in composite media with both disordered and periodic conducting micro-structures. Those methods allow us to study composites with inclusions of arbitrary shape (and arbitrary volume fraction) at arbitrarily strong magnetic field. This is exploited in order to calculate an effective dielectric tensor for this system as a function of applied magnetic field and ac frequency. We show that in a non-dilute metal-dielectric composite medium the magneto-plasma resonance and the cyclotron resonance depend upon both the applied magnetic field as well as on the geometric shape of the inclusion. Near such a resonance, it is possible to achieve large values for the ratio of the off-diagonal-to-diagonal electric permittivity tensor components, ε xy /ε xx , (since ε xx →0, while ε xy ≠0), which is analogous to similar ratio of the resistivity tensor components, ρ xy /ρ xx , in the case of dc magneto-transport problem. Motivated by this observation and by results of previous studies of dc magneto-transport in composite conductors, we then performed a numerical study of the ac magneto-electric properties of a particular metal-dielectric composite film with a periodic columnar microstructure which has a two characteristic length scales. The unit cell of such composite is prepared as follows: We placed the conducting square (in cross section) rods (first characteristic length scale) along the perimeter of the unit cell in order to create a dielectric host

  7. Synthesis and Characterization of Colloidal Metal and Photovoltaic Semiconductor Nanocrystals

    KAUST Repository

    Abulikemu, Mutalifu

    2014-11-05

    Metal and semiconducting nanocrystals have received a great deal of attention from fundamental scientists and application-oriented researchers due to their physical and chemical properties, which differ from those of bulk materials. Nanocrystals are essential building blocks in the development of nanostructured devices for energy conversion. Colloidal metals and metal chalcogenides have been developed for use as nanocrystal inks to produce efficient solar cells with lower costs. All high-performing photovoltaic nanocrystals contain toxic elements, such as Pb, or scarce elements, such as In; thus, the production of solution-processable nanocrystals from earth-abundant materials using environmentally benign synthesis and processing methods has become a major challenge for the inorganic semiconductor-based solar field. This dissertation, divided into two parts, addresses several aspects of these emerging challenges. The first portion of the thesis describes the synthesis and characterization of nanocrystals of antimony sulfide, which is composed of non-scarce and non-toxic elements, and examines their performance in photovoltaic devices. The effect of various synthetic parameters on the final morphology is explored. The structural, optical and morphological properties of the nanocrystals were investigated, and Sb2S3 nanocrystal-based solid-state semiconductor-sensitized solar cells were fabricated using different deposition processes. We achieved promising power conversion efficiencies of 1.48%. The second part of the thesis demonstrates a novel method for the in situ synthesis and patterning of nanocrystals via reactive inkjet printing. The use of low-cost manufacturing approaches for the synthesis of nanocrystals is critical for many applications, including photonics and electronics. In this work, a simple, low-cost method for the synthesis of nanocrystals with minimum size variation and waste using reactive inkjet printing is introduced. As a proof of concept, the

  8. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  9. Solar hydrogen production with semiconductor metal oxides: new directions in experiment and theory

    DEFF Research Database (Denmark)

    Valdes, Alvaro; Brillet, Jeremie; Graetzel, Michael

    2012-01-01

    An overview of a collaborative experimental and theoretical effort toward efficient hydrogen production via photoelectrochemical splitting of water into di-hydrogen and di-oxygen is presented here. We present state-of-the-art experimental studies using hematite and TiO2 functionalized with gold n...... nanoparticles as photoanode materials, and theoretical studies on electro and photo-catalysis of water on a range of metal oxide semiconductor materials, including recently developed implementation of self-interaction corrected energy functionals....

  10. Direct Observation of the Pressure-Induced Semiconductor-To-Metal Transition in Yb Monochalcogenides

    International Nuclear Information System (INIS)

    Matsunami, M.; Chen, L.; Nanba, T.; Ochiai, A.

    2003-01-01

    We have measured infrared absorption spectra under pressure and reflectivity spectra of YbS in the wide photon energy range from 7 meV to 30 eV. The absorption edge shifts linearly toward lower energy with pressure, and above 11 GPa it disappeared in the infrared energy region. The results are considered to correspond to the development of a f-d mixing above this pressure, which lead to an occurrence of the semiconductor-to- metal transition. (author)

  11. Applicability of point-dipoles approximation to all-dielectric metamaterials

    DEFF Research Database (Denmark)

    Kuznetsova, S. M.; Andryieuski, Andrei; Lavrinenko, Andrei

    2015-01-01

    All-dielectric metamaterials consisting of high-dielectric inclusions in a low-dielectric matrix are considered as a low-loss alternative to resonant metal-based metamaterials. In this paper we investigate the applicability of the point electric and magnetic dipoles approximation to dielectric meta......-atoms on the example of a dielectric ring metamaterial. Despite the large electrical size of high-dielectric meta-atoms, the dipole approximation allows for accurate prediction of the metamaterials properties for the rings with diameters up to approximate to 0.8 of the lattice constant. The results provide important...... guidelines for design and optimization of all-dielectric metamaterials....

  12. The interface of the ferromagnetic metal CoS2 and the nonmagnetic semiconductor FeS2

    KAUST Repository

    Nazir, S.

    2010-11-05

    The electronic and magnetic properties of the cubic pyriteCoS2/FeS2interface are studied using the all-electron full-potential linearized augmented plane wave method. We find that this contact between a ferromagneticmetal and a nonmagnetic semiconductor shows a metallic character. The CoS2 stays close to half-metallicity at the interface, while the FeS2 becomes metallic. The magnetic moment of the Co atoms at the interface slightly decreases as compared to the bulk value and a small moment is induced on the Fe atoms. Furthermore, at the interfaceferromagnetic ordering is found to be energetically favorable as compared to antiferromagnetic ordering.

  13. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji-Won; Rondinone, Adam Justin; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2017-09-19

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component comprising at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  14. Microbially-mediated method for synthesis of non-oxide semiconductor nanoparticles

    Science.gov (United States)

    Phelps, Tommy J.; Lauf, Robert J.; Moon, Ji Won; Rondinone, Adam J.; Love, Lonnie J.; Duty, Chad Edward; Madden, Andrew Stephen; Li, Yiliang; Ivanov, Ilia N.; Rawn, Claudia Jeanette

    2014-06-24

    The invention is directed to a method for producing non-oxide semiconductor nanoparticles, the method comprising: (a) subjecting a combination of reaction components to conditions conducive to microbially-mediated formation of non-oxide semiconductor nanoparticles, wherein said combination of reaction components comprises i) anaerobic microbes, ii) a culture medium suitable for sustaining said anaerobic microbes, iii) a metal component comprising at least one type of metal ion, iv) a non-metal component containing at least one non-metal selected from the group consisting of S, Se, Te, and As, and v) one or more electron donors that provide donatable electrons to said anaerobic microbes during consumption of the electron donor by said anaerobic microbes; and (b) isolating said non-oxide semiconductor nanoparticles, which contain at least one of said metal ions and at least one of said non-metals. The invention is also directed to non-oxide semiconductor nanoparticle compositions produced as above and having distinctive properties.

  15. Multi-wavelength metal-dielectric nonpolarizing beam splitters in the near-infrared range

    Science.gov (United States)

    Hui Shi, Jin; Ping Wang, Zheng; Ying Guan, Chun; Yang, Jun; Shu Fu, Tian

    2011-04-01

    A 21-layer multi-wavelength metal-dielectric nonpolarizing cube beam splitter was designed by use of an optimization method and theoretically investigated in the near-infrared range. The angular dependence of the reflectance and differential phases induced by reflection and transmission were presented. The simulation results revealed that the non-polarizing effect could be achieved for both the amplitude and phase characteristics at 1310 and 1550 nm. The differences between the simulated and the target reflectance of 50% are less than 2% and differential phases are less than 5°in the range 1300-1320 nm and 1540-1550 nm for both p- and s-components.

  16. Electrical properties of nanosized non-barrier inhomogeneities in Zn-based metal-semiconductor contacts to InP

    DEFF Research Database (Denmark)

    Clausen, Thomas; Leistiko, Otto

    1998-01-01

    We have found that the electrical properties of carriers across the metal-semiconductor interface for alloyed Zn based metallizations to n- and p-InP are dominated by nanosized non-barrier inhomogeneities. The effective area covered by the nanosized regions is a small fraction of the contact area...... resulting in high values of the specific contact resistance to p-InP. For n(-)-InP, thermionic emission across nanosized inhomogeneities dominates the carrier flow when T-ann > 440 degrees C. (C) 1998 Elsevier Science B.V....

  17. Dielectric Behavior of Low Microwave Loss Unit Cell for All Dielectric Metamaterial

    Directory of Open Access Journals (Sweden)

    Tianhuan Luo

    2015-01-01

    Full Text Available With a deep study of the metamaterial, its unit cells have been widely extended from metals to dielectrics. The dielectric based unit cells attract much attention because of the advantage of easy preparation, tunability, and higher frequency response, and so forth. Using the conventional solid state method, we prepared a kind of incipient ferroelectrics (calcium titanate, CaTiO3 with higher microwave permittivity and lower loss, which can be successfully used to construct metamaterials. The temperature and frequency dependence of dielectric constant are also measured under different sintering temperatures. The dielectric spectra showed a slight permittivity decrease with the increase of temperature and exhibited a loss of 0.0005, combined with a higher microwave dielectric constant of ~167 and quality factor Q of 2049. Therefore, CaTiO3 is a kind of versatile and potential metamaterial unit cell. The permittivity of CaTiO3 at higher microwave frequency was also examined in the rectangular waveguide and we got the permittivity of 165, creating a new method to test permittivity at higher microwave frequency.

  18. The rates of charge separation and energy destructive charge recombination processes within an organic dyad in presence of metal-semiconductor core shell nanocomposites.

    Science.gov (United States)

    Mandal, Gopa; Bhattacharya, Sudeshna; Das, Subrata; Ganguly, Tapan

    2012-01-01

    Steady state and time resolved spectroscopic measurements were made at the ambient temperature on an organic dyad, 1-(4-Chloro-phenyl)-3-(4-methoxy-naphthalen-1-yl)-propenone (MNCA), where the donor 1-methoxynaphthalene (1 MNT) is connected with the acceptor p-chloroacetophenone (PCA) by an unsaturated olefinic bond, in presence of Ag@TiO2 nanoparticles. Time resolved fluorescence and absorption measurements reveal that the rate parameters associated with charge separation, k(CS), within the dyad increases whereas charge recombination rate k(CR) reduces significantly when the surrounding medium is changed from only chloroform to mixture of chloroform and Ag@TiO2 (noble metal-semiconductor) nanocomposites. The observed results indicate that the dyad being combined with core-shell nanocomposites may form organic-inorganic nanocomposite system useful for developing light energy conversion devices. Use of metal-semiconductor nanoparticles may provide thus new ways to modulate charge recombination processes in light energy conversion devices. From comparison with the results obtained in our earlier investigations with only TiO2 nanoparticles, it is inferred that much improved version of light energy conversion device, where charge-separated species could be protected for longer period of time of the order of millisecond, could be designed by using metal-semiconductor core-shell nanocomposites rather than semiconductor nanoparticles only.

  19. Metal complexes of alkyl-aryl dithiocarbamates: Structural studies, anticancer potentials and applications as precursors for semiconductor nanocrystals

    Science.gov (United States)

    Andrew, Fartisincha P.; Ajibade, Peter A.

    2018-03-01

    Dithiocarbamates are versatile ligands able to stabilize wide range of metal ions in their various oxidation states with the partial double bond character of Csbnd N and Csbnd S of thioureide moiety. Variation of the substituents attached to the nitrogen atom of dithiocarbamate moiety generates various intermolecular interactions, which lead to different structural arrangement in the solid state. The presence of bulky substituents on the N atom obviates the supramolecular aggregation via secondary Msbnd S interactions whereas smaller substituents encourage such aggregation that results in their wide properties and applications. Over the past decades, the synthesis and structural studies of metal complexes of dithiocarbamates have received considerable attention as potential anticancer agents with various degree of DNA binding affinity and cytotoxicity and as single molecule precursors for the preparation of semiconductor nanocrystals. In this paper, we review the synthesis, structural studies, anticancer potency and the use of alkyl-phenyl dithiocarbamate complexes as precursors for the preparation of semiconductor nanocrystals. The properties of these compounds and activities are ascribed to be due to either the dithiocarbamate moieties, the nature or type of the substituents around the dithiocarbamate backbone and the central metal ions or combination of these factors.

  20. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    Science.gov (United States)

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  1. Surface waves on metal-dielectric metamaterials

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    In this paper we analyze surface electromagnetic waves supported at an interface between an isotropic medium and an effective anisotropic material that can be realized by alternating conductive and dielectric layers with deep subwavelength thicknesses. This configuration can host various types...

  2. Spin injection and transport in semiconductor and metal nanostructures

    Science.gov (United States)

    Zhu, Lei

    In this thesis we investigate spin injection and transport in semiconductor and metal nanostructures. To overcome the limitation imposed by the low efficiency of spin injection and extraction and strict requirements for retention of spin polarization within the semiconductor, novel device structures with additional logic functionality and optimized device performance have been developed. Weak localization/antilocalization measurements and analysis are used to assess the influence of surface treatments on elastic, inelastic and spin-orbit scatterings during the electron transport within the two-dimensional electron layer at the InAs surface. Furthermore, we have used spin-valve and scanned probe microscopy measurements to investigate the influence of sulfur-based surface treatments and electrically insulating barrier layers on spin injection into, and spin transport within, the two-dimensional electron layer at the surface of p-type InAs. We also demonstrate and analyze a three-terminal, all-electrical spintronic switching device, combining charge current cancellation by appropriate device biasing and ballistic electron transport. The device yields a robust, electrically amplified spin-dependent current signal despite modest efficiency in electrical injection of spin-polarized electrons. Detailed analyses provide insight into the advantages of ballistic, as opposed to diffusive, transport in device operation, as well as scalability to smaller dimensions, and allow us to eliminate the possibility of phenomena unrelated to spin transport contributing to the observed device functionality. The influence of the device geometry on magnetoresistance of nanoscale spin-valve structures is also demonstrated and discussed. Shortcomings of the simplified one-dimensional spin diffusion model for spin valve are elucidated, with comparison of the thickness and the spin diffusion length in the nonmagnetic channel as the criterion for validity of the 1D model. Our work contributes

  3. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  4. Application of Learning Methods to Local Electric Field Distributions in Defected Dielectric Materials

    Science.gov (United States)

    Ferris, Kim; Jones, Dumont

    2014-03-01

    Local electric fields reflect the structural and dielectric fluctuations in a semiconductor, and affect the material performance both for electron transport and carrier lifetime properties. In this paper, we use the LOCALF methodology with periodic boundary conditions to examine the local electric field distributions and its perturbations for II-VI (CdTe, Cd(1-x)Zn(x)Te) semiconductors, containing Te inclusions and small fluctuations in the local dielectric susceptibility. With inclusion of the induced-field term, the electric field distribution shows enhancements and diminishments compared to the macroscopic applied field, reflecting the microstructure characteristics of the dielectric. Learning methods are applied to these distributions to assess the spatial extent of the perturbation, and determine an electric field defined defect size as compared to its physical dimension. Critical concentrations of defects are assessed in terms of defect formation energies. This work was supported by the US Department of Homeland Security, Domestic Nuclear Detection Office, under competitively awarded contract/IAA HSHQDC-08-X-00872-e. This support does not constitute an express or implied endorsement on the part of the Gov't.

  5. Conduction band-edge d-states in high-k dielectrics due to Jahn-Teller term splittings

    International Nuclear Information System (INIS)

    Lucovsky, G.; Fulton, C.C.; Zhang, Y.; Luning, J.; Edge, L.; Whitten, J.L.; Nemanich, R.J.; Schlom, D.G.; Afanase'v, V.V.

    2005-01-01

    X-ray absorption spectroscopy (XAS) is used to study conduction band edge electronic structure of high-k transition metal (TM) and trivalent lanthanide series rare earth (RE) oxide dielectrics. Empty TM/RE d-states are studied by intra-atomic transitions originating in core level spin-orbit split p-states, and conduction band states are studied in inter-atomic transitions which originate in the oxygen atom 1s core level state. In non-crystalline Zr and Hf silicate alloys, the local bonding symmetry, or crystal field splits these d-states into doubly and triply degenerate features. In nano-crystalline oxides, there are additional d-state splittings due to contributions of more distant neighbors that completely remove d-state degeneracies via the Jahn-Teller effect mechanism. This gives rise to highly localized band edge states that are electronically active in photoconductivity, internal photoemission, and act as bulk traps in metal oxide semiconductor (MOS) devices

  6. Characterization of metal-functionalized flax orbitide as a new candidate for light-emitting semiconductor

    International Nuclear Information System (INIS)

    Bauer, Robert; Bazylewski, Paul; Chang, Gap Soo; Jadhav, Pramodkumar; Shen, Jianheng; Okinyo-Owiti, Denis Paskal; Reaney, Martin; Yang, Jian; Sammynaiken, Ramaswami

    2015-01-01

    Organic materials display promise in numerous electronic applications, complimentary to traditional semi-conducting materials. Cyclolinopeptides show promise in light-emitting applications as an organic semiconductor. Photoluminescence measurements indicate charge transfer between the peptide and the metal, resulting in an increase in intensity of the emission from around the metal in the Cyclolinopeptide complex. Complementary X-ray absorption near-edge spectroscopy (XANES) shows a change in occupation of energy states in the peptide when complexed with the metal, indicating charge transfer, but peak positions show the peptide is not chemically changed by the metal. Combining X-ray emission and XANES provides element specific partial density of states, to estimate the element specific energy gap which is the proposed emission range for the peptide material. Organic light emitting diode devices have been fabricated, although no measurable emission has been seen as of yet. The devices have diode like current-voltage characteristics showing the peptide is semi-conducting with a threshold voltage of approximately 2.5 V. (paper)

  7. Spin-transport-phenomena in metals, semiconductors, and insulators

    Energy Technology Data Exchange (ETDEWEB)

    Althammer, Matthias Klaus

    2012-07-19

    Assuming that one could deterministically inject, transport, manipulate, store and detect spin information in solid state devices, the well-established concepts of charge-based electronics could be transferred to the spin realm. This thesis explores the injection, transport, manipulation and storage of spin information in metallic conductors, semiconductors, as well as electrical insulators. On the one hand, we explore the spin-dependent properties of semiconducting zinc oxide thin films deposited via laser-molecular beam epitaxy (laser-MBE). After demonstrating that the zinc oxide films fabricated during this thesis have excellent structural, electrical, and optical properties, we investigate the spin-related properties by optical pump/probe, electrical injection/optical detection, and all electrical spin valve-based experiments. The two key results from these experiments are: (i) Long-lived spin states with spin dephasing times of 10 ns at 10 K related to donor bound excitons can be optically addressed. (ii) The spin dephasing times relevant for electrical transport-based experiments are {<=} 2 ns at 10 K and are correlated with structural quality. On the other hand we focus on two topics of current scientific interest: the comparison of the magnetoresistance to the magnetothermopower of conducting ferromagnets, and the investigation of pure spin currents generated in ferromagnetic insulator/normal metal hybrid structures. We investigate the magnetoresistance and magnetothermopower of gallium manganese arsenide and Heusler thin films as a function of external magnetic field orientation. Using a series expansion of the resistivity and Seebeck tensors and the inherent symmetry of the sample's crystal structure, we show that a full quantitative extraction of the transport tensors from such experiments is possible. Regarding the spin currents in ferromagnetic insulator/normal metal hybrid structures we studied the spin mixing conductance in yttrium iron garnet

  8. Design and analysis of metal-dielectric nonpolarizing beam splitters in a glass cube.

    Science.gov (United States)

    Shi, Jin Hui; Guan, Chun Ying; Wang, Zheng Ping

    2009-06-20

    A novel design of a 25-layer metal-dielectric nonpolarizing beam splitter in a cube is proposed by use of the optimization method and is theoretically investigated. The simulations of the reflectance and differential phases induced by reflection and transmission are presented. The simulation results reveal that both the amplitude and the phase characteristics of the nonpolarizing beam splitter could realize the design targets, the differences between the simulated and the target reflectance of 50% are less than 2%, and the differential phases are less than 3 degrees in the range of 530 nm-570 nm for both p and s components.

  9. A novel planar vertical double-diffused metal-oxide-semiconductor field-effect transistor with inhomogeneous floating islands

    Institute of Scientific and Technical Information of China (English)

    Ren Min; Li Ze-Hong; Liu Xiao-Long; Xie Jia-Xiong; Deng Guang-Min; Zhang Bo

    2011-01-01

    A novel planar vertical double-diffused metal-oxide-semiconductor (VDMOS) structure with an ultra-low specific on-resistance (Ron,sp),whose distinctive feature is the use of inhomogeneous floating p-islands in the n-drift region,is proposed.The theoretical limit of its Ron,sp is deduced,the influence of structure parameters on the breakdown voltage (BV) and Ron,sp are investigated,and the optimized results with BV of 83 V and Ron,sp of 54 mΩ.mm2 are obtained.Simulations show that the inhomogencous-floating-islands metal-oxide-semiconductor field-effect transistor (MOSFET)has a superior “Ron,sp/BV” trade-off to the conventional VDMOS (a 38% reduction of Ron,sp with the same BV) and the homogeneous-floating-islands MOSFET (a 10% reduction of Ron,sp with the same BV).The inhomogeneous-floatingislands MOSFET also has a much better body-diode characteristic than the superjunction MOSFET.Its reverse recovery peak current,reverse recovery time and reverse recovery charge are about 50,80 and 40% of those of the superjunction MOSFET,respectively.

  10. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  11. Ferromagnetic semiconductor-metal transition in heterostructures of europium monoxide

    Energy Technology Data Exchange (ETDEWEB)

    Stollenwerk, Tobias; Kroha, Johann [Physikalisches Institut der Universitaet Bonn (Germany)

    2012-07-01

    Experiments on thin films of electron doped europium monoxide show a simultaneous ferromagnetic semiconductor-metal transition which goes along with a huge drop in resistivity over several orders of magnitude. Therefore, this material is a very promising candidate for spintronics applications. We have developed a theory which correctly predicts the simultaneous phase transition in thin films of electron doped EuO and the increase of the Curie temperature T{sub C} with doping concentration. The origin of the increased T{sub C} lies in the enhanced RKKY interaction between the localized 4f moments of the Eu atoms. Therefore, the phase transition is controlled by the population of the conduction band. We investigate the influence of film thickness and interface effects on the population of the conduction band and on the magnetic and electronic properties of the EuO film.

  12. Demonstration of hetero-gate-dielectric tunneling field-effect transistors (HG TFETs).

    Science.gov (United States)

    Choi, Woo Young; Lee, Hyun Kook

    2016-01-01

    The steady scaling-down of semiconductor device for improving performance has been the most important issue among researchers. Recently, as low-power consumption becomes one of the most important requirements, there have been many researches about novel devices for low-power consumption. Though scaling supply voltage is the most effective way for low-power consumption, performance degradation is occurred for metal-oxide-semiconductor field-effect transistors (MOSFETs) when supply voltage is reduced because subthreshold swing (SS) of MOSFETs cannot be lower than 60 mV/dec. Thus, in this thesis, hetero-gate-dielectric tunneling field-effect transistors (HG TFETs) are investigated as one of the most promising alternatives to MOSFETs. By replacing source-side gate insulator with a high- k material, HG TFETs show higher on-current, suppressed ambipolar current and lower SS than conventional TFETs. Device design optimization through simulation was performed and fabrication based on simulation demonstrated that performance of HG TFETs were better than that of conventional TFETs. Especially, enlargement of gate insulator thickness while etching gate insulator at the source side was improved by introducing HF vapor etch process. In addition, the proposed HG TFETs showed higher performance than our previous results by changing structure of sidewall spacer by high- k etching process.

  13. Organic semiconductor heterojunctions and its application in organic light-emitting diodes

    CERN Document Server

    Ma, Dongge

    2017-01-01

    This book systematically introduces the most important aspects of organic semiconductor heterojunctions, including the basic concepts and electrical properties. It comprehensively discusses the application of organic semiconductor heterojunctions as charge injectors and charge generation layers in organic light-emitting diodes (OLEDs). Semiconductor heterojunctions are the basis for constructing high-performance optoelectronic devices. In recent decades, organic semiconductors have been increasingly used to fabricate heterojunction devices, especially in OLEDs, and the subject has attracted a great deal of attention and evoked many new phenomena and interpretations in the field. This important application is based on the low dielectric constant of organic semiconductors and the weak non-covalent electronic interactions between them, which means that they easily form accumulation heterojunctions. As we know, the accumulation-type space charge region is highly conductive, which is an important property for high...

  14. Hysteresis in Lanthanide Aluminum Oxides Observed by Fast Pulse CV Measurement

    Directory of Open Access Journals (Sweden)

    Chun Zhao

    2014-10-01

    Full Text Available Oxide materials with large dielectric constants (so-called high-k dielectrics have attracted much attention due to their potential use as gate dielectrics in Metal Oxide Semiconductor Field Effect Transistors (MOSFETs. A novel characterization (pulse capacitance-voltage method was proposed in detail. The pulse capacitance-voltage technique was employed to characterize oxide traps of high-k dielectrics based on the Metal Oxide Semiconductor (MOS capacitor structure. The variation of flat-band voltages of the MOS structure was observed and discussed accordingly. Some interesting trapping/detrapping results related to the lanthanide aluminum oxide traps were identified for possible application in Flash memory technology. After understanding the trapping/detrapping mechanism of the high-k oxides, a solid foundation was prepared for further exploration into charge-trapping non-volatile memory in the future.

  15. Secondary electron emission from metals and semi-conductor compounds

    International Nuclear Information System (INIS)

    Ono, Susumu; Kanaya, Koichi

    1979-01-01

    Attempt was made to present the sufficient solution of the secondary electron yield of metals and semiconductor compounds except insulators, applying the free electron scattering theory to the absorption of secondary electrons generated within a solid target. The paper is divided into the sections describing absorption coefficient and escape depth, quantitative characteristics of secondary yield, angular distribution of secondary electron emission, effect of incident angle to secondary yield, secondary electron yield transmitted, and lateral distribution of secondary electron emission, besides introduction and conclusion. The conclusions are as follows. Based on the exponential power law for screened atomic potential, secondary electron emission due to both primary and backscattered electrons penetrating into metallic elements and semi-conductive compounds is expressed in terms of the ionization loss in the first collision for escaping secondary electrons. The maximum yield and the corresponding primary energy can both consistently be derived as the functions of three parameters: atomic number, first ionization energy and backscattering coefficient. The yield-energy curve as a function of the incident energy and the backscattering coefficient is in good agreement with the experimental results. The energy dependence of the yield in thin films and the lateral distribution of secondary yield are derived as the functions of the backscattering coefficient and the primary energy. (Wakatsuki, Y.)

  16. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  17. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  18. Resonant cavity light-emitting diodes based on dielectric passive cavity structures

    Science.gov (United States)

    Ledentsov, N.; Shchukin, V. A.; Kropp, J.-R.; Zschiedrich, L.; Schmidt, F.; Ledentsov, N. N.

    2017-02-01

    A novel design for high brightness planar technology light-emitting diodes (LEDs) and LED on-wafer arrays on absorbing substrates is proposed. The design integrates features of passive dielectric cavity deposited on top of an oxide- semiconductor distributed Bragg reflector (DBR), the p-n junction with a light emitting region is introduced into the top semiconductor λ/4 DBR period. A multilayer dielectric structure containing a cavity layer and dielectric DBRs is further processed by etching into a micrometer-scale pattern. An oxide-confined aperture is further amended for current and light confinement. We study the impact of the placement of the active region into the maximum or minimum of the optical field intensity and study an impact of the active region positioning on light extraction efficiency. We also study an etching profile composed of symmetric rings in the etched passive cavity over the light emitting area. The bottom semiconductor is an AlGaAs-AlAs multilayer DBR selectively oxidized with the conversion of the AlAs layers into AlOx to increase the stopband width preventing the light from entering the semiconductor substrate. The approach allows to achieve very high light extraction efficiency in a narrow vertical angle keeping the reasonable thermal and current conductivity properties. As an example, a micro-LED structure has been modeled with AlGaAs-AlAs or AlGaAs-AlOx DBRs and an active region based on InGaAlP quantum well(s) emitting in the orange spectral range at 610 nm. A passive dielectric SiO2 cavity is confined by dielectric Ta2O5/SiO2 and AlGaAs-AlOx DBRs. Cylindrically-symmetric structures with multiple ring patterns are modeled. It is demonstrated that the extraction coefficient of light to the air can be increased from 1.3% up to above 90% in a narrow vertical angle (full width at half maximum (FWHM) below 20°). For very small oxide-confined apertures 100nm the narrowing of the FWHM for light extraction can be reduced down to 5

  19. Two-fluid hydrodynamic model for semiconductors

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2018-01-01

    The hydrodynamic Drude model (HDM) has been successful in describing the optical properties of metallic nanostructures, but for semiconductors where several different kinds of charge carriers are present an extended theory is required. We present a two-fluid hydrodynamic model for semiconductors...

  20. Silicon avalanche photodiodes on the base of metal-resistor-semiconductor (MRS) structures

    CERN Document Server

    Saveliev, V

    2000-01-01

    The development of a high quantum efficiency, fast photodetector, with internal gain amplification for the wavelength range 450-600 nm is one of the critical issues for experimental physics - registration of low-intensity light photons flux. The new structure of Silicon Avalanche Detectors with high internal amplification (10 sup 5 -10 sup 6) has been designed, manufactured and tested for registration of visible light photons and charge particles. The main features of Metal-Resistor-Semiconductor (MRS) structures are the high charge multiplication in nonuniform electric field near the 'needle' pn-junction and negative feedback for stabilization of avalanche process due to resistive layer.